WO2011151996A1 - プラズマ処理装置及びプラズマ処理方法 - Google Patents

プラズマ処理装置及びプラズマ処理方法 Download PDF

Info

Publication number
WO2011151996A1
WO2011151996A1 PCT/JP2011/002909 JP2011002909W WO2011151996A1 WO 2011151996 A1 WO2011151996 A1 WO 2011151996A1 JP 2011002909 W JP2011002909 W JP 2011002909W WO 2011151996 A1 WO2011151996 A1 WO 2011151996A1
Authority
WO
WIPO (PCT)
Prior art keywords
tray
wafer
unit
plasma processing
accommodation
Prior art date
Application number
PCT/JP2011/002909
Other languages
English (en)
French (fr)
Inventor
尚吾 置田
大西 康博
Original Assignee
パナソニック株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by パナソニック株式会社 filed Critical パナソニック株式会社
Priority to JP2012518226A priority Critical patent/JP5593384B2/ja
Priority to US13/701,170 priority patent/US8883025B2/en
Priority to CN201180027087.6A priority patent/CN102939648B/zh
Publication of WO2011151996A1 publication Critical patent/WO2011151996A1/ja

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • B44C1/227Removing surface-material, e.g. by engraving, by etching by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/973Substrate orientation

Definitions

  • the present invention relates to a plasma processing apparatus such as a dry etching apparatus or a CVD apparatus.
  • a wafer as a processing target is supported on a support table called a susceptor provided in a chamber.
  • a high frequency voltage is applied to the sealed chamber and a plasma generating gas is supplied to generate plasma in the chamber.
  • plasma processing such as dry etching.
  • a tray that can accommodate a plurality of wafers is used (for example, Patent Document 1).
  • the tray includes a plurality of receiving holes having a slightly larger diameter than the wafer.
  • a wafer is accommodated in each accommodation hole.
  • the tray containing the wafer is transported by the transport mechanism and supported by the support base.
  • Each wafer in the tray is electrostatically adsorbed via the tray by an electrostatic adsorption device provided in the support table.
  • each wafer is cooled by a cooling gas (for example, helium gas) supplied via a tray from a cooling gas supply line provided inside the support base.
  • a cooling gas for example, helium gas
  • the conventional plasma processing apparatus configured to collectively support a plurality of wafers on the support base by the tray having the accommodation holes, whether or not the wafers are properly accommodated in the individual accommodation holes is determined. is important. That is, when the wafer accommodated in the accommodation hole of the tray runs on the inner edge of the accommodation hole and is displaced, the cooling gas for cooling the wafer does not sufficiently reach the lower surface of the wafer. As a result, the wafer may be exposed to high-temperature plasma in an insufficiently cooled state, thereby causing so-called resist burning.
  • an object of the present invention is to prevent the plasma processing from being executed in a state where the wafer is displaced with respect to the tray receiving hole.
  • a stock unit for supplying and collecting a transportable tray containing a wafer in each of a plurality of receiving holes, and the wafer contained in the tray supplied from the stock unit.
  • a processing unit that performs plasma processing, a table on which the tray before the plasma processing is mounted, an alignment unit that positions the wafer on the table, and a table on the alignment unit.
  • a plasma processing apparatus comprising: a storage state detection unit configured to detect whether or not a wafer stored in each storage hole of the tray placed is displaced relative to a corresponding storage hole. I will provide a.
  • the transport mechanism that transports the tray, and the storage state detection unit causes a positional shift in the wafer in the storage hole in one of the trays placed on the table.
  • the image forming apparatus further includes a conveyance control unit that returns the tray on the table to the processing unit without conveying the tray to the processing unit by the conveyance mechanism.
  • the tray Before the plasma processing in the processing unit, the tray is placed on the alignment unit table for positioning. With respect to the tray on the table, the accommodation state detection unit detects whether or not the wafer in each accommodation hole has been displaced. As a result, when there is an accommodation hole in which the wafer is displaced among the plurality of accommodation holes provided in the tray, the tray can be prevented from being subjected to plasma processing in the processing unit.
  • the accommodation state detection unit detects the height at the target point on the wafer side of the surface of each wafer accommodated in the plurality of accommodation holes provided in the tray placed on the table. And the height of the surface of the wafer at the wafer-side target point detected by the height detection unit, it is determined whether each wafer is misaligned with respect to the corresponding accommodation hole. A determination unit to perform.
  • the height detection unit further detects the height of the surface of the tray at the tray side target point facing the wafer side target point across the hole edge of the accommodation hole, and the determination unit Based on the comparison between the height of the surface of the wafer at the measurement point on the wafer side and the height of the surface of the tray at the target point on the tray, whether or not each wafer is misaligned with respect to the corresponding accommodation hole Judgment is made.
  • the wafer position shift can be executed with high accuracy by comparing the height of the wafer surface at the wafer-side measurement point with the height of the tray surface at the tray-side target point.
  • the determination unit further determines whether or not the wafer is present in each accommodation hole based on the height of the wafer-side target point detected by the height detection unit.
  • the accommodation state detection unit is based on an imaging unit that images each wafer accommodated in the plurality of accommodation holes provided in the tray placed on the table from above, and an image obtained by the imaging unit.
  • a determination unit that determines whether or not each wafer is displaced with respect to the corresponding accommodation hole may be provided.
  • the determination unit further determines whether or not the wafer exists in each accommodation hole based on an image obtained by the imaging unit.
  • the table may be a rotary table that rotates the tray in a horizontal plane. In this case, whether the accommodation state detection unit is misaligned with respect to the corresponding accommodation hole of each of the wafers accommodated in the plurality of accommodation holes provided in the tray during the rotation of the tray by the rotary table. Detect whether or not.
  • the height of the plurality of receiving holes by the single height detecting unit with the fixed orientation of the wafer presence / absence detecting unit or the detection of the plurality of receiving holes by the single imaging unit with a fixed field of view Wafer image acquisition is possible.
  • the plasma processing apparatus may further include an alarm generation unit that generates an alarm when the accommodation state detection unit detects that the wafer in any of the accommodation holes of the tray is displaced.
  • a tray in which a wafer is accommodated in each of a plurality of accommodation holes is transported from a stock portion to an alignment portion and placed on a table, and each of the trays on the table in the alignment portion is accommodated.
  • the accommodation state of the wafer in the hole is detected, and if the wafer is accommodated in all the accommodation holes of the tray on the table without causing a positional shift, the tray is moved from the alignment unit to the processing unit.
  • Plasma processing is performed by transferring the tray to the stock section from the alignment section if the wafer in any of the receiving holes of the tray on the table is displaced.
  • each wafer accommodated in the plurality of accommodation holes provided in the tray is displaced relative to the corresponding accommodation hole. It is designed to detect whether or not it has occurred. If there is a wafer that is displaced relative to the corresponding accommodation hole, the tray can be returned to the stock unit without being transferred to the processing unit. As a result, the wafer is not exposed to high-temperature plasma in a state of insufficient cooling due to the positional deviation with respect to the accommodation hole, and it is possible to prevent resist burning on the wafer.
  • the perspective view of the plasma processing apparatus in Embodiment 1 of this invention. 1 is a cross-sectional plan view of a plasma processing apparatus in Embodiment 1 of the present invention.
  • 1 is a cross-sectional side view of a plasma processing apparatus in Embodiment 1 of the present invention.
  • 1 is a cross-sectional side view of a plasma processing apparatus in Embodiment 1 of the present invention.
  • FIG 3 is a cross-sectional perspective view of an alignment chamber provided in the plasma processing apparatus in Embodiment 1 of the present invention. Explanatory drawing of operation
  • the sectional side view of the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the figure which shows the procedure which mounts a tray on the susceptor in the process chamber with which the plasma processing apparatus in Embodiment 1 of this invention is provided.
  • the sectional side view of the plasma processing apparatus in Embodiment 1 of this invention The cross-sectional perspective view of the alignment chamber with which the plasma processing apparatus in Embodiment 2 of this invention is provided.
  • the figure which shows the positional relationship of the height detection sensor and tray in an alignment chamber with which the plasma processing apparatus in Embodiment 2 of this invention is provided.
  • the figure which shows the positional relationship of the height detection sensor and tray in an alignment chamber with which the plasma processing apparatus in Embodiment 3 of this invention is provided.
  • the figure which shows the positional relationship of the height detection sensor and tray in an alignment chamber with which the plasma processing apparatus in Embodiment 4 of this invention is provided.
  • the figure which shows the positional relationship of the height detection sensor and tray in an alignment chamber with which the plasma processing apparatus in Embodiment 5 of this invention is provided.
  • the figure which shows the positional relationship of the camera and tray in an alignment chamber with which the plasma processing apparatus in Embodiment 6 of this invention is provided.
  • a plasma processing apparatus 1 performs plasma processing (for example, dry etching) on a processing object, and includes a stock unit 2, a transfer chamber (transfer unit) 3. , An alignment chamber (alignment unit) 4, a processing chamber (processing unit) 5, and a control device 6 (FIGS. 1 and 3).
  • 3 is a cross-sectional view taken along the line AA in FIG. 2
  • FIG. 4 is a cross-sectional view taken along the line BB in FIG.
  • a transportable tray 7 as shown in FIGS. 5A and 5B is used so that a plurality of wafers W as processing objects can be processed simultaneously.
  • the tray 7 is a thin disk-shaped member, and is formed from an electrically insulating material such as a ceramic material.
  • the tray 7 is provided with a plurality (seven in this case) of circular accommodation holes 7 a that are provided so as to penetrate in the thickness direction and have a slightly larger diameter than the wafer W.
  • a ring-shaped projecting portion 7b projecting inward of the housing hole 7a is provided at the lower edge portion of the inner peripheral portion of each housing hole 7a.
  • the overhang portion 7b supports the outer edge of the lower surface of the wafer W accommodated in the accommodation hole 7a.
  • the tray 7 in this embodiment accommodates one wafer W in one accommodation hole 7a arranged at the center position of the tray 7. Further, six wafers W can be accommodated in the six accommodation holes 7 a arranged so that the centers are arranged at equal intervals on the virtual circle CL centered on the center position of the tray 7.
  • the stock unit 2 of the plasma processing apparatus 1 includes a plurality of trays 7 (wafers W in each of the plurality of receiving holes 7 a provided in each tray 7). And a cassette 21 that can be taken out and stored.
  • the cassette 21 can be accessed from the outside through an opening / closing door 22 provided in the stock unit 2.
  • the transfer chamber 3 is provided adjacent to the stock unit 2, and a transfer mechanism 30 for transferring the tray 7 is accommodated therein.
  • the transport mechanism 30 includes a transport arm 31.
  • the transfer arm 31 has two parallel protrusions 31a and has a “U” shape in a plan view, and is attached to a horizontal movement mechanism 33 provided on an upper portion of a rotary shaft 32 that is rotatable about a vertical axis. It has been.
  • the horizontal movement mechanism 33 is fixed to the upper end portion of the rotating shaft 32 and extends in a horizontal plane direction, and the base stage 33 a extends in a direction in which the base stage 33 a extends.
  • a lower stage 33b provided movably and an upper stage 33c provided movably in the extending direction of the base stage 33a with respect to the lower stage 33b.
  • the transfer arm 31 is attached to the upper stage 33c in a state in which the extending direction of the two protrusions 31a coincides with the extending direction of the base stage 33a.
  • the transport arm 31 rotates in the horizontal plane by the rotation of the rotary shaft 32, and the upper stage 33c moves in the lower stage 33b in conjunction with the lower stage 33b of the horizontal movement mechanism 33 moving in the horizontal plane with respect to the base stage 33a. Move in the horizontal plane by moving in the horizontal plane.
  • the rotation operation (rotation operation of the rotation shaft 32) of the transfer arm 31 in the horizontal plane is performed by the control device 6 performing operation control of the rotation shaft drive motor 32a (FIGS. 3, 4, and 6). Further, the movement operation of the transfer arm 31 in the horizontal plane (the movement operation of the lower stage 33b in the horizontal plane direction relative to the base stage 33a and the movement operation in the horizontal plane direction of the lower stage 33b of the upper stage 33c) is performed by the control device 6. Is performed by controlling the operation of a horizontal movement mechanism drive unit 33 d (FIG. 6) provided in the horizontal movement mechanism 33.
  • control device 6 rotates the transfer arm 31 in the horizontal plane and moves the transfer arm 31 in the horizontal plane, thereby transferring the tray 7 in the stock unit 2 to the alignment chamber 4 and the tray 7 in the alignment chamber 4.
  • Transport to the processing chamber 5, transport of the tray 7 in the processing chamber 5 to the alignment chamber 4, and transport of the tray 7 in the alignment chamber 4 to the stock unit 2 are performed.
  • the alignment chamber 4 is provided adjacent to the transfer chamber 3.
  • the alignment chamber 4 includes a rotary table 41, a centering mechanism 42, and a transmission type optical sensor (an optical sensor in which the light receiver directly receives the inspection light projected by the light projector).
  • a temporary table 45 is provided.
  • the rotary table 41 is provided so as to be rotatable in a horizontal plane with respect to the bottom plate portion 4 a of the alignment chamber 4, and the tray supplied from the stock unit 2 by the transfer arm 31 in the transfer chamber 3. 7 (wafer W is accommodated in each accommodation hole 7a of this tray 7) is placed.
  • the rotary table 41 is rotated by the operation of a rotary table drive motor 46 (FIGS. 4 and 6) provided below the bottom plate portion 4a, whereby the tray 7 on the rotary table 41 rotates in a horizontal plane.
  • a rotary table drive motor 46 (FIGS. 4 and 6) provided below the bottom plate portion 4a, whereby the tray 7 on the rotary table 41 rotates in a horizontal plane.
  • the centering mechanism 42 is provided on the bottom plate portion 4a of the alignment chamber 4 so as to be close to or separated from each other on the same axis in the horizontal plane.
  • a total of four abutting members 42c are provided on each lateral member 42b.
  • the approaching or separating operation of the pair of longitudinal members 42a (that is, the approaching or separating operation of the pair of transverse members 42b) is performed by the control device 6 provided with a centering mechanism driving unit provided between the pair of longitudinal members 42a. This is done by performing the operation control of 42d.
  • the control device 6 moves the transfer arm 31 in the transfer chamber 3 in the horizontal plane, and places the tray 7 on the rotary table 41 by the transfer arm 31. Thereafter, the control device 6 controls the operation of the centering mechanism drive unit 42d to operate the pair of longitudinal members 42a (and thus the pair of lateral members 42b) close to each other (arrow A shown in FIG. 8). ) A total of four contact members 42c erected on the pair of lateral members 42b are brought into contact with the outer edge of the tray 7 to sandwich the tray 7 (see the contact member 42c shown by a solid line in FIG. 8). As a result, the tray 7 on the turntable 41 moves to a position where the center position ct (FIG. 8) of the tray 7 coincides with the center position CT (FIG. 8) of the turntable 41, and the center position alignment ( Centering) is performed.
  • the control device 6 controls the operation of the centering mechanism drive unit 42d to operate the pair of longitudinal members 42a (and thus the pair of lateral members 42b) apart from each other. Let As a result, the four contact members 42 c are separated from the tray 7, and the tray 7 can be rotated by the rotation operation of the rotary table 41.
  • the outer edge of the rotary table 41 is set within the inner region of the virtual circle CL of the tray 7. .
  • the notch detection sensor 43 is provided on the ceiling portion 4b (FIGS. 4 and 7) of the alignment chamber 4 and projects the inspection light L1 downward, and is directly below the projector HS. And a light receiver JS provided on the bottom plate portion 4a.
  • the ceiling portion 4b of the alignment chamber 4 is made of a transparent member such as an acrylic plate, and the notch detection sensor 43 is provided on the upper surface side of the ceiling portion 4b and is projected from the projector HS.
  • the light L1 passes through the ceiling portion 4b and is irradiated downward.
  • the projector HS of the notch detection sensor 43 may be provided on the lower surface side of the ceiling portion 4b (the same applies to the four height detection sensors 44A to 44D).
  • the projector HS of the notch detection sensor 43 is configured such that when the tray 7 centered by the centering mechanism 42 is rotated by the rotary table 41, the inspection light L ⁇ b> 1 projected by the projector HS is a part of the outer edge of the tray 7. It arrange
  • the light receiver JS of the notch detection sensor 43 is disposed at a position where the inspection light L1 projected by the projector HS can receive the inspection light L1 when passing through the notch 7c in the vertical direction.
  • the notch detection sensor 43 is inspected by the light receiver JS while projecting the inspection light L1 from the projector HS while the rotary table 41 on which the tray 7 is placed is rotated (arrow B shown in FIG. 9). By observing the light receiving state of the light L1, the position of the notch 7c of the tray 7 can be detected.
  • the alignment processing unit 6a (FIG. 6) of the control device 6 sets the rotation angle of the tray 7 (the rotation angle around the rotation axis of the rotary table 41) at which the position of the notch 7c is detected by the notch detection sensor 43 to 0 degree (the origin position). ).
  • the rotation operation of the rotary table 41 in the detection of the notch 7c is performed when the alignment processing unit 6a of the control device 6 controls the operation of the rotary table drive motor 46.
  • the notch detection sensor 43 and the alignment processing unit 6a of the control device 6 constitute a rotation direction positioning unit that positions the tray 7 in the rotation direction while rotating the tray 7 by the rotation table 41.
  • the rotation direction positioning portion and the above-described centering mechanism 42 constitute a tray positioning portion that positions the tray 7 with respect to the table (rotary table 41) in the alignment chamber 4.
  • the alignment chamber 4 is provided with four height detection sensors 44A to 44D as described above.
  • These height detection sensors 44A to 44D include an irradiation unit that irradiates inspection light L2 such as a laser beam toward the height detection target point, and a light receiving unit that detects the position of the inspection light reflected from the height detection target point. Then, the height of the height detection target point is measured from the position of the reflected inspection light L2 by the principle of triangulation.
  • Each of the four height detection sensors 44A to 44D detects whether or not the wafer W accommodated in the seven accommodating holes 7a provided in the tray 7 has run over the corresponding edge of the accommodating hole 7a and is displaced. Is for.
  • each of the four height detection sensors 44A to 44D inspects the wafer W accommodated in each accommodation hole 7a of the tray 7 on the rotary table 41 or the surface of the tray 7 in the vicinity of the accommodation hole 7a.
  • the light L2 is irradiated, and the height of the height detection target point on the surface of the wafer W or the tray 7 is detected based on the reflected light of the inspection light L2 on the surface of the wafer W or the tray 7.
  • the inspection light L2 irradiated by each of the height detection sensors 44A to 44D and the reflected light of the inspection light L2 from the wafer W or the tray 7 are placed on the tray temporary placement table 45.
  • through holes 45a are provided at various locations on the temporary tray placement table 45 in the thickness direction.
  • these four height detection sensors 44A to 44D are provided side by side on a straight line LL extending in the horizontal plane direction through a position immediately above the center of the rotary table 41.
  • the first height detection sensor 44A is inspected on a virtual circle S1 (FIGS. 9 and 10) that is concentric with the accommodation hole 7a provided in the center of the tray 7 and has a slightly smaller radius than the accommodation hole 7a.
  • the light L2 is irradiated, and the height of the surface of the wafer W on the virtual circle S1 is detected.
  • the direction in which the inspection light L2 is irradiated from the first height detection sensor 44A is fixed. However, as the tray 7 on the rotary table 41 rotates in the horizontal plane, the first height detection sensor 44A detects the height of the surface of the wafer W at a plurality of points on the virtual circle S1.
  • the first height detection sensor 44A detects the heights of four height detection target points (wafer side target points) P1, P2, P3, and P4 on the virtual circle S1.
  • the height detection target points P1 to P4 are located in the vicinity of the outer edge on the surface of the wafer W, and are arranged at equal angular intervals (90 ° intervals) with respect to the center of the wafer W in plan view.
  • the second height detection sensor 44B is concentric with the accommodation hole 7a provided in the center of the tray 7, and is inspected on a virtual circle S2 (FIGS. 9 and 10) having a slightly larger radius than the accommodation hole 7a.
  • the light L2 is irradiated, and the height of the surface of the tray 7 on the virtual circle S2 is detected.
  • the direction in which the inspection light L2 is irradiated from the second height detection sensor 44B is fixed. However, as the tray 7 on the rotary table 41 rotates in a horizontal plane, the second height inspection sensor 44B detects the height of the surface of the tray 7 at a plurality of points on the virtual circle S2.
  • the second height detection sensor 44B detects the heights of four height detection target points (tray side target points) Q1, Q2, Q3, and Q4 on the virtual circle S2.
  • the height detection target points Q1 to Q4 are located in the vicinity of the hole edge of the central receiving hole 7a, and are arranged at equiangular intervals (90 ° intervals) with respect to the center of the receiving hole 7a in plan view.
  • the positions of the height detection target points Q1 to Q4 are set so as to face each other across the hole edge of the accommodation hole 7a at the center of the height detection target points P1 to P4.
  • the third height detection sensor 44 ⁇ / b> C has a virtual circle S ⁇ b> 3 whose radius is slightly larger than a virtual circle SG (FIG. 9) that is in contact with the six accommodation holes 7 a provided side by side in the peripheral position of the tray 7 on the center side of the tray 7. (FIG. 9 and FIG. 10) is irradiated with the inspection light L2, and the height of the surface of the wafer W or the tray 7 on the virtual circle S3 is detected.
  • the direction in which the inspection light L2 is irradiated from the third height detection sensor 44C is fixed. However, as the tray 7 on the turntable 41 rotates in the horizontal plane, the third height detection sensor 44C detects the height at a plurality of points on the virtual circle S3.
  • the third height detection sensor 44 ⁇ / b> C has two height detection targets near the outer edge on the surface of the wafer W in the accommodation hole 7 a for each of the six accommodation holes 7 a arranged in the peripheral position of the tray 7.
  • the heights of the points (wafer side target points) U1, U2 (12 points in total) are detected.
  • the third height detection sensor 44C is provided on the surface of the tray 7 facing each other across the height edges of the accommodation holes 7a and the two height detection target points U1, U2 for each of the six accommodation holes 7a.
  • the heights of two height detection target points (tray side target points) V1, V2 (12 points in total) are detected.
  • the fourth height detection sensor 44 ⁇ / b> D has a virtual circle S ⁇ b> 4 having a slightly smaller radius than the virtual circle SN (FIG. 9) that is in contact with the six receiving holes 7 a provided side by side at the peripheral position of the tray 7 on the outer peripheral side of the tray 7. (FIG. 9 and FIG. 10) is irradiated with the inspection light L2, and the height of the surface of the wafer W or the tray 7 on the virtual circle S4 is detected.
  • the direction in which the inspection light L2 is irradiated from the fourth height detection sensor 44D is fixed. However, as the tray 7 on the turntable 41 rotates in the horizontal plane, the fourth height detection sensor 44D detects the height at a plurality of points on the virtual circle S4.
  • the fourth height detection sensor 44D includes two height detection objects near the outer edge on the surface of the wafer W in the accommodation hole 7a for each of the six accommodation holes 7a arranged in the peripheral position of the tray 7.
  • the heights of the points (wafer side target points) X1, X2 are detected.
  • the fourth height detection sensor 44D is arranged on the surface of the tray 7 facing each other with the two height detection target points X1 and X2 and the hole edge of the accommodation hole 7a sandwiched between the six accommodation holes 7a.
  • the heights of two height detection target points (tray side target points) Y1, Y2 (12 points in total) are detected.
  • the accommodation state determination unit 6b (FIG. 6) of the control device 6 has four sets of height detection target points, that is, height detection target points P1 and Q1 for the wafer W stored in the storage hole 7a in the center of the tray 7.
  • the height detection target points P2 and Q2, the height detection target points P3 and Q3, and the height detection target points P4 and Q4 are compared in height.
  • the height detection target points P1 to P4 are detected by the first height detection sensor 44A, and the height detection target points Q1 to Q4 are detected by the second height detection sensor 44B.
  • the accommodation state determination unit 6b determines the accommodation state of the wafer W in the corresponding accommodation hole 7a.
  • the accommodation state determination unit 6b determines whether or not the wafer W is in a state of being tilted by riding on the edge of the accommodation hole 7a of the tray 7, that is, whether or not the wafer W is displaced from the accommodation hole 7a. Judgment is made.
  • the accommodation state determination unit 6b is configured to correspond to four sets of height detection target points, that is, height detection target points U1 and V1, for the wafers W accommodated in each of the six accommodation holes 7a in the peripheral position of the tray 7.
  • the height detection target points U2 and V2, the height detection target points X1 and Y1, and the height detection target points X2 and Y2 are compared in height.
  • the height detection target points U1, U2, V1, and V2 are detected by the third height detection sensor 44C, and the height detection target points X1, X2, Y1, and Y2 are detected by the fourth height detection sensor 44D. .
  • the accommodation state determination unit 6b determines whether or not the corresponding accommodation hole 7a of the wafer W is in the opposite accommodation state, that is, whether or not a positional deviation has occurred.
  • the determination of the displacement by the accommodation state determination unit 6b is specifically executed as follows.
  • the height at the height detection target point on the wafer W is compared with the height at the height detection target point on the tray 7 facing the hole edge of the accommodation hole 7a.
  • the heights of height detection target points P1 to P4 on the wafer W are compared with the heights of height detection target points Q1 to Q4 on the tray 7, respectively.
  • the height detection target points U1, U2, X1, and X2 on the wafer W and the height detection target points V1, V2, Y1, and Y2 on the tray 7 are displayed. Are compared with each other.
  • a difference obtained by subtracting the height of the height detection target points Q1 to Q4 on the tray side from the height of the height detection point targets P1 to P4 on the wafer W side is obtained, and this difference is determined in advance. Whether or not there is a positional deviation is determined based on whether or not it is within the specified range.
  • the following criteria can be used instead of or in addition to this criteria.
  • variation in the difference between the height detection target points on the tray 7 corresponding to the four height detection target points on one wafer W falls within a predetermined range, It can be considered that the difference with respect to the height of the height detection target point on the tray 7 side corresponding to the height detection target point is substantially the same. In this case, it is determined that the wafer W is not displaced with respect to the corresponding accommodation hole 7a.
  • the wafer W is It is determined that a positional shift has occurred with respect to the corresponding accommodation hole 7a.
  • the plurality of (here, four) height detection sensors 44A to 44D provided in the plasma processing apparatus 1 according to the first embodiment are provided with the plurality of receiving holes provided in the tray 7 placed on the rotary table 41. It functions as a height detection unit that detects the height of a plurality of locations on the surface of each wafer W accommodated in 7a, and the accommodation state determination unit 6b of the control device 6 includes height detection means (four height detection sensors). 44A to 44D), each wafer W accommodated in the plurality of accommodation holes 7a provided in the tray 7 is positioned with respect to the corresponding accommodation hole 7a based on the heights of the plurality of locations on the surface of each wafer W detected by 44A to 44D).
  • the height detection sensors 44A to 44D (height detection unit) and the accommodation state determination unit 6b (determination unit) constitute an accommodation state detection unit in the present invention.
  • the rotation of the turntable 41 when the height of the height detection target point is detected is performed by the accommodation state determination unit 6b of the control device 6 performing operation control of the turntable drive motor 46.
  • the height detection target points P1 to P3, U1, U2, X1, and X2 of the wafer W are set at positions close to the outer edge on the surface of the wafer W.
  • the influence on judgment can be minimized.
  • the positional deviation of the wafer W is determined based on the difference between the height detection target point of the wafer W and the corresponding height detection target point of the tray 7. The effects of errors, errors due to the consumption of the tray 7 due to etching, and errors due to warping or deformation of the tray 7 can be eliminated.
  • the processing chamber 5 is connected to the transfer chamber 3 via the gate valve 8. When the gate valve 8 is closed, the processing chamber 5 functions as a vacuum container independent of the transfer chamber 3.
  • the processing chamber 5 includes a susceptor 51 as a support for supporting the wafer W together with the tray 7 therein, and a plasma processing unit 52 (FIG. 6) for performing plasma processing on the wafer W supported by the susceptor 51. ing.
  • the susceptor 51 includes a tray mounting portion 51a and a plurality of wafer support portions 51b provided so as to protrude upward from the tray mounting portion 51a.
  • the alignment chamber 4 center alignment of the tray 7 with respect to the rotary table 41 (centering) and positioning in the rotation direction are performed in the alignment chamber 4, and the tray 7 (this is transported by the transport arm 31 in the transport chamber 3).
  • a wafer W is accommodated in each accommodation hole 7 a of the tray 7.
  • each wafer support portion 51b enters into each accommodation hole 7a of the tray 7 from below to support each wafer W by lifting.
  • the susceptor 51 is provided with four elevating pins 54 that move up and down in synchronization with the operation of the elevating pin drive mechanism 53 (FIG. 6) controlled by the control device 6.
  • Four elevating pin insertion holes 7d (FIGS. 5A and 5B) provided on the lower surface side of the tray 7 can be fitted into the upper end portions of the four elevating pins 54 from above. With the four elevating pin insertion holes 7d of the tray 7 fitted in the four elevating pins 54 (FIGS. 12A and 13A), the four elevating pins 54 are lowered with respect to the susceptor 51 (FIGS. 12B and 13B). Arrow C) shown in the inside.
  • the tray 7 is placed on the tray placing portion 51a, and the wafers W accommodated in the accommodation holes 7a of the tray 7 are placed in the tray 7 by the wafer support portions 51b that enter the respective accommodation holes 7a from below. (FIGS. 12C and 13C).
  • the operation of the plasma processing unit 52 is controlled by the control device 6.
  • the gas supply source 52 a, the vacuum exhaust device 52 b, the first high-frequency voltage application device 52 c, the DC voltage application device 52 d, and the refrigerant circulation The apparatus 52e, the cooling gas supply apparatus 52f, and the 2nd high frequency voltage application apparatus 52g are provided (FIG. 6).
  • the gas supply source 52 a supplies a gas for generating plasma into the processing chamber 5.
  • the evacuation device 52b evacuates the gas in the processing chamber 5.
  • the first high-frequency voltage application device 52 c applies a high-frequency voltage to the dielectric coil 55 (FIG. 3) provided above the processing chamber 5.
  • the DC voltage application device 52d applies a DC voltage to the electrostatic chucking electrode 56 (FIG.
  • the refrigerant circulation device 52e circulates the refrigerant whose temperature is adjusted in the refrigerant flow path 57 (FIG. 11B) provided in the susceptor 51.
  • the cooling gas supply device 52f cools the wafer W in a cooling gas supply pipe 58 (FIG. 11B, FIG. 13A, FIG. 13B, and FIG. 13C) that is provided in the susceptor 51 and opens on the upper surface of the wafer support 51b.
  • a cooling gas (for example, helium gas) is supplied.
  • the second high-frequency voltage application device 52 g generates a bias that attracts the plasma generated in the processing chamber 5 to the wafer W side.
  • the control device 6 moves the transfer arm 31 so that one of the plurality of trays 7 (wafers W are accommodated in the accommodating holes 7a of the respective trays 7) supplied to the stock unit 2. Is held by the transfer arm 31. Thereafter, the control device 6 operates the transfer arm 31 to move the tray 7 into the alignment chamber 4 (arrow D1 shown in FIG. 14). Further, the control device 6 lowers the transport arm 31 above the rotary table 41 and places the tray 7 on the rotary table 41 (arrow D2 shown in FIG. 14). After placing the tray 7 on the rotary table 41, the control device 6 returns the transfer arm 31 to the transfer chamber 3 (arrow D3 shown in FIG. 14).
  • the control device 6 controls the operation of the centering mechanism drive unit 42d to operate the centering mechanism 42, and the tray 7 is moved in the manner described above. Centering is performed (step ST1 shown in FIG. 15). Then, when the centering of the tray 7 is finished, the notch 7c provided in the tray 7 is detected using the notch detection sensor 43 while operating the rotary table 41 and rotating the tray 7 360 degrees or more in the horizontal plane. When the notch 7c is detected, the rotation of the tray 7 (the rotation of the turntable 41) is stopped to grasp the origin position in the rotation direction of the tray 7 (step ST2 shown in FIG. 15).
  • control device 6 determines whether or not notch 7c has been successfully detected (step ST3 shown in FIG. 15). As a result, if it is determined that the detection of the notch 7c in step ST2 has failed, an error message is displayed on the display unit (alarm generating unit) 61 (FIG. 6) such as a display device provided in the plasma processing apparatus 1. After the display, a waiting state for returning the tray 7 to the stock unit 2 is entered (step ST4 shown in FIG. 15).
  • step ST2 the number of rotations of the rotary table 41 in the detection of the notch 7c in step ST2 is set to a predetermined number of times (for example, three times), and the control device 6 sets the notch 7c until the rotation table 41 is rotated a predetermined number of times. If not detected, detection of the notch 7c has failed and the process proceeds from step ST3 to step ST4.
  • step ST3 when the accommodation state determination unit 6b of the control device 6 determines that the detection of the notch 7c is successful in step ST3, the four height detection sensors 44A to 44D rotate the tray 7 from the origin position. In the manner described above, the height of each wafer W accommodated in the plurality of accommodation holes 7a provided in the tray 7 placed on the rotary table 41 and the height detection target point on the surface of the tray 7 is detected. This is performed (step ST5 shown in FIG. 15).
  • step ST5 When the accommodation state determination unit 6b of the control device 6 performs the detection in step ST5, the height of each of the height detection target points on the surface of the wafer W and the tray 7 detected by the four height detection sensors 44A to 44D. Based on this data, among the plurality of wafers W accommodated in the plurality of accommodation holes 7a included in the tray 7 placed on the rotary table 41, the wafer W that has been displaced with respect to the corresponding accommodation hole 7a. Whether it exists or not is detected (step ST6 shown in FIG. 15).
  • an error message is displayed on the display unit 61 (step ST4 shown in FIG. 15).
  • the form of the error message displayed on the display unit 61 may be any character, figure, symbol, lamp lighting, etc., as long as the operator can recognize it.
  • Step ST4 a waiting state for returning the tray 7 to the stock unit 2 is entered (FIG. 15).
  • the waiting state ends when the conditions for returning the tray 7 to the stock unit 2 are satisfied.
  • the control device 6 holds the tray 7 on the rotary stage 41 by the transfer arm 31 of the transfer mechanism 30 and returns it from the alignment chamber 4 to the cassette 21 of the stock unit 2.
  • step ST6 among the plurality of wafers W accommodated in the plurality of accommodation holes 7a provided in the tray, a positional deviation is caused with respect to the corresponding accommodation hole 7a. If it is determined that there are no wafers W, a standby state for transferring the tray 7 to the processing chamber 5 is entered (step ST7 shown in FIG. 15), and the processing in the alignment chamber 4 is terminated.
  • the plurality of trays 7 are provided at the positioning stage of the tray 7 in the alignment chamber 4 before the plasma processing is performed on the wafer W in the processing chamber 5. It is determined whether or not each wafer W accommodated in the accommodation hole 7a is displaced with respect to the corresponding accommodation hole 7a. As a result, the tray 7 is not transferred to the susceptor 51 in the processing chamber 5 when there is a wafer W that is displaced with respect to the corresponding accommodation hole 7a.
  • the control device 6 activates the transfer arm 31 when it enters the standby state of step ST7.
  • the tray 7 on the rotary table 41 is held, and the tray 7 is placed on the susceptor 51 of the processing chamber 5 via the transfer chamber 3. This operation is indicated by an arrow E1 in FIG. 16A and an arrow E2 in FIG. 16B.
  • the four lifting pin insertion holes 7 d provided on the lower surface side of the tray 7 are connected to the susceptor 51.
  • the upper ends of the four lift pins 54 provided are fitted, and the tray 7 is supported by the four lift pins 54.
  • the control device 6 moves the transfer arm 31 away from the processing chamber 5 (arrow E3 shown in FIG. 16C). Then, the gate valve 8 provided in the processing chamber 5 is closed, and the processing chamber 5 is sealed.
  • the control device 6 controls the operation of the lifting pin drive mechanism 53 to lower the four lifting pins 54.
  • the tray 7 is placed on the tray placing portion 51 a of the susceptor 51, and the wafer W accommodated in each accommodation hole 7 a of the tray 7 is placed (supported) on the wafer support portion 51 b of the susceptor 51. (FIG. 16C).
  • the control device 6 When the control device 6 places the tray 7 and the wafer W on the susceptor 51, the control device 6 controls the operation of the gas supply source 52 a to supply a gas for generating plasma into the processing chamber 5.
  • the DC voltage application device 52d is operated to apply a DC voltage to the electrostatic chucking electrode 56 in the wafer support 51b. As a result, the wafer W on the wafer support 51 b is electrostatically attracted to the electrostatic attracting electrode 56.
  • control device 6 When the control device 6 detects that the pressure of the gas for generating plasma supplied into the processing chamber 5 has been adjusted to a predetermined pressure, the control device 6 controls the operation of the first high-frequency voltage application device 52 c to control the dielectric coil 55. A high frequency voltage is applied to. As a result, plasma is generated in the processing chamber 5.
  • the control device 6 After each wafer W is held on the wafer support 51b by electrostatic adsorption, the control device 6 operates the cooling gas supply device 52f to cool the lower surface of each wafer support 51b from the cooling gas supply line 58. Fill with gas. Further, the control device 6 controls the operation of the second high-frequency voltage application device 52g so that the plasma in the processing chamber 5 is attracted to the wafer W on the wafer support 51b. Thereby, the wafer processing (etching) for the wafer W is started.
  • the controller 6 stops the application of the bias voltage to the electrostatic attraction electrode 56 by the second high-frequency voltage applying device 52g after the predetermined time has elapsed after the plasma processing on the wafer W is started, and the inside of the processing chamber 5 is stopped. The plasma generation is stopped.
  • the control device 6 controls the operation of the cooling gas supply device 52f to stop the supply of the cooling gas. After stopping the supply of the cooling gas, the control device 6 stops the supply of the gas from the gas supply source 52a into the processing chamber 5 at the timing when the pressure of the cooling gas on the lower surface of the wafer W is sufficiently lowered, and the first The application of the high frequency voltage to the dielectric coil 55 by the high frequency voltage applying device 52c is stopped.
  • the application of the DC voltage to the electrostatic chucking electrode 56 by the DC voltage applying device 52d is stopped, and the electrostatic chucking of the wafer W is released.
  • the charge removal process is executed as necessary to remove the static electricity remaining on the wafer W and the tray 7, and the process on the process wafer is terminated.
  • the control device 6 discharges the gas in the processing chamber 5 to the outside of the plasma processing device 1 by the vacuum exhaust device 52b and the refrigerant flow path 57 by the refrigerant circulation device 52e.
  • the refrigerant circulation operation is always performed.
  • the wafer W is cooled through the susceptor 51 by the circulation operation of the refrigerant into the refrigerant flow path 57 by the refrigerant wafer holder 52e, and high plasma processing efficiency is maintained in combination with the cooling of the wafer W through the cooling gas.
  • the control device 6 operates the transfer arm 31 while the plasma processing is performed on the wafer W in the processing chamber 5, and the tray that stores the wafer W to be subjected to the plasma processing next. 7 is removed from the stock unit 2 and carried into the alignment chamber 4. In addition, the control device 6 places the tray 7 on the rotary table 41. Thus, while the plasma processing is performed on the wafer W in the processing chamber 5, the center 7 alignment with respect to the rotary table 41 and the positioning in the rotation direction are performed for the tray 7 containing the wafer W to be subjected to the plasma processing next. In addition, the presence / absence detection of the wafer W can be executed.
  • the control device 6 When the plasma processing on the wafer W in the processing chamber 5 is completed, the control device 6 operates the lifting pin driving mechanism 53 to raise the four lifting pins 54 and lift and support the tray 7 above the susceptor 51.
  • the four elevating pins 54 are inserted from below into elevating pin insertion holes 7d provided on the lower surface side of the tray 7 in the ascending process.
  • the control device 6 opens the gate valve 8 and causes the transfer arm 31 to enter the processing chamber 5.
  • the control device 6 holds the tray 7 lifted and supported by the lifting pins 54 by the transfer arm 31 and moves it away from the processing chamber 5.
  • the tray 5 is placed on the temporary tray placement table 45 in the alignment chamber 4 (FIG. 16D, arrows F1 and F2 shown in the figure).
  • the transfer arm 31 transfers the tray 7 on the rotary table 41 (the tray 7 containing the wafer W to be subjected to plasma processing) that has already been centered with respect to the rotary table 41 (centering) and positioned in the rotational direction.
  • the control device 6 moves the transfer arm 31 into the alignment chamber 4, and moves the tray 7 ( The tray 7) containing the wafers W that have already been subjected to the plasma processing is held, unloaded from the alignment chamber 4, and returned to the stock unit 2.
  • the tray 7 carried out from the processing chamber 5 is once placed on the temporary tray table 45, cooled, and then returned to the stock unit 2. This prevents the wafer W (tray 7), which has become high temperature by the plasma processing, from being returned to the stock unit 2 in a high temperature state. Further, the tray 7 containing the wafer W to be subjected to the plasma processing is taken out from the alignment chamber 4 while the tray 7 containing the wafer W at a high temperature is placed on the tray temporary placement table 45, and the processing chamber is taken out. Carry to 5. As a result, the time required for the entire plasma processing can be shortened and work can be performed efficiently.
  • a processing chamber 5 in which plasma processing is performed on the wafer W accommodated in each of the wafers W is provided.
  • the plasma processing apparatus 1 also includes a rotary table 41 (table) on which the tray 7 containing the wafers W is placed in the alignment chamber 4 and a tray positioning that positions the tray 7 with respect to the rotary table 41 in the alignment chamber 4.
  • Means notch detection sensor 43, alignment processing unit 6a of control device 6 and centering mechanism 42).
  • the plasma processing apparatus 1 includes a susceptor 51 (support) that supports the tray 7 in the processing chamber 5, and a plurality of receiving holes 7 a provided in the tray 7 supported by the susceptor 51 in the processing chamber 5. And a plasma processing unit 52 as plasma processing means for performing plasma processing on the wafer W. Furthermore, the plasma processing apparatus 1 includes a transfer arm 31 as a transfer unit that transfers the tray 7 positioned by the tray positioning unit from the rotary table 41 of the alignment chamber 4 to the susceptor 51 in the process chamber 5, and the alignment chamber 4. A displacement detection for detecting whether or not each wafer W accommodated in the plurality of accommodation holes 7a included in the tray 7 mounted on the rotary table 41 is displaced relative to the corresponding accommodation hole 7a.
  • Four height detection sensors 44A to 44D as means and an accommodation state determination unit 6b of the control device 6 are provided.
  • the plurality of receiving holes 7 a included in the tray 7 are provided in the positioning stage of the tray 7 in the alignment chamber 4 before the plasma processing is performed on the wafer W in the processing chamber 5. It is detected whether or not each accommodated wafer W is displaced relative to the corresponding accommodation hole 7a. As a result, when there is a wafer W that is displaced with respect to the corresponding accommodation hole 7 a, the tray 7 is not transferred to the susceptor 51 in the processing chamber 5. Therefore, the wafer W is not exposed to high-temperature plasma in a state of insufficient cooling due to the positional displacement of the wafer W with respect to the accommodation hole 7a and the cooling gas not sufficiently spreading to the lower surface of the wafer W. Therefore, resist baking can be prevented from occurring on the wafer W due to insufficient cooling due to the positional deviation with respect to the accommodation hole 7a.
  • misalignment detection means for detecting misalignment of the wafer W in the alignment chamber 4
  • the plasma processing apparatus 1 can be reduced in size and positioned during the tray 7 positioning operation performed in the alignment chamber 4. Deviation detection can be performed.
  • the accommodation state detection unit includes a plurality of locations on the surface of each wafer W accommodated in the plurality of accommodation holes 7 a provided in the tray 7 placed on the rotary table 41.
  • Four height detection sensors 44A to 44D as height detection units for detecting the height of the wafer W, and the heights of a plurality of locations on the surface of each wafer W detected by the four height detection sensors 44A to 44D.
  • the accommodation state determination unit 6b of the control device 6 determines whether or not each wafer W accommodated in the plurality of accommodation holes 7a included in the tray 7 is displaced with respect to the corresponding accommodation hole 7a. Therefore, detection of whether or not the wafer W is displaced with respect to the accommodation hole 7a of the tray 7 can be performed with an inexpensive configuration.
  • the accommodation state determination unit 6b corresponds to each wafer W accommodated in the plurality of accommodation holes 7a provided in the tray 7 while rotating the tray 7 by the rotary table 41. It is detected whether or not there is a positional shift with respect to the containing hole 7a. As a result, the time required for detecting the positional deviation of the wafer W can be shortened and the processing time in the plasma processing apparatus 1 can be shortened.
  • the plasma processing apparatus according to the second embodiment has a configuration in which one height detection sensor 44 is attached to a moving mechanism 70 provided on the upper surface of the ceiling portion 4 b of the alignment chamber 4. Have The height detection sensor 44 can be moved linearly in the horizontal plane above the rotary table 41 by the moving mechanism 70.
  • the plasma processing apparatus according to the second embodiment is different from the plasma processing apparatus 1 according to the first embodiment in that there is one height detection sensor 44, but the other parts are the plasma processing apparatus 1 according to the first embodiment. Is the same.
  • the moving mechanism 70 is screwed into the guide part 71 provided on the ceiling part 4 b of the alignment chamber 4 so as to extend in the horizontal plane direction, the ball screw 72 provided so as to extend in parallel with the guide part 71, and the ball screw 72.
  • a moving part 73 whose rotational movement around the ball screw 72 is restricted by the guide part 71, and a ball screw driving motor 74 that rotationally drives the ball screw part 72 about its axis are provided.
  • the height detection sensor 44 is fixedly provided on an overhanging portion 75 provided overhanging from the moving portion 73.
  • the moving unit 73 moves along the guide unit 71, and the height detection sensor 44 is located above the rotary table 41 ( That is, it moves in the horizontal plane direction above the tray 7.
  • the height detection sensor 44 is the first embodiment. It is provided so as to move along the straight line LL. While the accommodation state determination unit 6b of the control device 6 rotates the turntable 41 (arrow B shown in FIG. 18), it controls the operation of the ball screw drive motor 74 and moves the height detection sensor 44 along the straight line LL. Let Thereby, the data of the same height detection target point as in the first embodiment can be acquired by one height detection sensor 44. For this reason, the plasma processing apparatus in the second embodiment can obtain the same effects as the plasma processing apparatus 1 in the first embodiment.
  • the plasma processing apparatus in the third embodiment shown in FIG. 19 has three height detection sensors. Specifically, three height detection sensors, that is, a first height detection sensor 44A, a second height detection sensor 44B, and a third height detection sensor 44C are provided on the upper surface of the ceiling portion 4b of the alignment chamber 4. They are provided side by side on the straight line LL in the first embodiment.
  • the first height detection sensor 44A irradiates one point on the virtual circle S1 (see also FIG. 20) in the first embodiment with the inspection light L2, and 1 on the virtual circle S1.
  • the heights of three height detection target points P1, P2, P3 (FIG. 20) close to the outer edge on the surface of the wafer W (passed by the rotation of the tray 7) located at the point are detected.
  • the second height detection sensor 44B is positioned at one point on the virtual circle S3 by irradiating the inspection light L2 on one point on the virtual circle S3 in the first embodiment (the tray 7 is rotated).
  • the height of one height detection target point U1 (having six height detection target points U1 as shown in FIG.
  • the third height detection sensor 44C irradiates one point on the virtual circle S4 in the first embodiment with the inspection light L2, and is positioned at one point on the virtual circle S4 (the tray 7 rotates).
  • the heights of two height detection target points X1 and X2 (passed by a total of 12 detection target points X1 and X2 as shown in FIG. 20) near the outer edge on the surface of each wafer W are detected.
  • the accommodation state determination unit 6b of the control device 6 has three height detection target points (P1, P2, P3 or U1, X1, X2) on the wafer W, which are accommodated in the accommodation holes 7a of the tray 7. ) To determine whether or not each wafer W accommodated in the plurality of accommodation holes 7a included in the tray 7 is displaced (inclined) with respect to the corresponding accommodation hole 7a. .
  • the accommodation state determination unit 6b has a variation in height of three height detection target points (P1, P2, P3 or U1, X1, X2) on one wafer W within a predetermined range. If it fits, that is, if it can be considered that the heights of the three height target points are substantially the same, it is determined that the wafer W is not displaced relative to the corresponding accommodation hole 7a. On the other hand, the accommodation state determination unit 6b determines that the variation in the heights of the three height detection target points (P1, P2, P3 or U1, X1, X2) on one wafer W does not fall within a predetermined range. The wafer W is determined to be displaced with respect to the corresponding accommodation hole 7a.
  • the height detection target point on the wafer W and the corresponding height of the height detection target point on the tray 7 are the same as in the first embodiment. Based on the difference, it may be determined whether or not the wafer W is displaced.
  • the plurality (three in this case) of height detection sensors 44A to 44C included in the plasma processing apparatus according to the third embodiment are accommodated in the plurality of accommodating holes 7a included in the tray 7 placed on the rotary table 41. It functions as a height detection unit that detects the height of a plurality of locations on the surface of each wafer W, and the accommodation state determination unit 6b of the control device 6 uses height detection means (three height detection sensors 44A to 44C). Whether each wafer W accommodated in the plurality of accommodation holes 7a included in the tray 7 is displaced with respect to the corresponding accommodation hole 7a based on the detected heights of the plurality of locations on the surface of each wafer W. It functions as a determination unit that determines whether or not. Therefore, the plasma processing apparatus in the third embodiment can obtain the same effects as the plasma processing apparatuses in the first and second embodiments.
  • the plasma processing apparatus according to the fourth embodiment is configured so that one height detection sensor 44 can be moved in the horizontal plane direction by the moving mechanism 70 shown in the second embodiment.
  • the height at each height detection target point on the surface of the seven wafers W detected by the three height detection sensors 44A to 44C in the third mode is detected. Therefore, the plasma processing apparatus in the fourth embodiment can obtain the same effects as the plasma processing apparatuses in the first to third embodiments.
  • the plasma processing apparatus has three height detection sensors that are movable in the horizontal plane direction by the moving mechanism 70 shown in the second embodiment, that is, the first height detection.
  • the height detection target point of each wafer W measured in the third embodiment (or the fourth embodiment) is measured by the sensor 44A, the second height detection sensor 44B, and the third height detection sensor 44C. The detection is performed simultaneously for each W.
  • the accommodation state determination unit 6b of the control device 6 moves the three height detection sensors 44A to 44C integrally in the horizontal plane direction by the moving mechanism 70 and rotates the tray 7 by the rotary table 41 (in FIG. 22).
  • the height of three height detection target points on the surface of each wafer W accommodated in the seven accommodation holes 7a provided in the tray 7 can be detected. Therefore, the plasma processing apparatus in the fifth embodiment can obtain the same effects as the plasma processing apparatuses in the first to fourth embodiments.
  • the plasma processing apparatus in the sixth embodiment is different from the plasma processing apparatuses in the first to fifth embodiments described above, and includes a plurality of receiving holes 7a provided in the tray 7 placed on the rotary table 41.
  • the position deviation detection means for detecting whether or not each wafer W accommodated in the wafer has a position deviation with respect to the corresponding accommodation hole 7a is not a height detection sensor but a camera 80 as an imaging means.
  • the camera 80 is movable in the horizontal plane direction by the moving mechanism 70 shown in the second embodiment.
  • the camera 80 is provided so as to move along the straight line LL in the first embodiment, and the rotating table 41 is rotated from the accommodation state determination unit 6b of the control apparatus 6. (Arrow B shown in FIG. 23), by controlling the operation of the ball screw drive motor 74 and moving the camera 80 along the straight line LL, the camera 80 performs an imaging operation, so that one camera 80 has seven images. Image data of each wafer W accommodated in the accommodation hole 7a can be acquired.
  • the accommodation state determination unit 6 b of the control device 6 accommodates each wafer W accommodated in each of the plurality of accommodation holes 7 a provided in the tray 7 based on the image of each wafer W obtained by imaging with the camera 80. It is possible to detect whether or not a positional deviation has occurred with respect to the hole 7a. Therefore, the plasma processing apparatus in the sixth embodiment can obtain the same effects as the plasma processing apparatuses in the first to fifth embodiments.
  • the positional deviation detection means picks up images of the wafers W accommodated in the plurality of accommodation holes 7a provided in the tray 7 mounted on the rotary table 41 from above. And whether the wafers W accommodated in the plurality of accommodation holes 7a included in the tray 7 are misaligned with respect to the corresponding accommodation holes 7a based on the camera 80 and the image obtained by imaging with the camera 80. Since the determination unit (accommodating state determination unit 6b of the control device 6) is configured to determine whether or not, the wafer W is displaced with respect to the accommodating hole 7a of the tray 7 as in the first to fifth embodiments. It is possible to execute detection with an inexpensive configuration.
  • step ST8 it is determined whether or not the wafer W is present in all of the plurality of accommodation holes 7a provided in the tray 7. This determination is based on the height of the wafer W detected at the height detection target points P1, P2, P3, U1, U2, X1, X2 (see FIG. 10) measured by the height detection sensors 44A to 44B. Done. For example, in the case of the wafer W at the center of the tray 7, the heights of three height detection target points P1, P2, and P3 can be used.
  • the heights at three of the height detection target points U1, U2, X1, and X2 can be used. More specifically, when the height detected at any of the three height detection target points is equal to or lower than a predetermined reference height (corresponding to the height of the rotary table 41), the accommodation hole 7a In other cases, it can be determined that the wafer W is present in the accommodation hole 7a.
  • step ST8 when the accommodation state determination unit 6b determines that there is an accommodation hole 7a in which the wafer W does not exist among the seven accommodation holes 7a provided in the tray 7, an error message is displayed on the display unit 61 (FIG. 24). Tep ST4). In this case, a standby state for returning the tray 7 to the stock unit 2 is entered (step ST4 in FIG. 24). After the end of the waiting state, the control device 6 holds the tray 7 on the rotary stage 41 by the transfer arm 31 of the transfer mechanism 30 and returns it from the alignment chamber 4 to the cassette 21 of the stock unit 2.
  • the accommodation state determination unit 6b determines that the wafer W is present in all the accommodation holes 7a provided in the tray 7 in step ST8, the standby state for transporting the tray 7 to the processing chamber 5 is set. Entering (step ST7 in FIG. 24), the processing in the alignment chamber 4 is terminated.
  • the plurality of accommodation holes 7a provided in the tray 7 and the wafers W accommodated therein are imaged from above by the camera 80.
  • the accommodation state determination unit 6b can determine whether or not the wafer is present in each accommodation hole based on this image (step S8 in FIG. 24).
  • the tray 7 accommodates one wafer W in the one accommodation hole 7a arranged at the center position, and the centers are equally spaced on the virtual circle CL centered at the center position.
  • 6 wafers W are accommodated in the six accommodation holes 7a arranged in line with each other, but this is only an example, and the number of wafers W that can be accommodated in the tray 7 and the arrangement of the accommodation holes 7a. Is free.
  • each accommodation hole 7 a of the tray 7 penetrates in the thickness direction of the tray 7, and the tray 7 containing the wafer W is placed on the tray placement portion 51 a of the susceptor 51.
  • the plurality of wafer support portions 51 b included in the susceptor 51 enter the respective accommodation holes 7 a of the tray 7 from below to support the wafers W in the accommodation holes 7 a of the tray 7.
  • the tray 7 is positioned in the rotational direction by detecting the notch 7c on the rotary table 41.
  • the bottom of the accommodation hole 7a of the tray 7 is exposed to plasma.
  • the susceptor 51 is not provided with the wafer support portion 51b, and the tray 7 is merely placed on the tray placement portion 51a of the susceptor 41, so the tray 7 does not have the notch 7c.
  • the notch detection sensor 43 is not required, and the tray positioning unit may be configured without the rotation direction positioning unit.
  • the table on which the tray 7 is placed in the alignment chamber 4 is not necessarily the rotary table 41 as described above. If the table is not the rotary table 41, the position of the wafer W while rotating the tray 7. Deviation detection cannot be performed.
  • the three height detection sensors 44A to 44C shown in the fifth embodiment are moved two-dimensionally in a plane parallel to the horizontal plane by a mechanism for moving the three height detection sensors 44A to 44C in the horizontal plane. This makes it possible to easily detect the heights of the three height detection target points on the surface of each wafer W.
  • a mechanism for alignment of the tray 7 including the rotary table 41 is arranged in the independent alignment chamber 4.
  • a mechanism for alignment of the tray 7 including the rotary table 41 may be disposed in the transfer chamber 3.
  • the present invention can also be applied to this configuration.
  • the modified plasma processing apparatus 1 shown in FIG. 25 includes a transfer unit 81 provided adjacent to the stock unit 2.
  • a tray 7 containing wafers W before processing is supplied from the transfer unit 81 to the stock unit 2, and these trays 7 are returned from the stock unit 2 to the transfer unit 81 after processing the wafers W.
  • a transfer robot 83 is accommodated in a transfer chamber 82 in the transfer unit 81.
  • the transfer robot 83 performs an operation of storing the wafer W before plasma processing in the storage hole 7a of the tray 7, that is, an operation of transferring the wafer W to the tray 7. To do. Further, the transfer robot 83 performs an operation of transferring the dry-etched wafer W from the tray 7 as conceptually indicated by an arrow G2 in FIG. Further, the transfer robot 83 loads the tray 7 storing the wafers W before processing into the stock unit 2 from the transfer unit 81 (arrow H1 in FIG. 25), and the tray 7 storing the processed wafers W. Is carried out from the stock unit 2 to the transfer unit 81 (arrow H2 in FIG. 25).
  • a plasma processing apparatus capable of preventing resist burning on a wafer.
  • Plasma processing apparatus Stock part 3 Transfer chamber (transfer part) 4 Alignment chamber (alignment section) 5 processing chamber (processing section) 6a Alignment processing unit 6b Housing state determination unit (determination unit) 7 tray 7a accommodation hole 30 transport mechanism 31 transport arm 41 rotary table (table) 42 Centering mechanism 43 Notch detection sensor 44 Height detection sensor (height detection unit) 51 Susceptor (support) 52 Plasma processing unit 80 Camera (imaging unit) 81 Transfer section 82 Transfer chamber 83 Transfer robot W Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

プラズマ処理装置(1)は、ストック部(2)、処理部(5)、及びアラインメント室(4)を備える。ストック部(2)は、複数の収容孔(7a)それぞれにウエハ(W)を収容した搬送可能なトレイ(7)を供給及び回収する。処理室(5)では、ストック部(2)から供給されるトレイ(7)に収容されたウエハ(W)に対してプラズマ処理が実行される。アラインメント室(4)はプラズマ処理前のトレイ(7)が載置される回転テーブル(41)を備え、この回転テーブル(41)上のウエハ(W)の位置決めが行われる。制御装置(6)の収容状態判定部(6b)は、高さ検出センサ(44A~44D)で検出した高さを使用して、ウエハ(W)がトレイ(7)の収容孔(7a)に対して位置ずれを起こしているか否かを判定する。

Description

プラズマ処理装置及びプラズマ処理方法
 本発明は、ドライエッチング装置やCVD装置等のプラズマ処理装置に関するものである。
 プラズマ処理装置では、チャンバ内に設けられたサセプタと呼ばれる支持台に処理対象物としてのウエハを支持させる。次に、密閉状態にしたチャンバ内に高周波電圧を印加するとともにプラズマ発生用のガスを供給してチャンバ内にプラズマを発生させる。ウエハをプラズマに曝露することによってウエハにドライエッチング等のプラズマ処理を施す。
 このようなプラズマ処理装置では、複数のウエハを一括して支持台に支持させるため、複数のウエハを収容できるトレイが用いられる(例えば特許文献1)。トレイはウエハよりもやや大きい直径を有する複数の収容孔を備える。各収容孔の内部にウエハが収容される。ウエハを収容したトレイは、アラインメント後、搬送機構により搬送されて支持台に支持される。トレイ内の各ウエハは支持台内に設けられた静電吸着装置によってトレイを介して静電吸着される。また、支持台の内部に設けられた冷却ガス供給管路よりトレイを介して供給される冷却ガス(例えばヘリウムガス)によって各ウエハの冷却がなされる。
特開2009-147375号公報
 しかしながら、上記のように、収容孔を有するトレイによって複数のウエハを一括して支持台に支持させる構成の従来のプラズマ処理装置では、個々の収容孔にウエハが適切に収容されているか否かが重要である。すなわち、トレイの収容孔に収容されたウエハがその収容孔の内縁に乗り上げて位置ずれを起こしている場合、ウエハを冷却する冷却ガスがウエハの下面に十分に行き渡らない。その結果、ウエハが冷却不足の状態で高温のプラズマに晒されることによって、いわゆるレジスト焼けが生じてしまうおそれがある。
 そこで本発明は、トレイの収容孔に対してウエハが位置ずれを起こした状態でプラズマ処理が実行されるのを防止することを目的とする。
 本発明の第1の態様は、複数の収容孔それぞれにウエハを収容した搬送可能なトレイを供給及び回収するためのストック部と、前記ストック部から供給される前記トレイに収容された前記ウエハに対してプラズマ処理を実行する処理部と、前記プラズマ処理前の前記トレイが載置されるテーブルを備え、このテーブル上の前記ウエハの位置決めが行われるアライメント部と、前記アライメント部の前記テーブルに載置された前記トレイの各収容孔に収容されたウエハが対応する収容孔に対して位置ずれを起こしているか否かの検出を行う収容状態検出部とを備えたことを特徴とするプラズマ処理装置を提供する。
 具体的には、プラズマ処理装置は、前記トレイを搬送する搬送機構と、前記収容状態検出部が前記テーブルに載置された前記トレイのいずれかの前記収容孔のウエハに位置ずれを起こしていることを検出すると、前記搬送機構により前記テーブル上の前記トレイを前記処理部に搬送することなく前記ストック部に戻す搬送制御部とをさらに備える。
 処理部でのプラズマ処理前に、トレイは位置決めのためにアラインメント部のテーブルに載置される。テーブル上のトレイに対し、収容状態検出部が各収容孔内のウエハが位置ずれを起こしていない否かの検出を行う。その結果、トレイが備える複数の収容孔のうちウエハが位置ずれを起こしている収容孔がある場合には、そのトレイを処理部でのプラズマ処理に供しないようにすることができる。
 具体的には、前記収容状態検出部は、前記テーブルに載置された前記トレイが備える複数の前記収容孔に収容された各ウエハの表面のウエハ側対象点における高さを検出する高さ検出部と、前記高さ検出部により検出された前記ウエハ側対象点における前記ウエハの表面の高さを用いて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行う判定部とを備える。
 さらに具体的には、前記高さ検出部は、前記ウエハ側対象点と前記収容孔の孔縁を挟んで対向するトレイ側対象点におけるトレイの表面の高さをさらに検出し、前記判定部は、前記ウエハ側測定点における前記ウエハの表面の高さと前記トレイ側対象点における前記トレイの表面の高さとの比較に基づいて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行う。
 この構成によれば、ウエハ側測定点における前記ウエハの表面の高さと前記トレイ側対象点における前記トレイの表面の高さとを比較することで、ウエハの位置ずれを高精度で実行できる。
 前記判定部は、前記高さ検出部が検出した前記ウエハ側対象点の高さに基づいて各収容孔内に前記ウエハが存在するか否かの判定をさらに行うことが好ましい。
 前記収容状態検出部は、前記テーブルに載置された前記トレイが備える複数の前記収容孔に収容された各ウエハを上方から撮像する撮像部と、前記撮像部により得られた画像に基づいて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行う判定部手段とを備えてもよい。
 前記判定部は、前記撮像部により得られた画像に基づいて各収容孔内に前記ウエハが存在するか否かの判定をさらに行うことが好ましい。
 前記テーブルは前記トレイを水平面内で回転させる回転テーブルであってもよい。この場合、前記収容状態検出部は、前記回転テーブルによる前記トレイの回転中に、前記トレイが備える前記複数の収容孔に収容された各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの検出を行う。
 この構成により、ウエハ有無検出部が備える向きが固定された1個の高さ検出部による複数の収容孔のウエハの高さ検出又は視野が固定された1個の撮像部による複数の収容孔のウエハの画像取得が可能となる。
 プラズマ処理装置は、前記収容状態検出部が前記トレイのいずれかの前記収容孔の前記ウエハが位置ずれを起こしていることを検出すると警報を発生する警報発生部をさらに備えてもよい。
 本発明の第2の態様は、複数の収容孔それぞれにウエハを収容したトレイを、ストック部からアラインメント部に搬送してテーブルに載置し、前記アライメント部の前記テーブル上の前記トレイの各収容孔内の前記ウエハの収容状態を検出し、前記テーブル上の前記トレイのすべての前記収容孔内に前記ウエハが位置ずれを起こすことなく収容されていれば、前記トレイを前記アラインメント部から処理部に搬送してプラズマ処理を実行し、前記テーブル上の前記トレイのいずれかの前記収容孔の前記ウエハが位置ずれを起こしていれば、前記トレイを前記アラインメント部から前記ストック部に戻す、プラズマ処理方法を提供する。
 本発明では、処理部でウエハに対するプラズマ処理が実行される前のアライメント部におけるトレイの位置決め段階で、トレイが備える複数の収容孔に収容された各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの検出を行うようになっている。対応する収容孔に対して位置ずれを起こしているウエハがあった場合にはそのトレイを処理部に搬送せずにストック部に戻すことができる。その結果、ウエハが収容孔に対する位置ずれのために冷却不足の状態で高温のプラズマに晒されることがなく、ウエハにレジスト焼けが生じることを防止することができる。
本発明の実施の形態1におけるプラズマ処理装置の斜視図。 本発明の実施の形態1におけるプラズマ処理装置の断面平面図。 本発明の実施の形態1におけるプラズマ処理装置の断面側面図。 本発明の実施の形態1におけるプラズマ処理装置の断面側面図。 本発明の実施の形態1におけるプラズマ処理装置が備えるトレイの斜視図。 本発明の実施の形態1におけるプラズマ処理装置が備えるトレイの側断面図。 本発明の実施の形態1におけるプラズマ処理装置の動作系統を示すブロック図。 本発明の実施の形態1におけるプラズマ処理装置が備えるアライメント室の断面斜視図。 本発明の実施の形態1におけるプラズマ処理装置が備えるアライメント室内のセンタリング機構の動作説明図。 本発明の実施の形態1におけるプラズマ処理装置が備えるアライメント室内のノッチ検出センサ及び高さ検出センサとトレイの位置関係を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備えるトレイ及びこのトレイに収容されたウエハの高さ検出対象点を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタの斜視図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタの側断面図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置が備える処理室内のサセプタにトレイを載置する手順を示す図。 本発明の実施の形態1におけるプラズマ処理装置の側断面図。 本発明の実施の形態1におけるプラズマ処理装置のアライメント室内での作業手順を示すフローチャート。 本発明の実施の形態1におけるプラズマ処理装置の側断面図。 本発明の実施の形態1におけるプラズマ処理装置の側断面図。 本発明の実施の形態1におけるプラズマ処理装置の側断面図。 本発明の実施の形態1におけるプラズマ処理装置の側断面図。 本発明の実施の形態2におけるプラズマ処理装置が備えるアライメント室の断面斜視図。 本発明の実施の形態2におけるプラズマ処理装置が備えるアライメント室内の高さ検出センサとトレイの位置関係を示す図。 本発明の実施の形態3におけるプラズマ処理装置が備えるアライメント室内の高さ検出センサとトレイの位置関係を示す図。 本発明の実施の形態3におけるプラズマ処理装置が備えるトレイ及びこのトレイに収容されたウエハの高さ検出対象点を示す図。 本発明の実施の形態4におけるプラズマ処理装置が備えるアライメント室内の高さ検出センサとトレイの位置関係を示す図。 本発明の実施の形態5におけるプラズマ処理装置が備えるアライメント室内の高さ検出センサとトレイの位置関係を示す図。 本発明の実施の形態6におけるプラズマ処理装置が備えるアライメント室内のカメラとトレイの位置関係を示す図。 本発明の実施の形態7におけるプラズマ処理装置のアライメント室内での作業手順を示すフローチャート。 本発明の変形例におけるプラズマ処理装置の側断面図。
(実施の形態1)
 図1~図4において、本発明の実施の形態1におけるプラズマ処理装置1は処理対象物に対してプラズマ処理(例えばドライエッチング)を施すものであり、ストック部2、搬送室(搬送部)3、アライメント室(アラインメント部)4、処理室(処理部)5及び制御装置6(図1及び図3)を備える。ここで、図3は図2における矢視A-A断面図、図4は図2における矢視B-B断面図である。
 このプラズマ処理装置1では、処理対象物としてのウエハWを複数枚同時に処理することができるように、図5A及び図5Bに示すような搬送可能なトレイ7が用いられる。このトレイ7は薄板円盤状の部材であり、セラミックス材料等の電気絶縁性材料から形成されている。トレイ7には厚さ方向に貫通して設けられてウエハWよりもやや大きい直径を有する複数(ここでは7つ)の円形の収容孔7aが設けられている。各収容孔7aの内周部の下縁部には、その収容孔7aの内方に張り出したリング状の張り出し部7bが設けられている。張り出し部7bは収容孔7a内に収容されたウエハWの下面の外縁を支持する。ウエハWの外縁が張り出し部7bによって下方から支持されて収容孔7aの内部に収容された状態では、ウエハWの下面は収容孔7aから下方に露出した状態となる(図5B)。
 この実施の形態におけるトレイ7は、図5Aに示すように、トレイ7の中心位置に配置された1つの収容孔7aに1枚のウエハWを収容する。また、トレイ7の中心位置を中心とする仮想円CL上に中心が等間隔で並ぶように配置された6つの収容孔7aに6枚のウエハWを収容できるようになっている。
 図1、図2及び図3において、本実施の形態におけるプラズマ処理装置1のストック部2は、その内部に複数のトレイ7(各トレイ7が備える複数の収容孔7aのそれぞれにはウエハWが収容されている)を取り出し及び格納可能に収容したカセット21を備えている。カセット21には、ストック部2に設けられた開閉扉22を介して外部からアクセスすることができる。
 図2、図3及び図4において、搬送室3はストック部2に隣接して設けられており、内部にトレイ7を搬送するための搬送機構30が収容されている。搬送機構30は搬送アーム31を備えている。この搬送アーム31は2つの平行な突起部31aを有して平面視において「U」字の形状をなし、上下軸回りに回転自在な回転軸32の上部に設けられた水平移動機構33に取り付けられている。
 図2、図3及び図4において、水平移動機構33は回転軸32の上端部に固定されて水平面内の方向に延びたベースステージ33aと、ベースステージ33aに対してベースステージ33aの延びる方向に移動自在に設けられた下段ステージ33bと、下段ステージ33bに対してベースステージ33aの延びる方向に移動自在に設けられた上段ステージ33cを備える。搬送アーム31は2つの突起部31aの延びる方向をベースステージ33aの延びる方向と一致させた状態で上段ステージ33cに取り付けられている。
 搬送アーム31は回転軸32が回転することによって水平面内で回転し、水平移動機構33の下段ステージ33bがベースステージ33aに対して水平面内で移動するのと連動して上段ステージ33cが下段ステージ33bに対して水平面内で移動することによって水平面内で移動する。
 搬送アーム31の水平面内での回転動作(回転軸32の回転動作)は、制御装置6が回転軸駆動モータ32a(図3、図4及び図6)の作動制御を行うことによってなされる。また、搬送アーム31の水平面内での移動動作(ベースステージ33aに対する下段ステージ33bの水平面内方向への移動動作及び上段ステージ33cの下段ステージ33bに対する水平面内方向への移動動作)は、制御装置6が水平移動機構33の内部に設けられた水平移動機構駆動部33d(図6)の作動制御を行うことによってなされる。制御装置6は、このように搬送アーム31を水平面内で回転させ、また水平面内で移動させることによって、ストック部2内のトレイ7のアライメント室4への搬送、アライメント室4内のトレイ7の処理室5への搬送、処理室5内のトレイ7のアライメント室4への搬送、及びアライメント室4内のトレイ7のストック部2への搬送を行う。
 図2及び図4において、アライメント室4は搬送室3に隣接して設けられている。図7にも示すように、アライメント室4は、内部に回転テーブル41、センタリング機構42、透過型の光学式センサ(投光器が投光する検査光を受光器が直接受光する形態の光学式センサ)であるノッチ検出センサ43、反射型の光学式センサ(投光する検査光の反射光を自身が受光する形態の光学式センサ)である4つの高さ検出センサ44A,44B,44C,44D及びトレイ仮置きテーブル45を備えている。
 図4及び図7において、回転テーブル41は、アライメント室4の底板部4aに対して水平面内で回転自在に設けられており、搬送室3内の搬送アーム31によってストック部2から供給されたトレイ7(このトレイ7の各収容孔7aにはウエハWが収容されている)が載置される。
 回転テーブル41は、底板部4aの下方に設けられた回転テーブル駆動モータ46(図4及び図6)の作動によって回転し、これにより回転テーブル41上のトレイ7が水平面内で回転する。
 センタリング機構42は、図2、図7及び図8に示すように、アライメント室4の底板部4a上に設けられて水平面内の同一軸上を同期して近接又は離間するように設けられた一対の縦方向部材42aと、各縦方向部材42aに一端側が固定されて縦方向部材42aと直交する水平面内方向に延びた一対の横方向部材42bとを備える。各横方向部材42bに2つずつ立設して計4つの当接部材42cが設けられている。一対の縦方向部材42aが互いに近接又は離間すると、これに応じて一対の横方向部材42bが互いに近接又は離間するようになっている。ここで、一対の縦方向部材42aの近接又は離間動作(すなわち一対の横方向部材42bの近接又は離間動作)は、制御装置6が一対の縦方向部材42aの間に設けられたセンタリング機構駆動部42dの作動制御を行うことによってなされる。
 制御装置6は、搬送室3内の搬送アーム31を水平面内で移動させ、搬送アーム31によってトレイ7を回転テーブル41に載置させる。その後、制御装置6は、センタリング機構駆動部42dの作動制御を行って一対の縦方向部材42aを(したがって一対の横方向部材42bを)互いに近接するように作動させ(図8中に示す矢印A)、一対の横方向部材42bに立設された計4つの当接部材42cをトレイ7の外縁に当接させてトレイ7を挟み込む(図8中の実線で示す当接部材42c参照)。これにより回転テーブル41上のトレイ7は、トレイ7の中心位置ct(図8)が回転テーブル41の中心位置CT(図8)と一致する位置に移動して、回転テーブル41に対する中心位置合わせ(センタリング)がなされる。
 制御装置6は、トレイ7のセンタリングを行った後は、センタリング機構駆動部42dの作動制御を行って、一対の縦方向部材42aを(したがって一対の横方向部材42bを)互いに離間するように作動させる。これにより、4つの当接部材42cがトレイ7から離れ、トレイ7が回転テーブル41の回転作動により回転し得るようにする。なお、本実施の形態では、図8に示すように、センタリング機構42によってトレイ7がセンタリングされた状態では、回転テーブル41の外縁はトレイ7の仮想円CLの内部領域に収まるようになっている。
 図7及び図9において、ノッチ検出センサ43は、アライメント室4の天井部4b(図4及び図7)に設けられて検査光L1を下方に投光する投光器HSと、投光器HSの直下であって底板部4a上に設けられた受光器JSとを備える。この実施の形態1では、アライメント室4の天井部4bはアクリル板等の透明な部材から成っており、ノッチ検出センサ43は天井部4bの上面側に設けられて投光器HSから投光される検査光L1は天井部4bを透過して下方に照射されるようになっている。しかし、ノッチ検出センサ43の投光器HSは天井部4bの下面側に設けられていてもよい(4つの高さ検出センサ44A~44Dについても同じ)。
 図9において、ノッチ検出センサ43の投光器HSは、センタリング機構42によってセンタリングがなされたトレイ7が回転テーブル41により回転されたときに、投光器HSが投光する検査光L1がトレイ7の外縁の一部を切り欠いて形成されたノッチ7cを上下方向に通過し得る位置に配置されている。ノッチ検出センサ43の受光器JSは、投光器HSが投光した検査光L1がノッチ7cを上下方向に通過したときにその検査光L1を受光し得る位置に配置されている。
 ノッチ検出センサ43は、トレイ7が載置された回転テーブル41が回転されている状態で(図9中に示す矢印B)、投光器HSから検査光L1を投光しつつ、受光器JSによる検査光L1の受光状態を観察することによって、トレイ7のノッチ7cの位置を検出することができる。制御装置6のアライメント処理部6a(図6)は、ノッチ検出センサ43によりノッチ7cの位置が検出されたトレイ7の回転角度(回転テーブル41の回転軸回りの回転角度)を0度(原点位置)であると認識する。なお、このノッチ7cの検出における回転テーブル41の回転動作は、制御装置6のアライメント処理部6aが回転テーブル駆動モータ46の作動制御を行うことによってなされる。
 本実施の形態では、ノッチ検出センサ43及び制御装置6のアライメント処理部6aが、回転テーブル41によりトレイ7を回転させながらトレイ7の回転方向の位置決めを行う回転方向位置決め部を構成している。そして、回転方向位置決め部と前述のセンタリング機構42は、アライメント室4内においてテーブル(回転テーブル41)に対してトレイ7の位置決めを行うトレイ位置決め部を構成する。
 図7及び図9において、アライメント室4には前述のように、4つの高さ検出センサ44A~44Dが設置されている。これら高さ検出センサ44A~44Dは、レーザー光線等の検査光L2を高さ検出対象点へ向かって照射する照射部と、高さ検出対象点で反射した検査光の位置を検出する受光部を備え、反射した検査光L2の位置から三角測量の原理で高さ検出対象点の高さを計測する。4つの高さ検出センサ44A~44Dはそれぞれ、トレイ7が備える7つの収容孔7aに収容されたウエハWが対応する収容孔7aの孔縁に乗り上げて位置ずれを起こしているか否かを検出するためのものである。これらの高さ検出センサ44A~44Dにより、ウエハWの表面上の外縁に近い数点(ここでは4点)の高さ検出対象点の高さと、そのウエハW上の高さ検出対象点の近傍に位置するトレイ7上の数点(ここでは4点)の高さ検出対象点の高さを求める。具体的には、4つの高さ検出センサ44A~44Dはそれぞれ、回転テーブル41上のトレイ7の各収容孔7aに収容されたウエハW又はその収容孔7aの近傍のトレイ7の表面上に検査光L2を照射し、その検査光L2のウエハW又はトレイ7の表面における反射光に基づいてウエハW又はトレイ7の表面上の高さ検出対象点の高さを検出する。
 ここで、図7に示すように、トレイ仮置きテーブル45には、各高さ検出センサ44A~44Dが照射する検査光L2及び検査光L2のウエハW或いはトレイ7による反射光がトレイ仮置きテーブル45によって遮らなれないようにするため、トレイ仮置きテーブル45の各所には、その厚さ方向に貫通した透孔45aが設けられている。
 図7及び図9において、4つの高さ検出センサ、すなわち第1の高さ検出センサ44A、第2の高さ検出センサ44B、第3の高さ検出センサ44C及び第4の高さ検出センサ44Dがある。本実施の形態1では、これら4つの高さ検出センサ44A~44Dは、回転テーブル41の中心の直上位置を通って水平面内方向に延びた直線LL上に並んで設けられている。
 第1の高さ検出センサ44Aは、トレイ7の中央に設けられた収容孔7aと同心であって、この収容孔7aよりも半径がやや小さい仮想円S1(図9及び図10)上に検査光L2を照射し、仮想円S1上でのウエハWの表面の高さを検出する。第1の高さ検出センサ44Aから検査光L2が照射される向きは固定されている。しかし、回転テーブル41上のトレイ7が水平面内で回転することで、第1の高さ検出センサ44Aは仮想円S1上の複数点におけるウエハWの表面の高さを検出する。詳細には、第1の高さ検出センサ44Aは、仮想円S1上の4つの高さ検出対象点(ウエハ側対象点)P1,P2,P3,P4の高さを検出する。高さ検出対象点P1~P4は、ウエハWの表面上の外縁の近傍に位置し、平面視でウエハWの中心に対して等角度間隔(90°間隔)で配置されている。
 第2の高さ検出センサ44Bは、トレイ7の中央に設けられた収容孔7aと同心であって、この収容孔7aよりも半径がやや大きい仮想円S2(図9及び図10)上に検査光L2を照射し、仮想円S2上でのトレイ7の表面の高さを検出する。第2の高さ検出センサ44Bから検査光L2が照射される向きは固定されている。しかし、回転テーブル41上のトレイ7が水平面内で回転することで、第2の高さ検査センサ44Bは仮想円S2上の複数点におけるトレイ7の表面の高さを検出する。詳細には、第2の高さ検出センサ44Bは、仮想円S2上の4つの高さ検出対象点(トレイ側対象点)Q1,Q2,Q3,Q4の高さを検出する。高さ検出対象点Q1~Q4は、中央の収容孔7aの孔縁の近傍に位置し、平面視で収容孔7aの中心に対して等角度間隔(90°間隔)で配置されている。また、高さ検出対象点Q1~Q4の位置は、高さ検出対象点P1~P4中央の収容孔7aの孔縁を挟んで対向するように設定されている。
 第3の高さ検出センサ44Cは、トレイ7の周辺位置に並んで設けられた6つの収容孔7aとトレイ7の中心側で接する仮想円SG(図9)よりも半径がやや大きい仮想円S3(図9及び図10)上に検査光L2を照射し、仮想円S3上でのウエハWやトレイ7の表面の高さを検出する。第3の高さ検出センサ44Cから検査光L2が照射される向きは固定されている。しかし、回転テーブル41上のトレイ7が水平面内で回転することで、第3の高さ検出センサ44Cは仮想円S3上の複数点における高さを検出する。詳細には、第3の高さ検出センサ44Cは、トレイ7の周辺位置に並ぶ6つの収容孔7a内のそれぞれについて収容孔7a内のウエハWの表面上の外縁に近い2つの高さ検出対象点(ウエハ側対象点)U1,U2(計12点)の高さを検出する。また、第3の高さ検出センサ44Cは、これら6つの収容孔7a内のそれぞれについて2つ高さ検出対象点U1,U2と収容孔7aの孔縁を挟んで対向するトレイ7の表面上の2つの高さ検出対象点(トレイ側対象点)V1,V2(計12点)の高さを検出する。
 第4の高さ検出センサ44Dは、トレイ7の周辺位置に並んで設けられた6つの収容孔7aとトレイ7の外周側で接する仮想円SN(図9)よりも半径がやや小さい仮想円S4(図9及び図10)上に検査光L2を照射し、仮想円S4上でのウエハWやトレイ7の表面の高さを検出する。第4の高さ検出センサ44Dから検査光L2が照射される向きは固定されている。しかし、回転テーブル41上のトレイ7が水平面内で回転することで、第4の高さ検出センサ44Dは仮想円S4上の複数点における高さを検出する。詳細には、第4の高さ検出センサ44Dは、トレイ7の周辺位置に並ぶ6つの収容孔7a内のそれぞれについて収容孔7a内のウエハWの表面上の外縁に近い2つの高さ検出対象点(ウエハ側対象点)X1,X2(計12点)の高さを検出する。また、第4の高さ検出センサ44Dは、これら6つの収容孔7a内のそれぞれについて2つの高さ検出対象点X1,X2と収容孔7aの孔縁を挟んで対向するトレイ7の表面上の2つの高さ検出対象点(トレイ側対象点)Y1,Y2(計12点)の高さを検出する。
 制御装置6の収容状態判定部6b(図6)は、トレイ7の中央部の収容孔7aに収容されたウエハWについて、4組の高さ検出対象点、すなわち高さ検出対象点P1とQ1、高さ検出対象点P2とQ2、高さ検出対象点P3とQ3、及び高さ検出対象点P4とQ4の高さの比較を行う。高さ検出対象点P1~P4は第1の高さ検出センサ44Aにより検出され、高さ検出対象点Q1~Q4は第2の高さ検出センサ44Bにより検出される。この高さの比較により、収容状態判定部6bはウエハWの対応する収容孔7aに対する収容状態の判定を行う。詳細には、収容状態判定部6bは、ウエハWがトレイ7の収容孔7aの孔縁に乗り上げて傾いた状態であるか否か、すなわちウエハWが収容孔7aに対する位置ずれを起こしているか否かの判定を行う。
 また、収容状態判定部6bはトレイ7の周辺位置の6つの収容孔7aのそれぞれに収容されたウエハWについて、対応する4組の高さ検出対象点、すなわち高さ検出対象点U1とV1、高さ検出対象点U2とV2、高さ検出対象点X1とY1、及び高さ検出対象点X2とY2の高さの比較を行う。高さ検出対象点U1,U2,V1,V2は第3の高さ検出センサ44Cにより検出され、高さ検出対象点X1,X2,Y1,Y2は第4の高さ検出センサ44Dにより検出される。この高さの比較により、収容状態判定部6bはウエハWの対応する収容孔7aに対収容状態の判定、すなわち位置ずれを起こしているか否かの判定を行う。
 収容状態判定部6bによる位置ずれの判定は、具体的には以下のように実行される。
 まず、ウエハW上の高さ検出対象点における高さとそれに対して収容孔7aの孔縁を挟んで対向するトレイ7上の高さ検出対象点における高さが比較される。例えば、トレイ7の中央のウエハWについては、ウエハW上の高さ検出対象点P1~P4の高さとトレイ7上の高さ検出対象点Q1~Q4の高さがそれぞれ比較される。また、トレイ7の外周側の6つのウエハWについては、ウエハW上の高さ検出対象点U1,U2,X1,X2の高さとトレイ7上の高さ検出対象点V1,V2,Y1,Y2の高さがそれぞれ比較される。具体的には、ウエハW上の高さ検出対象点P1~P4,U1,U2,X1,X2の高さと対応するトレイ7上の高さ検出対象点Q1~Q4,V1,V2,Y1,Y2の高さの差を求める。
 次に、求めた高さの差を評価する。具体的には、1つのウエハW上の4つの高さ検出対象点の全ておいて、対応するトレイ7側の高さ検出対象の高さに対する差が予め定められた範囲であれば、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていないと判定される。逆に、1つのウエハW上の4つの高さ検出対象点のいずれかにおいて、対応するトレイ7側の高さ検出対象点の高さに対する差が予め定められた範囲よりも大きい場合、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていると判定される。例えば、中央のウエハWについてはウエハW側の高さ検出点対象P1~P4の高さからトレイ側の高さ検出対象点Q1~Q4の高さを引いた差を求め、この差が予め定められた範囲内であるか否かに基づいて位置ずれの有無が判断される。
 この判断基準に代えて又はこの判断基準に加えて以下の基準も使用できる。1つのウエハW上の4つの高さ検出対象点の対応するトレイ7側の高さ検出対象点の高さに対する差のばらつきが予め定められた範囲に収まる場合は、1つのウエハWの4つの高さ検出対象点について対応するトレイ7側の高さ検出対象点の高さに対する差が概ね同じであるとみなせる。この場合は、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていないと判定される。逆に、1つのウエハW上の4つの高さ検出対象点の対応するトレイ7側の高さ検出対象点の高さに対する差のばらつきが予め定められた範囲に収まらない場合、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていると判定される。
 以上のように、本実施の形態1におけるプラズマ処理装置1が備える複数(ここでは4つ)の高さ検出センサ44A~44Dは、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWの表面上の複数箇所の高さを検出する高さ検出部として機能し、制御装置6の収容状態判定部6bは、高さ検出手段(4つの高さ検出センサ44A~44D)により検出された各ウエハWの表面上の複数箇所の高さに基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの判定を行う判定部として機能する。高さ検出センサ44A~44D(高さ検出部)と収容状態判定部6b(判定部)とにより本発明における収容状態検出部が構成されている。なお、高さ検出対象点の高さの検出時における回転テーブル41の回転は、制御装置6の収容状態判定部6bが、回転テーブル駆動モータ46の作動制御を行うことによってなされる。
 本実施の形態では、ウエハWの高さ検出対象点P1~P3,U1,U2,X1,X2をウエハWの表面上の外縁に近い位置に設定しているので、ウエハWの反りによって位置ずれ判断に影響が及ぶのを最小限にとどめることができる。また、前述のようにウエハWの高さ検出対象点の高さと対応するトレイ7の高さ検出対象点の高さとの差でウエハWの位置ずれを判断するので、回転テーブル41の平行度による誤差、エッチングに伴うトレイ7の消耗による誤差、トレイ7の反りや変形による誤差の影響も排除することができる。
 図2及び図3において、処理室5は搬送室3とゲートバルブ8を介して繋がっており、ゲートバルブ8を閉じた状態では処理室5は搬送室3とは独立した真空容器として機能する。処理室5は、内部にウエハWをトレイ7ごと支持する支持台としてのサセプタ51を備えるとともに、サセプタ51によって支持されたウエハWに対してプラズマ処理を施すプラズマ処理部52(図6)を備えている。
 図11A及び図11Bにおいて、サセプタ51はトレイ載置部51a及びトレイ載置部51aから上方に突出して設けられた複数のウエハ支持部51bを備えている。トレイ載置部51aには、アライメント室4内において回転テーブル41に対するトレイ7の中心位置合わせ(センタリング)と回転方向の位置決めがなされ、搬送室3内の搬送アーム31によって搬送されたトレイ7(このトレイ7の各収容孔7aにはウエハWが収容されている)が載置される。各ウエハ支持部51bは、センタリング及び回転方向位置決め後のトレイ7がトレイ載置部51aに載置されると、トレイ7の各収容孔7a内に下方から入り込んで各ウエハWを持ち上げ支持する。
 図11Aにおいて、サセプタ51には制御装置6によって制御される昇降ピン駆動機構53(図6)の作動によって同期して昇降する4つの昇降ピン54が設けられている。これら4つの昇降ピン54の上端部には、トレイ7の下面側に設けられた4つの昇降ピン嵌入孔7d(図5A及び図5B)が上方から嵌入し得るようになっている。トレイ7の4つの昇降ピン嵌入孔7dが4つの昇降ピン54に嵌入した状態で(図12A及び図13A)、4つの昇降ピン54をサセプタ51に対して下降させる(図12B及び図13Bの図中に示す矢印C)。この下降により、トレイ7はトレイ載置部51aに載置され、トレイ7の各収容孔7aに収容されたウエハWは、各収容孔7a内に下方から入り込んだウエハ支持部51bによって、トレイ7から上方に浮いた状態に支持される(図12C及び図13C)。
 図6において、プラズマ処理部52は、いずれも制御装置6によってその動作が制御される、ガス供給源52a、真空排気装置52b、第1の高周波電圧印加装置52c、直流電圧印加装置52d、冷媒循環装置52e、冷却ガス供給装置52f、及び第2の高周波電圧印加装置52gを備える(図6)。ガス供給源52aは、処理室5内にプラズマ発生用のガスを供給する。真空排気装置52bは、処理室5内のガスを真空排気する。第1の高周波電圧印加装置52cは、処理室5の上方に設けられた誘電コイル55(図3)に高周波電圧を印加する。直流電圧印加装置52dは、各ウエハ支持部51bに設けた静電吸着用電極56(図11B)に直流電圧を印加してウエハ支持部51b上に載置されたウエハWをウエハ支持部51b上に静電吸着させる。冷媒循環装置52eは、サセプタ51内に設けられた冷媒流路57(図11B)内に温度調節がなされた冷媒を循環させる。冷却ガス供給装置52fは、サセプタ51内に設けられてウエハ支持部51bの上面に開口する冷却ガス供給管路58(図11B、図13A、図13B、及び図13C)内にウエハWを冷却するための冷却ガス(例えばヘリウムガス)を供給する。第2の高周波電圧印加装置52gは、処理室5内で発生したプラズマをウエハW側に引き寄せるバイアスを発生させる。
 次に、このプラズマ処理装置1により複数のウエハWをバッチ処理により一括してプラズマ処理する手順について説明する。制御装置6は先ず、搬送アーム31を移動させて、ストック部2に供給されている複数のトレイ7(各トレイ7の各収容孔7aにはウエハWが収容されている)のうちの1枚を搬送アーム31により保持させる。その後、制御装置6は、搬送アーム31を作動させてそのトレイ7をアライメント室4内に移動させる(図14中に示す矢印D1)。さらに制御装置6は搬送アーム31を回転テーブル41の上方で下降させてトレイ7を回転テーブル41上に載置する(図14中に示す矢印D2)。制御装置6は、トレイ7を回転テーブル41上に載置した後、搬送アーム31を搬送室3内に戻す(図14中に示す矢印D3)。
 制御装置6は、上記のようにしてトレイ7をアライメント室4の回転テーブル41に載置したら、センタリング機構駆動部42dの作動制御を行ってセンタリング機構42を作動させ、前述の要領でトレイ7のセンタリングを行う(図15に示すステップST1)。そして、トレイ7のセンタリングが終わったら回転テーブル41を作動させてトレイ7を水平面内で360度以上回転させながら、ノッチ検出センサ43を用いてトレイ7に設けられたノッチ7cの検出を行う。そして、ノッチ7cを検出したらトレイ7の回転(回転テーブル41の回転)を停止させてトレイ7の回転方向の原点位置を把握する(図15に示すステップST2)。
 制御装置6は、上記ステップST2が終了したら、ノッチ7cの検出が成功したかどうかの判定を行う(図15に示すステップST3)。そして、その結果、ステップST2に
おけるノッチ7cの検出に失敗したと判定した場合には、このプラズマ処理装置1に設けられるディスプレイ装置等の表示部(警報発生部)61(図6)にエラーメッセージを表示したうえで、トレイ7をストック部2に返却するための待ち状態に入る(図15に示すステップST4)。なお、ステップST2のノッチ7cの検出における回転テーブル41の回転数は予め定めた所定回数(例えば3回)までとし、制御装置6は、回転テーブル41を所定回数回転させるまでの間にノッチ7cを検出できなかった場合にはノッチ7cの検出に失敗したとしてステップST3からステップST4に進む。
 一方、制御装置6の収容状態判定部6bは、ステップST3でノッチ7cの検出に成功したと判定した場合には、トレイ7を原点位置から回転させながら、4つの高さ検出センサ44A~44Dにより、前述の要領で、回転テーブル41に載置されているトレイ7が備える複数の収容孔7aに収容された各ウエハW及びトレイ7の表面上の各高さ検出対象点の高さの検出を行う(図15に示すステップST5)。
 制御装置6の収容状態判定部6bは、ステップST5の上記検出を行ったら、4つの高さ検出センサ44A~44Dにより検出したウエハW及びトレイ7の表面上の各高さ検出対象点の高さのデータに基づいて、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された複数のウエハWのうち、対応する収容孔7aに対して位置ずれを起こしたウエハWがあるか否かを検出する(図15に示すステップST6)。
 その結果、制御装置6の収容状態判定部6bにおいて、トレイ7が備える複数の収容孔7aに収容された複数のウエハWのうち、対応する収容孔7aに対して位置ずれを起こしているウエハWが1つでもある(少なくとも1つある)と判定した場合には、表示部61にエラーメッセージ(警告)を表示(図15に示すステップST4)。表示部61に表示するエラーメッセージの態様はオペレータが認識できるものであれば、文字、図形、記号、ランプの点灯等のいずれでもよい。また、表示部61に加えた又は表示部61に代えて音又は音声でエラーメッセージ(警告)を出力する音響出力部を設けてもよい。
 また、収容孔7aに対して位置ずれを起こしているウエハWが1つでもある(少なくとも1つある)と判定した場合、トレイ7をストック部2に返却するための待ち状態に入る(図15に示すステップST4)。待ち状態はトレイ7をストック部2に返却する条件が充足すると終了する。待ち状態の終了後、制御装置6は回転ステージ41上のトレイ7を搬送機構30の搬送アーム31で保持し、アライメント室4からストック部2のカセット21に戻す。
 一方、制御装置6の収容状態判定部6bにおいて、ステップST6で、トレイが備える複数の収容孔7aに収容された複数のウエハWのうち、対応する収容孔7aに対して位置ずれを起こしているウエハWが1つもなかったと判定した場合には、トレイ7を処理室5に搬送するための待ち状態に入り(図15に示すステップST7)、アライメント室4内での処理を終了する。
 このように、本実施の形態1におけるプラズマ処理装置1では、処理室5内でウエハWに対するプラズマ処理が実行される前のアライメント室4内におけるトレイ7の位置決め段階で、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの判断を行う。その結果、対応する収容孔7aに対して位置ずれを起こしているウエハWがあった場合にはそのトレイ7は処理室5内のサセプタ51に搬送されない。
 制御装置6は、収容状態判定部6bにより、対応する収容孔7aに対して位置ずれを起こしているウエハWが1つもなかったと判定してステップST7の待ち状態になると、搬送アーム31を作動させて回転テーブル41上のトレイ7を保持し、そのトレイ7を搬送室3経由で処理室5のサセプタ51に載置させる。この動作を図16A中の矢印E1と図16Bの矢印E2で示す。このときトレイ7はアライメント室4において回転テーブル41に対する中心位置合わせ(センタリング)と回転方向の位置決めがなされているので、トレイ7の下面側に設けられた4つの昇降ピン嵌入孔7dにサセプタ51に設けられた4つの昇降ピン54の上端部が嵌入し、トレイ7は4つの昇降ピン54によって支持された状態となる。
 制御装置6は、トレイ7を4つの昇降ピン54に支持させたら、搬送アーム31を処理室5から退去させる(図16C中に示す矢印E3)。そして、処理室5に設けられたゲートバルブ8を閉止状態にして処理室5を密閉状態にする。
 制御装置6は、処理室5を密閉状態にしたら、昇降ピン駆動機構53の作動制御を行って4つの昇降ピン54を下降させる。この下降によりトレイ7がサセプタ51のトレイ載置部51aに載置されるとともに、トレイ7の各収容孔7aに収容されたウエハWがサセプタ51のウエハ支持部51bに載置(支持)される(図16C)。
 制御装置6はトレイ7及びウエハWをサセプタ51に載置させたら、ガス供給源52aの作動制御を行って処理室5内にプラズマ発生用のガスを供給する。次いで直流電圧印加装置52dを作動させて、ウエハ支持部51b内の静電吸着用電極56に直流電圧を印加する。これにより、ウエハ支持部51b上のウエハWが静電吸着用電極56に静電吸着される。
 制御装置6は、処理室5内に供給したプラズマ発生用のガスの圧力が所定の圧力に調圧されたことを検知したら、第1の高周波電圧印加装置52cの作動制御を行って誘電コイル55に高周波電圧を印加する。これにより処理室5内にプラズマが発生する。
 制御装置6は、各ウエハWがウエハ支持部51b上に静電吸着にて保持された後、冷却ガス供給装置52fを作動させて冷却ガス供給管路58から各ウエハ支持部51bの下面に冷却ガスを充填させる。更に、制御装置6は第2の高周波電圧印加装置52gの作動制御を行って、処理室5内のプラズマがウエハ支持部51b上のウエハWに引き付けられるようにする。これによりウエハWに対するプウエハ処理(エッチング)が開始される。
 制御装置6は、ウエハWに対するプラズマ処理が開始されて所定時間が経過したら、第2の高周波電圧印加装置52gによる静電吸着用電極56へのバイアス電圧の印加を停止させて処理室5内でのプラズマ発生を停止させる。次いで、制御装置6は冷却ガス供給装置52fの作動制御を行って冷却ガスの供給を停止させる。冷却ガスの供給停止後、制御装置6はウエハWの下面の冷却ガスの圧力が十分に低下したタイミングで、ガス供給源52aからの処理室5内へのガスの供給を停止させるとともに、第1の高周波電圧印加装置52cによる誘電コイル55への高周波電圧の印加を停止させる。更に、直流電圧印加装置52dによる静電吸着用電極56への直流電圧の印加を停止させて、ウエハWの静電吸着を解除する。ウェウエハ静電吸着を解除した後は、必要に応じて除電処理を実行してウエハWやトレイ7に残った静電気を除去し、処理ウエハでの処理を終了する。
 上記処理室5内での処理の実行中、制御装置6は、真空排気装置52bによる処理室5内のガスのプラズマ処理装置1の外部への排出動作と、冷媒循環装置52eによる冷媒流路57内への冷媒の循環動作を常時実行する。冷媒ウエハ置52eによる冷媒流路57内への冷媒の循環動作によりサセプタ51を通じてウエハWが冷却され、冷却ガスを通じたウエハWの冷却と相俟って、高いプラズマ処理効率が維持される。
 なお、制御装置6は、上記のように、処理室5内でのウエハWに対するプラズマ処理を実行している間、搬送アーム31を作動させて、次にプラズマ処理を行うウエハWを収容したトレイ7をストック部2から取り出してアライメント室4に搬入する。また、制御装置6はトレイ7を回転テーブル41上に載置させる。これにより、処理室5内でウエハWに対するプラズマ処理が行われている間、次にプラズマ処理を行うウエハWを収容したトレイ7について、回転テーブル41に対する中心位置合わせ(センタリング)と回転方向の位置決め及びウエハWの有無検出を実行することができる。
 制御装置6は、処理室5内でのウエハWに対するプラズマ処理が終了したら、昇降ピン駆動機構53を作動させて4つの昇降ピン54を上昇させ、トレイ7をサセプタ51の上方に持ち上げ支持する。なお、4つの昇降ピン54はその上昇過程でトレイ7の下面側に設けられた昇降ピン嵌入孔7d内に下方から嵌入する。
 昇降ピン54の上昇作動によりトレイ7をサセプタ51の上方に持ち上げ支持したら、制御装置6はゲートバルブ8を開いて搬送アーム31を処理室5内に進入させる。また、制御装置6は昇降ピン54によって持ち上げ支持されたトレイ7を搬送アーム31によって保持して処理室5から退去させる。そして、そのトレイ5をアライメント室4のトレイ仮置きテーブル45に載置する(図16D。図中に示す矢印F1,F2)。引き続いて、既に回転テーブル41に対する中心位置合わせ(センタリング)と回転方向の位置決めが終了している回転テーブル41上のトレイ7(次にプラズマ処理を行うウエハWを収容したトレイ7)を搬送アーム31によって保持し、アライメント室4から退去させて(図16D中に示す矢印F3)、そのトレイ7を処理室5内へ搬送する。制御装置6は、次にプラズマ処理を行うウエハWを収容したトレイ7を処理室5内に搬送した後は、搬送アーム31をアライメント室4に進入させ、トレイ仮置きテーブル45上のトレイ7(既にプラズマ処理が終了したウエハWを収容したトレイ7)を保持してアライメント室4から搬出し、ストック部2に戻す。
 このように、処理室5から搬出されたトレイ7は一旦トレイ仮置きテーブル45に載置し、冷却してからストック部2に戻す。これによりプラズマ処理によって高温になったウエハW(トレイ7)が高温状態のままストック部2に戻されることが防止される。また、高温になったウエハWを収容したトレイ7をトレイ仮置きテーブル45に載置したままの状態で、次にプラズマ処理を行うウエハWを収容したトレイ7をアライメント室4から取り出して処理室5へ搬送する。これによりプラズマ処理全体に要する時間を短縮して効率よく作業を行うことができる。
 仮置きテーブル45に載置したトレイ7をストック部2に戻したら、そのトレイ7に収容されたウエハWについてのバッチ処理が終了する。
 以上説明したように、本実施の形態1におけるプラズマ処理装置1は、複数の収容孔7aそれぞれにウエハWを収容したトレイ7の位置決めが行われるアライメント室4と、トレイ7の複数の収容孔7aのそれぞれに収容されたウエハWに対してプラズマ処理が行われる処理室5を備える。また、プラズマ処理装置1は、アライメント室4内においてウエハWを収容したトレイ7が載置される回転テーブル41(テーブル)と、アライメント室4内において回転テーブル41に対するトレイ7の位置決めを行うトレイ位置決め手段(ノッチ検出センサ43、制御装置6のアライメント処理部6a及びセンタリング機構42)とを備える。さらに、プラズマ処理装置1は、処理室5においてトレイ7を支持するサセプタ51(支持台)と、処理室5においてサセプタ51に支持されたトレイ7が備える複数の収容孔7aに収容された複数のウエハWにプラズマ処理を施すプラズマ処理手段としてのプラズマ処理部52とを備える。さらにまた、プラズマ処理装置1は、トレイ位置決め手段による位置決めがなされたトレイ7をアライメント室4の回転テーブル41から処理室5内のサセプタ51へ搬送する搬送手段としての搬送アーム31と、アライメント室4内において回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの検出を行う位置ずれ検出手段としての4つの高さ検出センサ44A~44D及び制御装置6の収容状態判定部6bを備える。
 本実施の形態1におけるプラズマ処理装置1では、処理室5内でウエハWに対するプラズマ処理が実行される前のアライメント室4内におけるトレイ7の位置決め段階で、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの検出を行うようになっている。その結果、対応する収容孔7aに対して位置ずれを起こしているウエハWがあった場合にはそのトレイ7を処理室5内のサセプタ51に搬送しないようにしている。そのため、ウエハWが収容孔7aに対する位置ずれを起こしウエハWの下面に冷却ガスが十分に行き渡らないことに起因してウエハWが冷却不足の状態で高温のプラズマに晒されるようなことがない。よって、収容孔7aに対する位置ずれに起因する冷却不足で、ウエハWにレジスト焼けが生じることを防止することができる。
 更に、ウエハWの位置ずれ検出を行う位置ずれ検出手段をアライメント室4に配置することにより、プラズマ処理装置1の小型化を図るとともに、アライメント室4内において行われるトレイ7の位置決め動作中に位置ずれ検出を行うことが可能となる。
 また、本実施の形態1におけるプラズマ処理装置1では、収容状態検出部は、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWの表面上の複数箇所の高さを検出する高さ検出部としての4つの高さ検出センサ44A~44Dと、これら4つの高さ検出センサ44A~44Dにより検出された各ウエハWの表面上の複数箇所の高さに基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの判定を行う制御装置6の収容状態判定部6bから成っているので、トレイ7の収容孔7aに対してウエハWが位置ずれを起こしているか否かの検出を安価な構成で実行することができる。
 また、本実施の形態1におけるプラズマ処理装置1では、収容状態判定部6bは、回転テーブル41によりトレイ7を回転させながら、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの検出を行う。これによりウエハWの位置ずれの検出に要する時間を短縮してプラズマ処理装置1における処理作業時間を短縮させることができる。
(実施の形態2)
 実施の形態2におけるプラズマ処理装置は、図17及び図18に示すように、アライメント室4の天井部4bの上面に設けられた移動機構70に、1つの高さ検出センサ44が取り付けられた構成を有する。高さ検出センサ44は移動機構70によって、回転テーブル41の上方で水平面内方向に直線状に移動し得る。この実施の形態2におけるプラズマ処理装置では、高さ検出センサ44が1つである点が実施の形態1におけるプラズマ処理装置1とは異なるが、他の部分は実施の形態1におけるプラズマ処理装置1と同じである。
 移動機構70は、アライメント室4の天井部4bに水平面内方向に延びて設けられたガイド部71と、ガイド部71と平行に延びて設けられたボール螺子72と、ボール螺子72と螺合し、ガイド部71によってボール螺子72回りの回転移動が規制された移動部73と、ボール螺子部72を軸回りに回転駆動するボール螺子駆動モータ74を備えている。高さ検出センサ44は移動部73から張り出して設けられた張り出し部75に固定して設けられている。ボール螺子駆動モータ74が制御装置6の収容状態判定部6bによって作動制御がなされて回転駆動すると、移動部73がガイド部71に沿って移動し、高さ検出センサ44が回転テーブル41の上方(すなわちトレイ7の上方)で水平面内方向に移動する。
 この実施の形態2におけるプラズマ処理装置では、高さ検出センサ44が実施の形態1
における直線LLに沿って移動するように設けられている。制御装置6の収容状態判定部6bが回転テーブル41を回転させつつ(図18中に示す矢印B)、ボール螺子駆動モータ74の作動制御を行って高さ検出センサ44を直線LLに沿って移動させる。これにより、1つの高さ検出センサ44で実施の形態1と同じ高さ検出対象点のデータを取得することができる。このため、実施の形態2におけるプラズマ処理装置は、実施の形態1におけるプラズマ処理装置1と同様の効果を得ることができる。
(実施の形態3)
 図19に示す実施の形態3におけるプラズマ処理装置は、実施の形態1及び2におけるプラズマ処理装置とは異なり、高さ検出センサが3つである。具体的には、アライメント室4の天井部4bの上面に3つの高さ検出センサ、すなわち第1の高さ検出センサ44A、第2の高さ検出センサ44B及び第3の高さ検出センサ44Cが実施の形態1における直線LL上に並んで設けられている。
 図19に示すように、第1の高さ検出センサ44Aは、実施の形態1における仮想円S1(図20も参照)上の1点に検査光L2を照射してその仮想円S1上の1点に位置した(トレイ7が回転することによって通過した)ウエハWの表面上の外縁に近い3つの高さ検出対象点P1,P2,P3(図20)の高さを検出する。また、第2の高さ検出センサ44Bは、実施の形態1における仮想円S3上の1点に検査光L2を照射してその仮想円S3上の1点に位置した(トレイ7が回転することによって通過した)各ウエハWの表面上の外縁に近い1つの高さ検対象点U1(図20に示すように高さ検対象点U1計6点ある)の高さを検出する。また、第3の高さ検出センサ44Cは、実施の形態1における仮想円S4上の1点に検査光L2を照射してその仮想円S4上の1点に位置した(トレイ7が回転することによって通過した)各ウエハWの表面上の外縁に近い2つの高さ検出対象点X1,X2(図20に示すように検出対象点X1,X2は計12点)の高さを検出する。
 制御装置6の収容状態判定部6bは、トレイ7の各収容孔7aに収容されたウエハWについて、そのウエハW上の3つの高さ検出対象点(P1,P2,P3又はU1,X1,X2)の高さに基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか(傾いているか)否かの判定を行う。
 具体的には、収容状態判定部6bは、1つのウエハW上の3つの高さ検出対象点(P1,P2,P3又はU1,X1,X2)の高さのばらつきが予め定められた範囲に収まる場合、すなわち3つの高さ対象点の高さが概ね同じであるとみなせる場合には、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていないと判定する。一方、収容状態判定部6bは、1つのウエハW上の3つの高さ検出対象点(P1,P2,P3又はU1,X1,X2)の高さのばらつきが予め定められた範囲に収まらない場合には、そのウエハWは対応する収容孔7aに対して位置ずれを起こしていると判定する。トレイ7の外周側の6つの収容孔7aについては、実施の形態1と同様に、ウエハW上の高さ検出対象点の高さとそれに対応するトレイ7上の高さ検出対象点の高さとの差に基づいてウエハWが位置ずれを起こしているか否かを判定してもよい。
 すなわち本実施の形態3におけるプラズマ処理装置が備える複数(ここでは3つ)の高さ検出センサ44A~44Cは、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWの表面上の複数箇所の高さを検出する高さ検出部として機能し、制御装置6の収容状態判定部6bは、高さ検出手段(3つの高さ検出センサ44A~44C)により検出された各ウエハWの表面上の複数箇所の高さに基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの判定を行う判定部として機能する。このため実施の形態3におけるプラズマ処理装置は、実施の形態1及び2におけるプラズマ処理装置と同様の効果を得ることができる。
(実施の形態4)
 実施の形態4におけるプラズマ処理装置は、図21に示すように、実施の形態2において示した移動機構70によって1つの高さ検出センサ44を水平面内方向に移動できるようにすることで、実施の形態3における3つの高さ検出センサ44A~44Cにより検出する7つのウエハWの表面上の各高さ検出対象点における高さを検出するものである。よって、この実施の形態4におけるプラズマ処理装置は、実施の形態1~3におけるプラズマ処理装置と同様の効果を得ることができる。
(実施の形態5)
 実施の形態5におけるプラズマ処理装置は、図22に示すように、実施の形態2において示した移動機構70によって水平面内方向に移動自在とした3つの高さ検出センサ、すなわち第1の高さ検出センサ44A、第2の高さ検出センサ44B及び第3の高さ検出センサ44Cによって、実施の形態3(又は実施の形態4)において計測する各ウエハWの高さ検出対象点の高さをウエハWごとに同時に検出するようにしたものである。制御装置6の収容状態判定部6bは、移動機構70によって3つの高さ検出センサ44A~44Cを水平面内方向に一体に移動させるとともに、回転テーブル41によってトレイ7を回転させることにより(図22中に示す矢印B)、トレイ7が備える7つの収容孔7aに収容された各ウエハWの表面上の3つの高さ検出対象点の高さを検出することができる。よって、この実施の形態5におけるプラズマ処理装置は、実施の形態1~4におけるプラズマ処理装置と同様の効果を得ることができる。
(実施の形態6)
 実施の形態6におけるプラズマ処理装置は、図23に示すように、上述の実施の形態1~5におけるプラズマ処理装置とは異なり、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの検出を行う位置ずれ検出手段が高さ検出センサではなく、撮像手段であるカメラ80となっており、このカメラ80が実施の形態2において示した移動機構70によって、水平面内方向に移動自在となっている。
 この実施の形態6におけるプラズマ処理装置では、カメラ80が実施の形態1における直線LLに沿って移動するように設けられており、制御装置6の収容状態判定部6bから回転テーブル41を回転させつつ(図23中に示す矢印B)、ボール螺子駆動モータ74の作動制御を行ってカメラ80を直線LLに沿って移動させながらカメラ80による撮像動作を行わせることにより、1つのカメラ80で7つの収容孔7aに収容された各ウエハWの画像データを取得することができる。そして、制御装置6の収容状態判定部6bは、カメラ80により撮像して得られる各ウエハWの画像に基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの検出を行うことができる。よって、この実施の形態6におけるプラズマ処理装置は、実施の形態1~5におけるプラズマ処理装置と同様の効果を得ることができる。
 また、この実施の形態6におけるプラズマ処理装置では、位置ずれ検出手段が、回転テーブル41に載置されたトレイ7が備える複数の収容孔7aに収容された各ウエハWを上方から撮像する撮像手段としてのカメラ80と、カメラ80により撮像して得られる画像に基づいて、トレイ7が備える複数の収容孔7aに収容された各ウエハWが対応する収容孔7aに対して位置ずれを起こしているか否かの判定を行う判定手段(制御装置6の収容状態判定部6b)から成っているので、実施の形態1~5の場合と同様、トレイ7の収容孔7aに対してウエハWが位置ずれを起こしているか否かの検出を安価な構成で実行することができる。
(実施の形態7)
 実施の形態7におけるプラズマ処理装置は、収容状態判別部6bが図24においてステップST5とステップS6の間にステップST8の処理を実行する点のみが異なる。このステップST8では、トレイ7が備える複数の収容孔7aの全てにウエハWが存在しているか否かの判断を行う。この判断は、高さ検出センサ44A~44Bで測定された高さ検出対象点P1,P2,P3,U1,U2,X1,X2(図10参照)で検出されたウエハWの高さに基づいて行われる。例えば、トレイ7の中央のウエハWの場合、3つの高さ検出対象点P1,P2,P3の高さを使用できる。また、トレイ7の外周側の6つのウエハWの場合には、高さ検出対象点U1,U2,X1,X2のうちの3つにおける高さを使用できる。より具体的には、3つ高さ検出対象点のいずれにおいても検出された高さが予め定められた基準高さ(回転テーブル41の高さに対応する)以下の場合には、収容孔7aにウエハWが存在しないと判定し、それ以外の場合には収容孔7aにウエハWが存在すると判定できる。
 ステップST8において、トレイ7が備える7つの収容孔7aのうちウエハWが存在しない収容孔7aがあると収容状態判定部6bが判定した場合には、表示部61にエラーメッセージを表示する(図24のテップST4)。また、この場合には、トレイ7をストック部2に返却するための待ち状態に入る(図24のステップST4)。待ち状態の終了後、制御装置6は回転ステージ41上のトレイ7を搬送機構30の搬送アーム31で保持し、アライメント室4からストック部2のカセット21に戻す。
 一方、ステップST8で、トレイ7が備える全ての収容孔7aにウエハWが存在していると収容状態判定部6bが判定した場合には、トレイ7を処理室5に搬送するための待ち状態に入り(図24のステップST7)、アライメント室4内での処理を終了する。
 実施の形態6のように高さ検出センサに代えてカメラ80(図23参照)を使用する場合、トレイ7が備える複数の収容孔7a及びそれに収容されたウエハWをカメラ80で上方から撮像して画像が得られる。収容状態判別部6bはこの画像に基づいて各収容孔内に前記ウエハが存在するか否かの判定(図24のステップS8)を行うことができる。
 これまで本発明の実施の形態について説明してきたが、本発明は上述の実施の形態に示したものに限定されない。例えば、上述の実施の形態では、トレイ7はその中心位置に配置された1つの収容孔7aに1枚のウエハWを収容するとともに、中心位置を中心とする仮想円CL上に中心が等間隔で並ぶように配置された6つの収容孔7aに6枚のウエハWを収容するようになっていたが、これは一例であり、トレイ7が収容し得るウエハWの枚数や収容孔7aの配置は自由である。
 また、上述の各実施の形態では、トレイ7の各収容孔7aがトレイ7の厚さ方向に貫通
するものであり、ウエハWを収容したトレイ7をサセプタ51のトレイ載置部51aに載置したときに、サセプタ51が備える複数のウエハ支持部51bがトレイ7の各収容孔7aに下方から入り込んでトレイ7の収容孔7a内のウエハWを支持する。そのため、トレイ7は回転テーブル41上でノッチ7cの検出が行われて回転方向の位置決めがなされるようになっていた。トレイ7の収容孔7aがトレイ7の厚さ方向に貫通するものでない場合(すなわち収容孔7aが有底である場合。この場合、トレイ7の収容孔7aの底がプラズマに露出されることになる)には、サセプタ51にウエハ支持部51bは設けられておらず、トレイ7はサセプタ41のトレイ載置部51aに載置されるだけなのでトレイ7はノッチ7cを有さない。したがって、この語場合にはノッチ検出センサ43も不要となり、トレイ位置決め部も回転方向位置決め部を省いた構成でよい。
 この場合、アライメント室4においてトレイ7が載置されるテーブルは必ずしも上述のような回転テーブル41であるとは限らず、テーブルが回転テーブル41でない場合にはトレイ7を回転させながらウエハWの位置ずれ検出を行うことはできない。この場合には実施の形態5に示した3つの高さ検出センサ44A~44Cを水平面内で移動させる機構によって3つの高さ検出センサ44を水平面と平行な面内で2次元に移動させるようにすることにより、各ウエハWの表面上の3つの高さ検出対象点の高さ検出を簡便に行うことができるようになる。
 実施の形態では回転テーブル41を含むトレイ7のアライメントのための機構を独立のアラインメント室4に配置している。しかし、回転テーブル41を含むトレイ7のアライメントのための機構を搬送室3内に配置してもよい。この構成にも本発明を適用できる。
 ストック部2に関連する具体的な構成は実施の形態のものに限定されない。例えば、図25に示す変形例のプラズマ処理装置1は、ストック部2に隣接して設けられた移載部81を備える。移載部81からストック部2に処理前のウエハWを収容したトレイ7が供給され、これらのトレイ7はウエハWの処理後にストック部2から移載部81に戻される。移載部81内の移載室82には移載ロボット83が収容されている。
 移載ロボット83は、図25において矢印G1で概念的に示すように、トレイ7の収容孔7aにプラズマ処理前のウエハWを収容する作業、つまりトレイ7へウエハWを移載する作業を実行する。また、移載ロボット83は、図25において矢印G2で概念的に示すように、ドライエッチング済みのウエハWをトレイ7から移載する作業を実行する。さらに、移載ロボット83は、処理前のウエハWを収容したトレイ7を移載部81からストック部2に搬入する作業(図25の矢印H1)と、処理後のウエハWを収容したトレイ7をストック部2から移載部81に搬出する作業(図25の矢印H2)とを実行する。
 ウエハにレジスト焼けが生じることを防止することができるプラズマ処理装置を提供する。
 1 プラズマ処理装置
 2 ストック部
 3 搬送室(搬送部)
 4 アライメント室(アライメント部)
 5 処理室(処理部)
 6a アライメント処理部
 6b 収容状態判定部(判定部)
 7 トレイ
 7a 収容孔
 30 搬送機構
 31 搬送アーム
 41 回転テーブル(テーブル)
 42 センタリング機構
 43 ノッチ検出センサ
 44 高さ検出センサ(高さ検出部)
 51 サセプタ(支持台)
 52 プラズマ処理部
 80 カメラ(撮像部)
 81 移載部
 82 移載室
 83 移載ロボット
 W ウエハ

Claims (10)

  1.  複数の収容孔それぞれにウエハを収容した搬送可能なトレイを供給及び回収するためのストック部と、
     前記ストック部から供給される前記トレイに収容された前記ウエハに対してプラズマ処理を実行する処理部と、
     前記プラズマ処理前の前記トレイが載置されるテーブルを備え、このテーブル上の前記ウエハの位置決めが行われるアライメント部と、
     前記アライメント部の前記テーブルに載置された前記トレイの各収容孔に収容されたウエハが対応する収容孔に対して位置ずれを起こしているか否かの検出を行う収容状態検出部と
     を備えたことを特徴とするプラズマ処理装置。
  2.  前記トレイを搬送する搬送機構と、
     前記収容状態検出部が前記テーブルに載置された前記トレイのいずれかの前記収容孔のウエハに位置ずれを起こしていることを検出すると、前記搬送機構により前記テーブル上の前記トレイを前記処理部に搬送することなく前記ストック部に戻す搬送制御部と
     をさらに備えることを特徴とする請求項1に記載のプラズマ処理装置。
  3.  前記収容状態検出部は、
     前記テーブルに載置された前記トレイが備える複数の前記収容孔に収容された各ウエハの表面のウエハ側対象点における高さを検出する高さ検出部と、
     前記高さ検出部により検出された前記ウエハ側対象点における前記ウエハの表面の高さを用いて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行う判定部と
     を備えることを特徴とする請求項1又は請求項2に記載のプラズマ処理装置。
  4.  前記高さ検出部は、前記ウエハ側対象点と前記収容孔の孔縁を挟んで対向するトレイ側対象点におけるトレイの表面の高さをさらに検出し、
     前記判定部は、前記ウエハ側測定点における前記ウエハの表面の高さと前記トレイ側対象点における前記トレイの表面の高さとの比較に基づいて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行うことを特徴とする、請求項3に記載のプラズマ処理装置。
  5.  前記判定部は、前記高さ検出部が検出した前記ウエハ側対象点の高さに基づいて各収容孔内に前記ウエハが存在するか否かの判定をさらに行う、請求項3又は請求項4のいずれか1項に記載のプラズマ処理装置。
  6.  前記収容状態検出部は、
     前記テーブルに載置された前記トレイが備える複数の前記収容孔に収容された各ウエハを上方から撮像する撮像部と、
     前記撮像部により得られた画像に基づいて、各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの判定を行う判定部手段と
     を備えることを特徴とする請求項1又は請求項2に記載のプラズマ処理装置。
  7.  前記判定部は、前記撮像部により得られた画像に基づいて各収容孔内に前記ウエハが存在するか否かの判定をさらに行う、請求項6に記載のプラズマ処理装置。
  8.  前記テーブルは前記トレイを水平面内で回転させる回転テーブルであり、
     前記収容状態検出部は、前記回転テーブルによる前記トレイの回転中に、前記トレイが備える前記複数の収容孔に収容された各ウエハが対応する収容孔に対して位置ずれを起こしているか否かの検出を行うことを特徴とする、請求項1から請求項7のいずれか1項に記載のプラズマ処理装置。
  9.  前記収容状態検出部が前記トレイのいずれかの前記収容孔の前記ウエハが位置ずれを起こしていることを検出すると警報を発生する警報発生部をさらに備える、請求項1から請求項8のいずれか1項に記載のプラズマ処理装置。
  10.  複数の収容孔それぞれにウエハを収容したトレイを、ストック部からアラインメント部に搬送してテーブルに載置し、
     前記アライメント部の前記テーブル上の前記トレイの各収容孔内の前記ウエハの収容状態を検出し、
     前記テーブル上の前記トレイのすべての前記収容孔内に前記ウエハが位置ずれを起こすことなく収容されていれば、前記トレイを前記アラインメント部から処理部に搬送してプラズマ処理を実行し、
     前記テーブル上の前記トレイのいずれかの前記収容孔の前記ウエハが位置ずれを起こしていれば、前記トレイを前記アラインメント部から前記ストック部に戻す、プラズマ処理方法。
PCT/JP2011/002909 2010-06-01 2011-05-25 プラズマ処理装置及びプラズマ処理方法 WO2011151996A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2012518226A JP5593384B2 (ja) 2010-06-01 2011-05-25 プラズマ処理装置及びプラズマ処理方法
US13/701,170 US8883025B2 (en) 2010-06-01 2011-05-25 Plasma processing apparatus and plasma processing method
CN201180027087.6A CN102939648B (zh) 2010-06-01 2011-05-25 等离子处理装置以及等离子处理方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010-125593 2010-06-01
JP2010125592 2010-06-01
JP2010-125592 2010-06-01
JP2010125593 2010-06-01

Publications (1)

Publication Number Publication Date
WO2011151996A1 true WO2011151996A1 (ja) 2011-12-08

Family

ID=45066389

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2011/002909 WO2011151996A1 (ja) 2010-06-01 2011-05-25 プラズマ処理装置及びプラズマ処理方法

Country Status (4)

Country Link
US (1) US8883025B2 (ja)
JP (1) JP5593384B2 (ja)
CN (1) CN102939648B (ja)
WO (1) WO2011151996A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013131748A1 (de) * 2012-03-07 2013-09-12 Osram Opto Semiconductors Gmbh Substratträgeranordnung, beschichtungsanlage mit substratträgeranordnung und verfahren zur durchführung eines beschichtungsverfahrens
JP2014060240A (ja) * 2012-09-18 2014-04-03 Disco Abrasive Syst Ltd 加工装置
JP2014123673A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP2014154565A (ja) * 2013-02-04 2014-08-25 Epicrew Inc 撮像装置、半導体製造装置および半導体製造方法
KR20160001683A (ko) * 2014-06-27 2016-01-06 어플라이드 머티어리얼스, 인코포레이티드 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
WO2016117427A1 (ja) * 2015-01-20 2016-07-28 日本碍子株式会社 ウエハ支持構造体
KR20190005113A (ko) * 2017-07-05 2019-01-15 도쿄엘렉트론가부시키가이샤 기판 휨 감시 장치 및 이것을 사용한 기판 처리 장치, 그리고 기판 휨 감시 방법
JP2020096017A (ja) * 2018-12-10 2020-06-18 株式会社 天谷製作所 成膜用冶具及び常圧気相成長装置
JP2020096129A (ja) * 2018-12-14 2020-06-18 株式会社アルバック ロードロックチャンバ及び真空処理装置
JP7389076B2 (ja) 2021-03-22 2023-11-29 大陽日酸株式会社 基板搬送機構及びこれを用いた基板搬送方法

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP6063944B2 (ja) * 2011-09-22 2017-01-18 エーシーエム リサーチ (シャンハイ) インコーポレーテッド フリップチップアセンブリの洗浄方法及び装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR20150060605A (ko) * 2012-10-04 2015-06-03 다이요닛산 가부시키가이샤 기상성장장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014157358A1 (ja) * 2013-03-28 2014-10-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN104425328B (zh) * 2013-09-06 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 托盘原点定位***及托盘原点定位方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
CN105336655A (zh) * 2014-06-12 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种承载装置及半导体加工设备
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102230847B1 (ko) * 2014-08-20 2021-03-23 주식회사 탑 엔지니어링 웨이퍼 트레이 조립 지그 및 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20160047857A (ko) * 2014-10-23 2016-05-03 주식회사 탑 엔지니어링 웨이퍼 트레이, 웨이퍼 트레이 조립 지그 및 조립 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105789075B (zh) * 2014-12-16 2019-02-19 北京北方华创微电子装备有限公司 基座旋转是否正常的监测方法及装卸载基片的方法
CN105762093B (zh) * 2014-12-16 2019-02-19 北京北方华创微电子装备有限公司 工艺腔室及判断托盘上的晶片位置是否异常的方法
CN105789103B (zh) * 2014-12-17 2019-02-19 北京北方华创微电子装备有限公司 转盘***及半导体加工设备
CN104505353A (zh) * 2014-12-22 2015-04-08 杭州立昂微电子股份有限公司 平板式外延炉的载片错位监测装置及监测方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104716070B (zh) * 2015-04-03 2017-12-26 合肥京东方光电科技有限公司 尺寸检测装置及基板装载装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107591354A (zh) * 2016-07-06 2018-01-16 苏州能讯高能半导体有限公司 一种可适用于多尺寸样片的样片托盘
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) * 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) * 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7488442B2 (ja) * 2019-09-26 2024-05-22 シンフォニアテクノロジー株式会社 搬送システム
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114344511B (zh) * 2021-12-31 2024-02-23 桂林电子科技大学 等离子体灭菌装置和等离子体灭菌方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03276092A (ja) * 1990-03-26 1991-12-06 Rohm Co Ltd 物品整列用治具への物品セッティング方法
JP2002321131A (ja) * 2001-04-23 2002-11-05 Fujikoshi Mach Corp ワークの供給装置
JP2005142200A (ja) * 2003-11-04 2005-06-02 Sharp Corp 気相成長装置および気相成長方法
JP2009177190A (ja) * 2009-01-30 2009-08-06 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2009200142A (ja) * 2008-02-20 2009-09-03 Nuflare Technology Inc 成膜装置および成膜方法
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6099596A (en) * 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
KR100387525B1 (ko) * 2001-02-05 2003-06-18 삼성전자주식회사 반도체 웨이퍼 위치 상태 감지시스템 및 그 방법
JP2002353292A (ja) * 2001-05-29 2002-12-06 Tokyo Electron Ltd 基板処理装置、基板処理システム及び判別方法並びに基板処理方法
JP3795820B2 (ja) * 2002-03-27 2006-07-12 株式会社東芝 基板のアライメント装置
KR100719367B1 (ko) * 2005-06-24 2007-05-17 삼성전자주식회사 반도체 제조 장치 및 웨이퍼 가공 방법
EP1904893B1 (en) * 2005-07-11 2012-11-28 Brooks Automation, Inc. Substrate transport apparatus with automated alignment
US20080079920A1 (en) * 2006-09-29 2008-04-03 Heiko Hommen Wafer exposure device and method
JP5118580B2 (ja) * 2008-08-22 2013-01-16 株式会社ディスコ 高さ位置検出装置および高さ位置検出方法
JP4781445B2 (ja) 2009-03-23 2011-09-28 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
CN102918640B (zh) * 2010-05-27 2015-11-25 松下知识产权经营株式会社 等离子体处理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03276092A (ja) * 1990-03-26 1991-12-06 Rohm Co Ltd 物品整列用治具への物品セッティング方法
JP2002321131A (ja) * 2001-04-23 2002-11-05 Fujikoshi Mach Corp ワークの供給装置
JP2005142200A (ja) * 2003-11-04 2005-06-02 Sharp Corp 気相成長装置および気相成長方法
JP2009200142A (ja) * 2008-02-20 2009-09-03 Nuflare Technology Inc 成膜装置および成膜方法
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2009177190A (ja) * 2009-01-30 2009-08-06 Panasonic Corp プラズマ処理装置及びプラズマ処理方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576839B2 (en) 2012-03-07 2017-02-21 Osram Opto Semiconductors Gmbh Substrate carrier arrangement, coating system having a substrate carrier arrangement and method for performing a coating process
WO2013131748A1 (de) * 2012-03-07 2013-09-12 Osram Opto Semiconductors Gmbh Substratträgeranordnung, beschichtungsanlage mit substratträgeranordnung und verfahren zur durchführung eines beschichtungsverfahrens
JP2014060240A (ja) * 2012-09-18 2014-04-03 Disco Abrasive Syst Ltd 加工装置
JP2014123673A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP2014154565A (ja) * 2013-02-04 2014-08-25 Epicrew Inc 撮像装置、半導体製造装置および半導体製造方法
KR102410568B1 (ko) * 2014-06-27 2022-06-16 어플라이드 머티어리얼스, 인코포레이티드 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
KR20160001683A (ko) * 2014-06-27 2016-01-06 어플라이드 머티어리얼스, 인코포레이티드 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
KR102567811B1 (ko) 2014-06-27 2023-08-16 어플라이드 머티어리얼스, 인코포레이티드 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
KR20220088394A (ko) * 2014-06-27 2022-06-27 어플라이드 머티어리얼스, 인코포레이티드 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
WO2016117427A1 (ja) * 2015-01-20 2016-07-28 日本碍子株式会社 ウエハ支持構造体
JP6010720B1 (ja) * 2015-01-20 2016-10-19 日本碍子株式会社 ウエハ支持構造体
US10332774B2 (en) 2015-01-20 2019-06-25 Ngk Insulators, Ltd. Wafer support structure
KR20190005113A (ko) * 2017-07-05 2019-01-15 도쿄엘렉트론가부시키가이샤 기판 휨 감시 장치 및 이것을 사용한 기판 처리 장치, 그리고 기판 휨 감시 방법
KR102354310B1 (ko) * 2017-07-05 2022-01-21 도쿄엘렉트론가부시키가이샤 기판 휨 감시 장치 및 이것을 사용한 기판 처리 장치, 그리고 기판 휨 감시 방법
JP2019016662A (ja) * 2017-07-05 2019-01-31 東京エレクトロン株式会社 基板反り監視装置及びこれを用いた基板処理装置、並びに基板反り監視方法
JP2020096017A (ja) * 2018-12-10 2020-06-18 株式会社 天谷製作所 成膜用冶具及び常圧気相成長装置
JP7387129B2 (ja) 2018-12-10 2023-11-28 株式会社 天谷製作所 成膜用冶具及び常圧気相成長装置
JP2020096129A (ja) * 2018-12-14 2020-06-18 株式会社アルバック ロードロックチャンバ及び真空処理装置
JP7389076B2 (ja) 2021-03-22 2023-11-29 大陽日酸株式会社 基板搬送機構及びこれを用いた基板搬送方法

Also Published As

Publication number Publication date
US20130068727A1 (en) 2013-03-21
US8883025B2 (en) 2014-11-11
JP5593384B2 (ja) 2014-09-24
CN102939648A (zh) 2013-02-20
CN102939648B (zh) 2015-05-27
JPWO2011151996A1 (ja) 2013-07-25

Similar Documents

Publication Publication Date Title
JP5593384B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5475124B2 (ja) プラズマ処理装置
JP4799325B2 (ja) 基板受け渡し装置,基板処理装置,基板受け渡し方法
TWI525727B (zh) Wafer inspection interface and wafer inspection device
US20070092651A1 (en) Substrate processing apparatus and substrate processing method
KR20120112164A (ko) 기판 처리 장치
JP2009200063A (ja) 基板の変形検出機構,処理システム,基板の変形検出方法及び記録媒体
KR101384440B1 (ko) 물체의 반출입 방법 및 반출입 장치, 노광 방법 및 노광장치와 디바이스 제조 방법
JP2009164226A (ja) 基板処理装置および基板の芯合わせ方法
KR101993975B1 (ko) 피처리 기판의 탑재 위치의 설정 방법 및 성막 시스템
KR20180006710A (ko) 기판 처리 장치
JP2018022721A (ja) ティーチング治具、基板処理装置及びティーチング方法
JP6994437B2 (ja) 基板保持具及び走査型電子顕微鏡装置
TWI818482B (zh) 基板處理裝置、教示資訊生成方法、教示組及基板型治具
CN116364601A (zh) 用于处理基板的装置及用于处理基板的方法
JP2017116889A (ja) 搬送装置及び方法、露光システム及び露光方法、並びにデバイス製造方法
KR20160021405A (ko) 기판 처리 장치 및 방법
WO2024042950A1 (ja) 基板処理装置
WO1999060625A1 (fr) Procede et appareil pour le transport de plaquettes, systeme d'exposition, micro dispositif et banque de reticules
JP2006013208A (ja) 露光装置
CN117637526A (zh) 衬底处理装置
CN117637585A (zh) 衬底处理装置
JP2024031323A (ja) 基板処理装置
TW202301514A (zh) 外殼系統結構
TW202418511A (zh) 基板處理裝置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180027087.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11789410

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2012518226

Country of ref document: JP

Ref document number: 13701170

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11789410

Country of ref document: EP

Kind code of ref document: A1