TWI776863B - 介電膜形成性組成物(二) - Google Patents

介電膜形成性組成物(二) Download PDF

Info

Publication number
TWI776863B
TWI776863B TW107107682A TW107107682A TWI776863B TW I776863 B TWI776863 B TW I776863B TW 107107682 A TW107107682 A TW 107107682A TW 107107682 A TW107107682 A TW 107107682A TW I776863 B TWI776863 B TW I776863B
Authority
TW
Taiwan
Prior art keywords
acrylate
meth
dielectric film
composition
film
Prior art date
Application number
TW107107682A
Other languages
English (en)
Other versions
TW201912717A (zh
Inventor
山傑 瑪利卡
威廉 A. 雷奈斯
畢諾 B. 德
Original Assignee
美商富士軟片電子材料美國股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商富士軟片電子材料美國股份有限公司 filed Critical 美商富士軟片電子材料美國股份有限公司
Publication of TW201912717A publication Critical patent/TW201912717A/zh
Application granted granted Critical
Publication of TWI776863B publication Critical patent/TWI776863B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F283/00Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G
    • C08F283/04Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G on to polycarbonamides, polyesteramides or polyimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • C08F2/50Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light with sensitising agents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/102Esters of polyhydric alcohols or polyhydric phenols of dialcohols, e.g. ethylene glycol di(meth)acrylate or 1,4-butanediol dimethacrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/105Esters of polyhydric alcohols or polyhydric phenols of pentaalcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F267/00Macromolecular compounds obtained by polymerising monomers on to polymers of unsaturated polycarboxylic acids or derivatives thereof as defined in group C08F22/00
    • C08F267/10Macromolecular compounds obtained by polymerising monomers on to polymers of unsaturated polycarboxylic acids or derivatives thereof as defined in group C08F22/00 on to polymers of amides or imides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1003Preparatory processes
    • C08G73/1007Preparatory processes from tetracarboxylic acids or derivatives and diamines
    • C08G73/101Preparatory processes from tetracarboxylic acids or derivatives and diamines containing chain terminating or branching agents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1085Polyimides with diamino moieties or tetracarboxylic segments containing heterocyclic moieties
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1092Polysuccinimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/24Impregnating materials with prepolymers which can be polymerised in situ, e.g. manufacture of prepregs
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/01Use of inorganic substances as compounding ingredients characterized by their specific function
    • C08K3/013Fillers, pigments or reinforcing additives
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • C08K5/0025Crosslinking or vulcanising agents; including accelerators
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • C09D4/06Organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond in combination with a macromolecular compound other than an unsaturated polymer of groups C09D159/00 - C09D187/00
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/025Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/037Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0387Polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3737Organic materials with or without a thermoconductive filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/106Esters of polycondensation macromers
    • C08F222/1065Esters of polycondensation macromers of alcohol terminated (poly)urethanes, e.g. urethane(meth)acrylates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2379/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen, or carbon only, not provided for in groups C08J2361/00 - C08J2377/00
    • C08J2379/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08J2379/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K2201/00Specific properties of additives
    • C08K2201/002Physical properties
    • C08K2201/005Additives being defined by their particle size in general
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/04Oxygen-containing compounds
    • C08K5/09Carboxylic acids; Metal salts thereof; Anhydrides thereof
    • C08K5/098Metal salts of carboxylic acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Laminated Bodies (AREA)
  • Paints Or Removers (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Graft Or Block Polymers (AREA)
  • Inorganic Insulating Materials (AREA)
  • Materials For Photolithography (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

本揭示有關介電膜形成性組成物,其含有至少一種完全醯亞胺化的聚醯亞胺聚合物;至少一種無機填料;至少一種含金屬的(甲基)丙烯酸酯化合物;及至少一種催化劑。由此一組成物形成之介電膜可具有相對低的熱膨脹係數(CTE)及相對高的透光度。

Description

介電膜形成性組成物(二)
相關申請案之交叉引用 本申請案請求於2017年11月6日提申之美國臨時申請案第62/581,895號及於2017年9月11日提申之美國臨時申請案第62/556,723號之優先權。該等優先申請案之全部在此併入本案以為參考。
本發明係有關於介電膜形成性組成物。
行動計算之持續的擴展,需要於更小的元件空間中封裝日益增長的計算能力。半導體元件之設計人員仰賴使用各種新晶片架構以符合新元件的需求。此等新架構不僅對新積體電路之設計人員,亦對將用於此等元件之封裝材料之設計人員帶來極大的挑戰。
因為新型先進的元件極度仰賴晶圓與面板級的封裝(WLP及PLP)及3D集成,所以用於封裝應用之介電材料的需求不斷的發展。用於先進封裝設計之介電膜之重要要求之一是低熱膨脹係數(CTE)。近年來,已於成膜組成物中使用填料如二氧化矽粒子來降低所產生之膜的CTE。此方式之缺點為當填料之量增加時,膜之透明度會降低,且利用光化輻射圖案化此一介電膜變得困難。此外,此一介電膜之機械特性會隨著填料量之增加而受損。本揭示有關一種新穎的組成物,其意外地降低所形成之介電膜之CTE,同時保持該膜之透明度。此外,如此形成之膜保有其等之機械特性如斷裂伸長度及楊氏模量。
在一態樣中,本揭示之特徵為一種介電膜形成性組成物,其含有: a)至少一種完全醯亞胺化的聚醯亞胺聚合物; b)至少一種無機填料; c)至少一種含金屬的(甲基)丙烯酸酯化合物;及 d)至少一種能夠誘發聚合反應之催化劑。
在另一態樣中,本揭示之特徵為一種由在此所述之組成物形成之介電膜(如,自立膜)。在一些實施例中,該介電膜可包括(如,交聯前):a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;及c)至少一種含金屬的(甲基)丙烯酸酯(如,未交聯含金屬的(甲基)丙烯酸酯);及d)至少一種能夠誘發聚合反應之催化劑。在一些實施例中,該介電膜可包括(如,交聯後):a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;及c)至少一種交聯含金屬的(甲基)丙烯酸酯。
在另一態樣中,本揭示之特徵為一種乾膜,其包括一載體基材;及由該載體基材支撐之在此所述之一介電膜。
在另一態樣中,本揭示之特徵為一種用於產生一圖案化介電膜之方法,其包括下列步驟: a)將在此所述之介電膜形成性組成物塗佈(如,利用旋塗)在一基材上,形成一介電膜;及 b)利用雷射剝蝕程序或光刻程序圖案化該膜,以形成該圖案化介電膜。
在又另一態樣中,本揭示之特徵為一種用於製備一乾膜結構之方法。該方法包括: (A)用在此所述之介電膜形成性組成物,塗佈含至少一種塑性膜之一載體基材; (B)乾燥該塗佈的組成物,形成該介電膜;及 (C)任擇地於該介電膜上施用一保護層。
在此使用之術語“完全醯亞胺化”意指本揭示之聚醯亞胺聚合物至少約90% (如,至少約95%、至少約98%、至少約99%或約100%)為醯亞胺化的。在此使用之含金屬的(甲基)丙烯酸酯(MCA)意指含金屬與(甲基)丙烯酸酯配位基之化合物。MCA之(甲基)丙烯酸酯配位基具有充份的反應性,使得該MCA能參與自由基誘發的含MCA膜之交聯反應。在此使用之術語“(甲基)丙烯酸酯”包括丙烯酸酯與甲基丙烯酸酯二者。在此使用之催化劑(如,引發劑),是當曝露於熱和/或輻射源時能夠誘發聚合反應或交聯反應之化合物。在此使用之交聯劑,是在催化劑之存在下能夠進行交聯或聚合反應之含二或多個烯基或炔基基團之化合物。
在一些實施例中,本揭示之特徵為一種介電膜形成性組成物,其含有: a)至少一種完全醯亞胺化的聚醯亞胺聚合物; b)至少一種無機填料; c)至少一種含金屬的(甲基)丙烯酸酯;及 d)至少一種能夠誘發聚合反應之催化劑。
在一些實施例中,該介電膜形成性組成物之至少一種完全醯亞胺化的聚醯亞胺聚合物,是由至少一個二胺與至少一個二羧酸二酐反應製得的。
適合的二胺之例子包括,但不限於,1-(4-胺苯基)-1,3,3-三甲基二氫茚-5-胺(別稱包括4,4'-[1,4-伸苯基-雙(1-甲基亞乙基)]二苯胺)、1-(4-胺苯基)-1,3,3-三甲基-2H-茚-5-胺、1-(4-胺苯基)-1,3,3-三甲基-二氫茚-5-胺、[1-(4-胺苯基)-1,3,3-三甲基-二氫茚-5-基]胺、1-(4-胺苯基)-2,3-二氫-1,3,3-三甲基-1H-茚-5-胺、5-胺基-6-甲基-1-(3'-胺基-4'-甲基苯基)-1,3,3-三甲基二氫茚、4-胺基-6-甲基-1-(3'-胺基-4'-甲基苯基)-1,3,3-三甲基二氫茚、5,7-二胺基-1,1-二甲基二氫茚、4,7-二胺基-1,1-二甲基二氫茚、5,7-二胺基-1,1,4-三甲基二氫茚、5,7-二胺基-1,1,6-三甲基二氫茚、5,7-二胺基-1,1-二甲基-4-乙基二氫茚、對-苯二胺、間-苯二胺、鄰-苯二胺、3-甲基-1,2-苯-二胺、1,2-二胺乙烷、1,3-二胺丙烷、1,4-二胺丁烷、1,5-二胺戊烷、1,6-二胺己烷、1,7-二胺庚烷、1,8-二胺辛烷、1,9-二胺壬烷、1,10-二胺癸烷、1,2-二胺環己烷、1,4-二胺環己烷、1,3-環己烷雙(甲胺)、5-胺基-1,3,3-三甲基環己烷甲胺、2,5-二胺基三氟甲苯、3,5-二胺基三氟甲苯、1,3-二胺基-2,4,5,6-四氟苯、4,4'-二胺基二苯醚、3,4'-二胺基二苯醚、3,3'-二胺基二苯醚、3,3'-二胺聯苯碸、4,4'-二胺聯苯碸、4,4'-異亞丙基二苯胺、4,4'-二胺聯苯甲烷、2,2-雙(4-胺苯基)丙烷、4,4' 二胺聯苯丙烷、4,4'-二胺聯苯硫化物、4,4'- 二胺聯苯碸、4-胺苯基-3-胺基苯甲酸酯、2,2'-二甲基-4,4'-二胺聯苯、3,3'-二甲基-4,4'-二胺聯苯、2,2'-雙(三氟甲基) 聯胺苯、3,3'-雙(三氟甲基)聯胺苯、2,2-雙[4-(4-胺基苯氧基苯基)]六氟丙烷、2,2-雙(3-胺基-4-甲基苯基)-六氟丙烷、2,2-雙(3-胺苯基)-1,1,1,3,3,3-六氟丙烷、1,3-雙-(4-胺基苯氧基)苯、1,3-雙-(3-胺基苯氧基)苯、1,4-雙-(4-胺基苯氧基)苯、1,4-雙-(3-胺基苯氧基)苯、1-(4-胺基苯氧基)-3-(3-胺基苯氧基)苯、2,2'-雙-(4-苯氧基苯胺)異亞丙基、雙(對-b-胺基-叔-丁苯基)醚、對-雙-2-(2-甲基-4-胺戊基)苯、對-雙(1,1-二甲基-5-胺戊基)苯、3,3'-二甲基-4,4'-二胺聯苯、4,4'-二胺基二苯甲酮、3'-二氟聯胺苯、2,2-雙[4-(4-胺基苯氧基)苯基]丙烷、4,4'-[1,3-伸苯基雙(1-甲基-亞乙基)]二苯胺、4,4'-[1,4-伸苯基雙(1-甲基-亞乙基)]二苯胺、2,2-雙[4-(4-胺基苯氧基)苯基]碸、2,2-雙[4-(3-胺基苯氧基)苯]、1,4-雙(4-胺基苯氧基)苯、1,3-雙(4-胺基苯氧基)苯、(1,3'-雙(3-胺基苯氧基)苯及9H-茀-2,6-二胺。任一此等二胺可個別使用或以任一比率合併使用,只要所產的聚醯亞胺聚合物滿足本揭示之要求即可。
四羧酸二酐單體例子包括,但不限於,1-(3',4'-二羧基苯基)-1,3,3-三甲基二氫茚-5,6-二羧酸二酐、1-(3',4'-二羧基苯基)-1,3,3-三甲基二氫茚-6,7-二羧酸二酐、1-(3',4'-二羧基苯基)-3-甲基二氫茚-5,6-二羧酸二酐、1-(3',4'-二羧基苯基)-3-甲基二氫茚-6,7-二羧酸酐、吡嗪-2,3,5,6-四羧酸二酐、噻吩-2,3,4,5-四羧酸二酐、2,3,5,6-吡啶四羧酸二酐、降茨烷-2,3,5,6-四羧酸二酐、二環[2.2.2]辛-7-烯-3,4,8,9-四羧酸二酐、四環[4.4.1.02,5 .07,10 ]十一烷-1,2,3,4-四羧酸二酐、3,3',4,4'-二苯基酮四羧酸二酐、3,3',4,4'-二苯基碸四羧酸二酐、3,3',4,4'-二苯醚四羧酸二酐、2,3,3',4'-二苯醚四羧酸二酐、2,2-[雙(3,4-二羧基苯基)]六氟丙烷二酐、乙二醇雙(脫水偏苯三酸酯)及5-(2,5-二氧四氫)-3-甲基-3-環己烯-1,2-二羧酸酐。更佳的四羧酸二酐單體包括2,2-[雙(3,4-二羧基苯基)]六氟丙烷二酐、3,3',4,4'-二苯基酮四羧酸二酐、3,3',4,4'-二苯基碸四羧酸二酐及3,3',4,4'-二苯醚四羧酸二酐。任一此等四羧酸二酐可單獨使用或以任一比率結合使用,只要所產生的聚醯亞胺聚合物符合本揭示之需求即可。
通常,因此形成之聚醯亞胺聚合物可溶於有機溶劑中。在一些實施例中,該聚醯亞胺聚合物在25°C有機溶劑中可具有至少約50mg/mL (如,至少約100mg/mL或至少約200mg/mL)之溶解度。有機溶劑之例子包括,但不限於,內酯類,如g-丁內酯、ε-己內酯、γ-己內酯及δ-戊內酯;環酮類,如環戊酮及環己酮;直鏈酮類,如甲乙酮(MEK);酯類,如醋酸正丁酯;酯醇類,如乳酸乙酯;醚醇類,如四氫糠醇;乙二醇酯類,如丙二醇甲醚醋酸酯;及吡咯烷酮類,如正-甲基吡咯烷酮。
在一些實施例中,為合成該完全醯亞胺化的聚醯亞胺(PI)聚合物,首先製備聚醯亞胺前趨物聚合物。在一些實施例中,該PI前趨物聚合物是聚醯胺酸(PAA)聚合物。在一些實施例中,該PI前趨物是聚醯胺酯(PAE)聚合物。在一些實施例中,使一或多個二胺於至少一種(如,二、三或更多種)聚合溶劑中與一或多個四羧酸二酐結合,形成聚醯胺酸(PAA)聚合物。在一些實施例中,將所形成的PAA聚合物以化學或熱醯亞胺化成PI聚合物。在一些實施例中,在該聚合物合成期間或之後,使用適當的試劑封住該PAA聚合物之端基。在一些實施例中,將所形成的PAA聚合物酯化成聚醯胺酯(PAE)聚合物。在一些實施例中,該PAE聚合物是經由在至少一種聚合溶劑中結合四羧酸半酯與一或多個二胺而形成的。在一些實施例中,使用適當的試劑封住該PAE聚合物之端基。在一些實施例中,封端PI聚合物係從含有封端基團之PAA聚合物或PAE聚合物合成而得。在一些實施例中,在醯亞胺化之後,封住此一PI聚合物之端基。
在一些實施例中,於PAA聚合物中添加化學醯亞胺化劑(如,脫水劑),催化該聚醯胺酸基團之閉環脫水過程,形成醯亞胺官能基,從而形成PI聚合物。適合的脫水劑之例子包括,但不限於,三氟甲磺酸、甲磺酸、對-甲苯磺酸、乙磺酸、丁磺酸、全氟丁磺酸、乙酸酐、丙酸酐及丁酸酐。此外,可藉由進一步添加鹼性催化劑來催化此脫水過程。適合的鹼性催化劑之例子包括,但不限於,吡啶、三乙胺、三丙胺、三丁胺、二環己基甲胺、2,6-二甲吡啶、3,5-二甲吡啶、甲吡啶、4-二甲基胺基吡啶(DMAP)等等。
用於合成封端及未封端PI前趨物聚合物之方法是熟悉此技藝之人士熟知的。此方法之例子揭示於美國專利案號US2,731,447、US3,435,002、US3,856,752、US3,983,092、US4,026,876、US4,040,831、US4,579,809、US4,629,777、US4,656,116、US4,960,860、US4,985,529、US5,006,611、US5,122,436、US5,252,534、US5,4789,15、US5,773,559、US5,783,656、US5,969,055、US9,617,386及美國專利申請公開案號US20040265731、US20040235992及US2007083016中,其等之全部內容在此併入本案以為參考。
用於製備PI聚合物或其等之前趨物之聚合溶劑通常是一種極性、非質子性溶劑,或二或多種極性、非質子性溶劑之組合。適合的極性、非質子性溶劑包括,但不限於,二甲基甲醯胺(DMF)、二甲基乙醯胺(DMAc)、N-甲醯嗎啉(NFM)、N-甲基吡咯烷酮(NMP)、N-乙基吡咯烷酮(NEP)、二甲基亞碸(DMSO)、g-丁內酯(GBL)、六甲基磷酸三醯胺(HMPT)、四氫呋喃(THF)、甲基四氫呋喃、1,4-二噁烷及其等之混合物。
在一些實施例中,在此所述之聚醯亞胺聚合物之重量平均分子量(Mw)為至少約5,000道爾頓(如,至少約10,000道爾頓、至少約20,000道爾頓、至少約25,000道爾頓、至少約30,000道爾頓、至少約35,000道爾頓、至少約40,000道爾頓或至少約45,000道爾頓)和/或最多約100,000道爾頓(如,最多約90,000道爾頓、最多約80,000道爾頓、最多約70,000道爾頓、最多約65,000道爾頓、最多約60,000道爾頓、最多約55,000道爾頓或最多約50,000道爾頓)。在一些實施例中,該完全醯亞胺化的聚醯亞胺聚合物之重量平均分子量(Mw)從約20,000道爾頓至約70,000道爾頓或從約30,000道爾頓至約80,000道爾頓。該重量平均分子量可透過凝膠滲透層析法且使用聚苯乙烯標準品計算而得。
在一些實施例中,該聚醯亞胺(A)之量為該介電膜形成性組成物之總重量之至少約3重量% (如,至少約6重量%、至少約9重量%、至少約12重量%或至少約15重量%)和/或最多約40重量% (如,最多約35重量%、最多約30重量%、最多約25重量%或最多約20重量%)。在一些實施例中,該介電膜形成性組成物中該聚醯亞胺(A)之量為該組成物中固體量之至少約10重量% (如,至少約12.5重量%、至少約15重量%、至少約20重量%或至少約25重量%)和/或最多約60重量% (如,最多約55重量%、最多約50重量%、最多約45重量%、最多約40重量%、最多約35重量%或最多約30重量%)。該組成物中之固體量,意指該組成物之總重量減去該組成物中之溶劑量(即,或由該組成物形成之介電膜之固體總重量)。
在一些實施例中,在此所述之介電膜形成性組成物中之無機填料可包括無機粒子。在一些實施例中,該無機粒子選自於由下列所構成之群組:二氧化矽、氧化鋁、二氧化鈦、氧化鋯、氧化鉿、CdSe、CdS、CdTe、CuO、氧化鋅、氧化鑭、氧化鈮、氧化鎢、氧化鍶、氧化鈣鈦、鈦酸鈉、硫酸鋇、鈦酸鋇、鋯酸鋇及鈮酸鉀。較佳地,該無機填料呈平均粒徑約0.1-2.0微米之顆粒形式。在一些實施例中,該填料是含鐵磁性粒子之無機粒子。適合的鐵磁性材料包括元素金屬(如,鐵、鎳及鈷)或其等之氧化物、硫化物及氧氫氧化物,及介金屬化合物如鐵鎳磺(Ni3 Fe)、Wairaruite (CoFe)、Co17 Sm2 及Nd2 Fe14 B。
當該無機粒子是二氧化矽時,其可為親水性或疏水性的。疏水性二氧化矽填料可通過親水性、氣相二氧化矽之表面改質而產生,或通過直接形成表面改質的二氧化矽填料而產生。直接形成表面改質的二氧化矽填料,通常是由官能性矽烷之水解縮合達成。可在本揭示中使用之表面改質的二氧化矽填料之表面上,可具有反應性和/或無反應性基團。可在本揭示中使用之填料(如,二氧化矽填料)具有最多約2微米(如,最多約1.5微米、最多約1微米、最多約0.75微米、最多約0.5微米、最多約0.25微米或最多約0.2微米)和/或至少約0.1微米(如,至少約0.2微米、至少約0.3微米、至少約0.5微米或至少約1微米)之粒徑(如,平均粒徑)。該填料尺寸分佈可為窄的(如,基本上單分散)或寬的。所需的填料尺寸及分佈可通過熟悉此技藝之人士已知之任一技術達成,包括,但不限於,碾磨、研磨及過濾。在一些實施例中,該二氧化矽填料分散於有機溶劑中。在溶劑中的分散可以通過熟悉此技藝之人士已知的各種方法來完成,包括,但不限於,介質磨及高剪切混合。可用於分散二氧化矽填料之溶劑沒有特別限制。較佳的溶劑包括酯類、醚類、內酯類、酮類、醯胺類及醇類。更佳的溶劑包括GBL、環戊酮、環己酮、乳酸乙酯及正丁醇。
在一些實施例中,本揭示之介電膜形成性組成物中該填料(如,二氧化矽填料)之量為該組成物之總重量之至少約2重量% (如,至少約4重量%、至少約6重量%、至少約8重量%或至少約10重量%)和/或最多約20重量% (如,最多約18重量%、最多約15重量%或最多約12重量%)。在一些實施例中,本揭示之介電膜形成性組成物中該填料(如,二氧化矽填料)之量為該組成物中固體量之至少約5重量% (如,至少約10重量%、至少約14重量%、至少約17重量%或至少約20重量%)和/或最多約40重量% (如,最多約35重量%、最多約30重量%或最多約25重量%)。不希望受理論之約束,一般認為填料(如,二氧化矽填料)之存在可降低該介電膜形成性組成物之CTE。
可用於本揭示之含金屬的(甲基)丙烯酸酯(MCAs),通常與該介電膜形成性組成物中之其它成份具有充分的相容性,且在混合時易於分散或溶於該組成物中。該MCAs可以固體或溶液之形式併入該介電膜形成性組成物中。通常,該含MCA之組成物在25°C下靜置至少24個小時之期間後不會相分離(即,變得看得出非均質)。此外,由該含MCA之組成物形成之介電膜通常看起來是清澈且均質的。
可用於本揭示中之MCAs之適合的金屬原子包括鈦、鋯、鉿及鍺。在一些實施例中,該MCAs包括至少一個金屬原子及至少一個(如,一、二、三或四個) (甲基)丙烯酸酯基團。較佳的MCAs含三或四個連接至各金屬原子之(甲基)丙烯酸酯基團。適合的MCAs之例子包括,但不限於,四(甲基)丙烯酸鈦、四(甲基)丙烯酸鋯、四(甲基)丙烯酸鉿、丁醇鈦三(甲基)丙烯酸酯、二丁醇鈦二(甲基)丙烯酸酯、三丁醇鈦(甲基)丙烯酸酯、丁醇鋯三(甲基)丙烯酸酯、二丁醇鋯二(甲基)丙烯酸酯、三丁醇鋯(甲基)丙烯酸酯、丁醇鉿三(甲基)丙烯酸酯、二丁醇鉿二(甲基)丙烯酸酯、三丁醇鉿(甲基)丙烯酸酯、鈦四(羧乙基(甲基)丙烯酸酯)、鋯四(羧乙基(甲基)丙烯酸酯)或鉿四(羧乙基(甲基)丙烯酸酯)、丁醇鈦三(羧乙基(甲基)丙烯酸酯)、二丁醇鈦二(羧乙基(甲基)丙烯酸酯)、三丁醇鈦(羧乙基(甲基)丙烯酸酯)、丁醇鋯三(羧乙基(甲基)丙烯酸酯)、二丁醇鋯二(羧乙基(甲基)丙烯酸酯)、三丁醇鋯(羧乙基(甲基)丙烯酸酯)、丁醇鉿三(羧乙基(甲基)丙烯酸酯)、二丁醇鉿二(羧乙基(甲基)丙烯酸酯)或三丁醇鉿(羧乙基(甲基)丙烯酸酯)。通常該MCAs之(甲基)丙烯酸酯基團具有充分的反應性,使得該MCA能參與由自由基誘發(可由該介電膜形成性組成物中所存在之一或多種催化劑產生)的含MCA膜之交聯或聚合反應。該交聯或聚合反應可在該介電膜形成性組成物中之至少二個MCAs之間或至少一個MCA與至少一個非MCA交聯劑之間發生。在一些實施例中,MCA是一交聯劑(如,當MCA包括二或多個(甲基)丙烯酸酯基團時)。在一些實施例中,MCA是適合用於聚合反應或作為鏈終止劑之單體(如,當該MCA僅包括一個(甲基)丙烯酸酯基團時)。在一些實施例中,於在此所述之組成物所形成之交聯介電膜中,該MCA是沒有交聯的(如,當該MCA僅包括一個(甲基)丙烯酸酯基團時)。
在一些實施例中,該介電膜形成性組成物中該MCA之量為該組成物之總重量之至少約0.5重量% (如,至少約1重量%、至少約2重量%、至少約3重量%、至少約4重量%或至少約5重量%)和/或最多約20重量% (如,最多約18重量%、最多約16重量%、最多約14重量%、最多約12重量%或最多約10重量%)。在一些實施例中,該介電膜形成性組成物中該MCA之量為該組成物中固體量之至少約1重量% (如,至少約2重量%、至少約4重量%、至少約6重量%、至少約8重量%或至少約10重量%)和/或最多約25重量% (如,最多約20重量%、最多約18重量%、最多約16重量%、最多約14重量%或最多約12重量%)。
出人意料的觀察到,該介電膜形成性組成物中至少一種MCA及至少一種無機填料之存在,可大幅地降低所產生之膜的CTE,同時保有透光度及韌性。
在一些實施例中,該MCA及無機填料之總量,為該介電膜形成性組成物中固體量之至少約20重量% (如,至少約25重量%、至少約30重量%、至少約35重量%)和/或最多約50重量% (如,最多約45重量%、最多約40重量%、最多約35重量%或最多約30重量%)。不希望受理論之約束,一般認為當該MCA及無機填料之總量在以上之範圍內時,如此形成之介電膜可有效地達到相對低CTE及相對高透光度二者。不希望受理論之約束,一般認為假如該MCA及無機填料之總量小於該組成物中固體量之20%時,則所產生的介電膜可能沒有所欲的CTE (如,CTE小於50ppm/°C)。再者,不希望受理論之約束,假如該MCA及無機填料之總量超過該組成物中固體量之50%,則所產生的介電膜可能很脆弱。
在一些實施例中,該介電膜形成性組成物中該無機填料與該MCA間之重量比為至少約1:1 (如,至少約1.5:1、至少約2:1、至少約2.5:1)和/或最多約5:1 (如,最多約4.5:1、最多約4:1、最多約3.5:1或最多約3:1)。不希望受理論之約束,一般認為當該無機填料與該MCA間之重量比在以上之範圍內時,如此形成之介電膜可有效地達到相對低CTE及相對高透光度二者。
在一些實施例中,本揭示之介電膜形成性組成物可包括至少一種催化劑(如,引發劑)。當曝露於熱和/或輻射源時,該催化劑能夠誘發交聯或聚合反應。
在一些實施例中,所使用之催化劑是光引發劑,在此該光引發劑是當曝露於高能輻射時能夠產生自由基之化合物。高能輻射之非限制性例子包括電子束、紫外線及X射線。不希望受理論之約束,一般認為光引發劑可誘發涉及MCA化合物之(甲基)丙烯酸酯基團和/或該組成物中能夠進行交聯或聚合反應之其它實體之交聯或聚合反應。此實體之例子包括交聯劑(如,非MCA交聯劑)及帶有烯基及炔基官能基團之聚醯亞胺。
光引發劑之特定例子包括,但不限於,1,8-辛二酮、1,8-雙[9-(2-乙己基)-6-硝基-9H-咔唑-3-基]-1,8-雙(O-乙醯肟)、2-羥基-2-甲基-1-苯基丙-1-酮、1-羥基環己基苯基酮(BASF之Irgacure 184)、1-羥基環己基苯基酮與二苯基酮之摻合物(BASF之Irgacure 500)、2,4,4-三甲基苯基氧化膦(BASF之Irgacure 1800、1850及1700)、2,2-二甲氧基-2-乙醯苯(BASF之Irgacure 651)、雙(2,4,6-三甲基苯甲醯基)苯基氧化膦(BASF之Irgacure 819)、2-甲基-1-[4-(甲硫基)苯基]-2-嗎啉代丙-1-酮(BASF之Irgacure 907)、(2,4,6-三甲基苯甲醯基)二苯基氧化膦(BASF之Lucerin TPO)、2-(苯甲醯氧基亞胺基)-1-[4-(苯硫基)苯基]-1-辛酮(可得自BASF之OXE-01)、1-[9-乙基-6-(2-甲基苯甲醯基)-9H-咔唑-3-基]乙酮1-(O-乙醯基肟) (可得自BASF之OXE-02)、乙氧基(2,4,6-三甲基苯甲醯基)苯基氧化膦(BASF之Lucerin TPO-L);氧化膦、羥基酮與二苯基酮衍生物之摻合物(Arkema之ESACURE KTO46);2-羥基-2-甲基-1-苯基丙-1-酮(Merck之Darocur 1173)、2-(苯甲醯氧基亞胺基)-1-[4-(苯硫基)苯基]-1-辛酮(可得自BASF之OXE-01)、1-(O-乙醯基肟)-1-[9-乙基-6-(2-甲基苯甲醯基)-9H-咔唑-3-基]乙酮(可得自BASF之OXE-02)、NCI-831(ADEKA Corp.)、NCI-930(ADEKA Corp.)、N-1919 (ADEKA Corp.)、二苯基酮、2-氯噻噸酮、2-甲基噻噸酮、2-異丙基噻噸酮、苯並二甲基縮酮、1,1,1-三氯苯乙酮、二乙氧基苯乙酮、間-氯苯乙酮、苯丙酮、蒽醌、二苯並環庚酮等等。
非離子性類光引發劑之特定例子包括:(5-甲苯甲醯基磺醯氧基亞胺基-5H-苯硫-2-亞基)-2-甲基苯基-乙腈(BASF之Irgacure 121)、對-甲基苯磺酸苯甲醯甲酯、對-甲苯磺酸安息香酯、(對-甲苯-磺醯氧基)甲基安息香、3-(對-甲苯磺醯氧基)-2-羥基-2-苯基-1-苯丙基醚、N-(對-十二烷基苯磺醯氧基)-1,8-萘二甲醯亞胺、N-(苯基-磺醯氧基)-1,8-萘二甲醯亞胺、雙(環己基磺醯基)重氮甲烷、1-對-甲苯磺醯基-1-環己基羰基重氮甲烷、對甲苯磺酸2-硝基苯甲酯、對甲苯磺酸2,6-二硝基苯甲酯及對三氟甲基苯磺酸2,4-二硝基苯甲酯等等。
在一些實施例中,可於該介電膜形成性組物中使用一光敏劑,在此該光敏劑可吸收193至405nm波長範圍之光。光敏劑之例子包括,但不限於,9-甲蒽、蒽甲醇、苊、噻噸酮、甲基-2-萘基酮、4-乙醯基聯苯及1,2-苯並茀。
在交聯或聚合反應是通過熱誘發之實施例中,所使用之催化劑是熱引發劑,在此該熱引發劑是當曝露於從約70°C至約250°C之溫度時,能夠產生自由基之化合物。不希望受理論之約束,一般認為熱引發劑會誘發涉及MCA化合物之(甲基)丙烯酸酯基團和/或該組成物中能夠進行交聯或聚合反應之其它實體之交聯或聚合反應。此實體之例子包括交聯劑(如,非MCA交聯劑)及帶有烯基及炔基官能基團之聚醯亞胺。
熱引發劑之特定例子包括,但不限於,過氧化苯甲醯、過氧化環己酮、過氧化月桂醯基、過氧苯甲酸叔戊酯、過氧化氫叔丁基、過氧化二(叔丁基)、雙異丙苯過氧化物、異丙苯過氧化氫、過氧化琥珀酸、二(正丙基)過氧二羧酸酯、2,2-偶氮雙(異丁腈)、2,2-偶氮雙(2,4-二甲基戊腈)、二甲基-2,2-偶氮雙異丁酸酯、4,4-偶氮雙(4-氰戊酸)、偶氮雙環己烷甲腈、2,2-偶氮雙(2-甲基丁腈)等等。
在一些實施例中,可於該介電膜形成性組成物中使用二或多種催化劑之組合。該催化劑之組合可全為熱引發劑、全為光引發劑或至少一種熱引發劑與至少一種光引發劑之組合。
在一些實施例中,該介電膜形成性組成物中該催化劑之量為該組成物之總重量之至少約0.25重量% (如,至少約0.5重量%、至少約0.75重量%、至少約1.0重量%或至少約1.5重量%)和/或最多約4.0重量% (如,最多約3.5重量%、最多約3.0重量%、最多約2.5重量%或最多約2.0重量%)。在一些實施例中,該介電膜形成性組成物中催化劑之量為該組成物中固體量之至少約0.5重量% (如,至少1.0重量%、至少約1.5重量%、至少約2.0重量%或至少約2.5重量%)和/或最多約5.0重量% (如,最多約4.5重量%、最多約4.0重量%、最多約3.5重量%或最多約3.0重量%)。
本揭示之介電膜形成性組成物可任擇地含有至少一種有機溶劑。在一些實施例中,該有機溶劑是環境友善的且實用於電子封裝製造。在一些實施例中,該有機溶劑可具有最高約210°C (如,最高約200°C、最高約190°C、最高約180°C、最高約170°C、最高約160°C或最高約150°C)之沸點,以確保乾膜形成後殘留的溶劑低於5%,且乾燥膜之速度超過約2呎/分(如,約3呎/分、約4呎/分、約5呎/分或約6呎/分)。在一些實施例中,該膜形成性組成物中所使用之無機粒子可很容易地分散於該有機溶劑中,形成一均質膜。在一些實施例中,均質膜不會顯示出任何無機粒子之凝聚且沒有霧狀。在一些實施例中,該聚醯亞胺聚合物在25°C該有機溶劑中具有至少約50mg/mL (如,至少約100mg/mL或至少約200mg/mL)之溶解度。有機溶劑之例子包括,但不限於,內酯類,如g-丁內酯;環酮類,如環戊酮及環己酮;直鏈酮類,如甲乙酮(MEK);酯類,如醋酸正丁酯;酯醇類,如乳酸乙酯;醚醇類,如四氫糠醇;醯胺類,如N,N-二甲基乙醯胺;亞碸類,如二甲基亞碸(DMSO);醚類,如四氫呋喃(THF);吡咯烷酮類,如正-甲基吡咯烷酮;及甘醇酯類,如丙二醇甲醚醋酸酯。較佳的溶劑包括g-丁內酯、環戊酮、環己酮或其等之混合物。在一些實施例中,該有機溶劑可包括芳族溶劑,如二甲苯、甲苯、乙基苯、三甲苯及苯甲醚。以上之溶劑可個別或合併使用,只要其可完全溶解該組成物中之除不溶性填料外之所有的組份、澆注出良好的膜且不會干擾結合反應即可。
在一些實施例中,該介電膜形成性組成物中該有機溶劑之量為該介電膜形成性組成物之總重量之至少約35重量% (如,至少約40重量%、至少約45重量%、至少約50重量%、至少約55重量%、至少約60重量%或至少約65重量%)和/或最多約98重量% (如,最多約95重量%、最多約90重量%、最多約85重量%、最多約80重量%、最多約75重量%或最多約70重量%)。
在一些實施例中,本揭示之介電膜形成性組成物可進一步包括至少一種交聯劑(如,非-MCA交聯劑)。在一些實施例中,該交聯劑含有二或多個烯基或炔基基團。一般而言,該交聯劑能夠在催化劑之存在下進行交聯或聚合反應。
在一些實施例中,該至少一種交聯劑是至少一種胺基甲酸乙酯丙烯酸酯寡聚物。術語“胺基甲酸乙酯丙烯酸酯寡聚物”意指含有胺基甲酸乙酯鍵聯且具有(甲基)丙烯酸酯(如,丙烯酸酯或甲基丙烯酸酯)官能基之胺基甲酸乙酯(甲基)丙烯酸酯類化合物,諸如胺基甲酸乙酯多(甲基)丙烯酸酯、多胺基甲酸乙酯(甲基)丙烯酸酯及多胺基甲酸乙酯多(甲基)丙烯酸酯。胺基甲酸乙酯(甲基)丙烯酸酯寡聚物之類型述於例如Coady et al.之美國專利案號US4,608,409及Chisholm et al.之美國專利案號US6,844,950中,其等之內容在此併入本案以為參考。可用於本揭示之胺基甲酸乙酯丙烯酸酯寡聚物之特定例子包括,但不限於,CN9165US、CN9167US、CN972、CN9782、CN9783及CN992。此等及其它胺基甲酸乙酯丙烯酸酯寡聚物是可從Arkema (Sartomer)商業購得的。
在一些實施例中,該交聯劑含有至少二個(甲基)丙烯酸酯基團。在一些實施例中,該交聯劑係選自於由下列所構成之群組:1,6-己二醇二(甲基)丙烯酸酯、四乙二醇二(甲基)丙烯酸酯、1,12-十二烷二醇二(甲基)丙烯酸酯、1,3-丁二醇二(甲基)丙烯酸酯、1,4-丁二醇二(甲基)丙烯酸酯、環己烷二甲醇二(甲基)丙烯酸酯、聚乙二醇二(甲基)丙烯酸酯、丙氧基化(3)甘油三(甲基)丙烯酸酯、二乙烯基苯、乙氧基化雙酚-A-二(甲基)丙烯酸酯、二乙二醇雙(烯丙基碳酸酯)、三甲醇丙烷三(甲基)丙烯酸酯、二三甲醇丙烷四(甲基)丙烯酸酯、新戊四醇三(甲基)丙烯酸酯、新戊四醇四(甲基)丙烯酸酯、二季戊四醇戊-/己-(甲基)丙烯酸酯、異氰脲酸酯三(甲基)丙烯酸酯、雙(2-羥乙基)-異氰脲酸酯二(甲基)丙烯酸酯、1,3-丁二醇三(甲基)丙烯酸酯、1,4-丁二醇三(甲基)丙烯酸酯、新戊二醇二(甲基)丙烯酸酯、(甲基)丙烯酸酯改質的脲-甲醛樹脂、(甲基)丙烯酸酯改質的三聚氰胺-甲醛樹脂及(甲基)丙烯酸酯改質的纖維素。
在一些實施例中,該介電膜形成性組成物中該交聯劑之量為該組成物之總重量之至少約2.5重量% (如,至少約5重量%、至少約7.5重量%、至少約10重量%、至少約12.5重量%或至少約15重量%)和/或最多約30重量% (如,最多約27.5重量%、最多約25重量%、最多約22.5重量%、最多約20重量%或最多約17.5重量%)。在一些實施例中,該介電膜形成性組成物中該交聯劑之量為該組成物中固體量之約5重量% (如,至少約10重量%、至少約15重量%、至少約20重量%、至少約25重量%或至少約30重量%)和/或最多約60重量% (如,最多約55重量%、最多約50重量%、最多約45重量%、最多約40重量%或最多約35重量%)。不希望受理論之約束,一般認為交聯劑可改善由在此所述之組成物形成之介電膜之機械特性及化學抗性。
本揭示之介電膜形成性組成物可任擇地包括一或多種其它組份,諸如助黏劑、填料、界面活性劑、塑化劑、著色劑及染料。
在一些實施例中,本揭示之介電膜形成性組成物進一步包括一或多種助黏劑。適合的助黏劑述於“Silane Coupling Agent” Edwin P. Plueddemann, 1982 Plenum Press, New York中。助黏劑之種類包括,但不限於,巰基烷氧基矽烷、胺基烷氧基矽烷、環氧基烷氧基矽烷、環氧丙基氧基烷氧基矽烷、巰基矽烷、氰氧基矽烷及咪唑矽烷。 在一些實施例中,該助黏劑包含烷氧矽基及含碳-碳多鍵之官能基(其選自取代或未取代烯基基團及取代或未取代炔基基團)二者。
若有使用,該介電膜形成性組成物中該任擇的助黏劑之量為該組成物之總重量之至少約0.3重量% (如,至少約0.5重量%、至少約0.7重量%或至少約1重量%)和/或最多約4重量% (如,最多約3重量%、最多約2重量%或最多約1.5重量%)。在一些實施例中,該介電膜形成性組成物中該任擇的助黏劑之量為該組成物中固體量之至少約0.5重量% (如,至少約1重量%、至少約2重量%或至少約2.5重量%)和/或最多約8重量% (如,最多約6重量%、最多約5重量%、最多約4重量%或最多約3重量%)。
本揭示之介電膜形成性組成物亦可任擇地含有一或多種界面活性劑。若有使用界面活性劑,則該界面活性劑之量為該組成物之總重量之至少約0.001重量% (如,至少約0.01重量%或至少約0.1重量%)和/或最多約2重量% (如,最多約1重量%或最多約0.5重量%)。在一些實施例中,該界面活性劑之量為該介電膜形成性組成物中固體量之至少約0.002重量% (如,至少約0.02重量%或至少約0.2重量%)和/或最多約4重量% (如,最多約1重量%或最多約0.5重量%)。適合的界面活性劑之例子包括,但不限於,JP-A-62-36663、JP-A-61-226746、JP-A-61-226745、JP-A-62-170950、JP-A-63-34540、JP-A-7-230165、JP-A-8-62834、JP-A-9-54432及JP-A-9-5988中所述之界面活性劑。
本揭示之介電膜形成性組成物可任擇地含有一或多種塑化劑。若有使用,則該任擇的塑化劑之量為該組成物之總重量之至少約1重量% (如,至少約2重量%或至少約3重量%)和/或最多約10重量% (如,最多約7.5重量%或至少約5重量%)。在一些實施例中,該任擇的塑化劑之量為該介電膜形成性組成物中固體量之至少約2重量% (如,至少約4重量%或至少約6重量%)和/或最多約20重量% (如,最多約14重量%或最多約10重量%)。
本揭示之介電膜形成性組成物可任擇地含有一或多種銅鈍化劑。銅鈍化劑之例子包括***化合物、咪唑化合物及四唑化合物。***化合物可包括***、苯並***、取代的***及取代的苯並***。取代基之例子包括C1 -C8 烷基、胺基、硫醇基、巰基、亞胺基、羧基及硝基基團。***化合物之特定例子包括苯並***、1,2,4-***、1,2,3-***、甲苯***、5-甲基-1,2,4-***、5-苯基-苯並***、5-硝基-苯並***、3-胺基-5-巰基-1,2,4-***、1-胺基-1,2,4-***、羥苯並***、2-(5-胺基-苯基)-苯並***、1-胺基-1,2,3-***、1-胺基-5-甲基-1,2,3-***、3-胺基-1,2,4-***、3-巰基-1,2,4-***、3-異丙基-1,2,4-***、5-苯硫醇-苯並***、鹵基-苯並***(鹵基= F、Cl、Br或I)、萘並***等等。咪唑化合物之例子包括,但不限於,2-烷基-4-甲基咪唑、2-苯基-4-烷基咪唑、2-甲基-4(5)-硝基咪唑、5-甲基-4-硝基咪唑、4-羥甲基咪唑鹽酸鹽、2-巰基-1-甲基咪唑。四唑化合物之例子包括,但不限於,1-H-四唑、5-甲基-1H-四唑、5-苯基-1H-四唑、5-胺基-1H-四唑、1-苯基-5-巰基-1H-四唑、5,5'-雙-1H-四唑、1-甲基-5-乙基四唑、1-甲基-5-巰基四唑、1-羧甲基-5-巰基四唑等等。
在一些實施例中,若有使用,則該任擇的銅鈍化劑之量為該介電膜形成性組成物之總重量之至少約0.01重量% (如,至少約0.05重量%、至少約0.1重量%或至少約0.5重量%)和/或最多約2重量% (如,最多約1.5重量%或最多約1重量%)。在一些實施例中,該任擇的銅鈍化劑之量為該介電膜形成性組成物中固體量之至少約0.02重量% (如,至少約0.1重量%、至少約0.2重量%或至少約1重量%)和/或最多約4重量% (如,最多約3重量%或最多約2重量%)。
在一些實施例中,該聚醯亞胺可從除了結構(1)之矽氧烷二胺外之二胺製得:
Figure 02_image001
結構(I) 其中R1 及R2 各獨立為二價脂族或芳族基團(如,C1-6 二價脂族基團或C6-12 二價芳族基團),R3 、R4 、R5 及R6 各獨立為單價脂族或芳族基團(如,C1-6 單價脂族基團或C6-12 單價芳族基團),及m是1 – 100之整數。
具結構(III)之矽氧烷二胺單體之例子包括,但不限於:
Figure 02_image003
在一些實施例中,本揭示之介電膜形成性組成物可明確地排除下列溶劑中之一或多種(若超過一種,則為其等之任一組合)。此等溶劑可選自於由下列所構成之群組:直鏈酮類,諸如甲乙酮(MEK);酯類,諸如醋酸乙酯;酯醇類,諸如乳酸乙酯;醚醇類,諸如四氫糠醇;及甘醇酯類,諸如丙二醇甲醚醋酸酯(PGMEA)。
在一些實施例中,本揭示之介電膜形成性組成物可明確地排除下列助黏劑中之一或多種(若超過一種,則為其等之任一組合)。此等助黏劑可選自於由下列所構成之群組:含初級胺之助黏劑(諸如,3-胺丙基三乙氧基矽烷及間-胺苯基三乙氧基矽烷)、含次級胺之助黏劑(諸如N-環己胺基三甲氧基矽烷)、含三級胺之助黏劑(諸如二乙胺基乙基三乙氧基矽烷)、含脲之助黏劑(諸如脲丙基三甲氧基矽烷)、含酐之助黏劑(諸如3-(三乙氧基矽基)丙基琥珀酸酐)、含環氧基之助黏劑(諸如2-(3,4-環氧基環己基)乙基三乙氧基矽烷)、含異氰氧基之助黏劑(諸如3-異氰氧丙基三乙氧基矽烷)及含硫之助黏劑(諸如3-巰基丙基三甲氧基矽烷)。
在一些實施例中,本揭示之介電膜形成性組成物可明確地排除添加物組份中之一或多種(若超過一種,則為其等之任一組合)。此等組份可選自於由下列所構成之群組:非聚醯亞胺聚合物、非交聯非聚醯亞胺聚合物、界面活性劑、塑化劑、著色劑、染料、水、除氧劑、季銨氫氧化物、胺類、鹼金屬及鹼土金屬鹼基(諸如NaOH、KOH、LiOH、氫氧化鎂及氫氧化鈣)、含氟化物單體化合物、氧化劑(如,過氧化物、過氧化氫、硝酸鐵、碘酸鉀、過錳酸鉀、硝酸、亞氯酸銨、氯酸銨、碘酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、亞氯酸四甲基銨、氯酸四甲基銨、碘酸四甲基銨、過硼酸四甲基銨、過氯酸四甲基銨、過碘酸四甲基銨、過硫酸四甲基銨、過氧化脲及過醋酸)、研磨劑、矽酸鹽、防蝕劑(如,非唑防蝕劑)、胍、胍鹽、無機酸(如,磺酸、硫酸、亞硫酸、亞硝酸、硝酸、亞磷酸及磷酸)、有機酸(如,羥羧酸及羧酸及聚羧酸)、吡咯烷酮、聚乙烯吡咯烷酮及金屬鹵化物。
在一些實施例中,本揭示之特徵在於一種由在此所述之介電膜形成性組成物形成之介電膜。在一些實施例中,當該介電膜還沒通過曝露輻射源或熱交聯時,該介電膜可包括:a)至少一種完全聚醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;c)至少一種含金屬的(甲基)丙烯酸酯;及d)至少一種催化劑。在一些實施例中,該介電膜可進一步包括至少一種交聯劑(諸如該等以上針對該介電膜形成性組成物描述者)。
在一些實施例中,當該介電膜已通過曝露於輻射源或熱而交聯時,該介電膜可包括a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料:及c)至少一種交聯含金屬的(甲基)丙烯酸酯。在一些實施例中,該交聯介電膜中之該至少一種完全醯亞胺化的聚醯亞胺聚合物可為未交聯的。在一些實施例中,該交聯介電膜中之該至少一種完全醯亞胺化的聚醯亞胺聚合物可為交聯的(如,與其本身或與含金屬的(甲基)丙烯酸酯)。在此實施例中,該介電膜形成性組成物中之該至少一種完全醯亞胺化的聚醯亞胺聚合物可含有一或多個雙或三鍵(如,封端基團。
在一些實施例中,本揭示之特徵為一種製備一乾膜結構之方法。該方法包括: (A)用在此所述之介電膜形成性組成物塗佈一載體基材(如,包括至少一種塑性膜之基材),形成一塗佈組成物,該組成物含有:a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;c)至少一種含金屬的(甲基)丙烯酸酯;d)至少一種催化劑;e)至少一種溶劑,及f)任擇地至少一種交聯劑; (B)乾燥該塗佈組成物,形成一介電膜;及 (C)任擇地於該介電膜上施用一保護層。
在一些實施例中,該載體基材是單一或多層塑性膜,其可包括一或多種聚合物(如,聚對苯二甲酸乙二酯)。在一些實施例中,該載體基材具有極佳的透光性,且其實質上對用於在該聚合物層上形成浮雕圖案之光照射是透明的。該載體基材之厚度較佳地在至少約10µm (如,至少約15µm、至少約20µm、至少約30µm、至少約40µm、至少約50µm或至少約60µm)至最多約150µm (如,最多約140µm、最多約120µm、最多約100µm、最多約90µm、最多約80µm或最多約70µm)之範圍內。
在一些實施例中,該保護層基材是一單或多層膜,其可包括一或多種聚合物(如,聚乙烯或聚丙烯)。載體基材及保護層之例子述於美國專利申請公開案第2016/0313642號中,其內容在此併入本案以為參考。在一些實施例中,該乾膜結構中之介電膜還未曝露於輻射源或熱中,如,形成一交聯膜。
在一些實施例中,該乾膜之介電膜可與載體層分離成為自立介電膜。自立介電膜是可在不使用任何諸如載體層之支撐層之情況下維持其物理完整性之膜。在一些實施例中,該自立介電膜可包括(如,交聯前):a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;c)至少一種含金屬的(甲基)丙烯酸酯(如,未交聯含金屬的(甲基)丙烯酸酯);及d)至少一種能夠誘發聚合反應之催化劑。在一些實施例中,該自立介電膜可曝露於輻射源或熱而形成一交聯自立介電膜。在一些實施例中,該交聯自立介電膜可包括:a)至少一種完全醯亞胺化的聚醯亞胺聚合物;及b)至少一種無機填料;c)至少一種交聯含金屬的(甲基)丙烯酸酯。在一些實施例中,可在用平面壓縮程序或熱輥壓縮程序預層壓該介電膜之後,使用真空層壓機,在約50o C至約140o C下,將該自立介電膜(交聯或未交聯的)層壓於一基材(如,半導體基材)上。
在一些實施例中,可在用平面壓縮程序或熱輥壓縮程序預層壓該乾膜之介電膜之後,使用真空層壓機,在約50o C至約140o C下,將該乾膜結構之介電膜層壓於一基材(如,半導體基材)上。當使用熱輥層壓時,可將該乾膜結構置於熱輥層壓機上,可從該介電膜/載體基材上剝除該任擇的保護層,及可使用滾筒伴隨熱及壓力,使該介電膜與一基材接觸並層壓在一起,形成含該基材、該介電膜及該載體基材之一物件。之後使該介電膜曝露於輻射源或熱(如,通過該載體基材),形成一交聯介電膜。在一些實施例中,可在使該介電膜曝露於輻射源或熱之前,先去除該載體基材。
在一些實施例中,利用含有下列步驟之方法從本揭示之介電膜形成性組成物製備一交聯介電膜: a)將在此所述之介電膜形成性組成物塗佈於一基材(如,一半導體基材)上,形成一介電膜; b)任擇地在約50°C至約150°C之溫度下烘烤該膜約20秒至約240秒;及 c)使該介電膜曝露於輻射源或熱。
用於製備該介電膜之塗佈方法包括,但不限於,旋塗、噴塗、輥塗、棒塗佈、旋轉塗佈、狹縫塗佈、壓縮塗佈、簾塗、模具式塗佈、線棒塗佈、刮刀塗佈和乾膜層壓。半導體基材可具有圓形如晶圓,或可為平板。在一些實施例中,半導體基材可為矽基材、銅基材、鋁基材、二氧化矽基材、氮化矽基材、玻璃基材、有機基材、覆銅層壓板或介電材料基材。
本揭示之介電膜之膜厚度沒有特別限定。在一些實施例中,該介電膜具有至少約3微米(如,至少約4微米、至少約5微米、至少約7微米、至少約10微米、至少約15微米、至少約20微米或至少約25微米)和/或最多約100微米(如,最多約80微米、最多約60微米、最多約50微米、最多約40微米或最多約30微米)之膜厚度。
在一些實施例中,該介電膜具有相對薄的膜厚度(如,最多約5微米、最多約4微米或最多約3微米)。
之後可使該介電膜曝露於輻射源或熱(如,形成一交聯膜)。用於輻射曝露之輻射之非限制性例子包括電子束、紫外線及X射線,紫外線是較佳的。典型地,可使用低壓汞燈、高壓汞燈、超高壓汞燈或鹵素燈作為輻射源。曝露劑量沒有特別限定,熟悉此技藝之人士可輕易地決定適量的數量。在一些實施例中,該曝露劑量為至少約100mJ/cm2 (如,至少約250mJ/cm2 或至少約500mJ/cm2 )及最多約2,000mJ/cm2 (如,最多約1,500mJ/cm2 或最多約1,000mJ/cm2 )。在一實施例中,該曝露劑量從250mJ/cm2 至1,000mJ/cm2
假如該介電膜曝露於熱,則該加熱溫度為至少約70°C (如,至少約100°C、至少約130°C或至少約150°C)和/或最多約250°C (如,最多約220°C、最多約200°C或最多約180°C)。該加熱時間為至少約10分鐘(如,至少約20分鐘、至少約30分鐘、至少約40分鐘或至少約50分鐘)和/或最多約5個小時(如,最多約4個小時、最多約3個小時、最多約2個小時或最多約1個小時)。在一實施例中,該加熱溫度從150°C至250°C,歷時30分鐘至3個小時。
本揭示之介電膜之傑出的特徵是其等令人驚訝的透光度及低CTE。在一些實施例中,本揭示之介電膜具有至少約50% (如,至少約55%、至少約60%、至少約65%、至少約70%、至少約75%、至少約80%、至少約85%、至少約88%、至少約90%、至少約92%或至少約94%)之透光度。透光度在450nm至700nm波長下測量。在一些實施例中,本揭示之介電膜具有最多約50ppm/°C (如,最多約45ppm/°C、最多約40ppm/°C、最多約35ppm/°C或最多約30ppm/°C)和/或至少約10ppm/°C (如,至少約15ppm/°C、至少約20ppm/°C或至少約25ppm/°C)之CTE。CTE在50-150°C之溫度範圍內測量。
在一些實施例中,本揭示之特徵為一種製造一圖案化介電膜之方法,其包括下列步驟: a)將本揭示之介電膜形成性組成物塗佈在一基材上,形成一介電膜; b)使該介電膜曝露於輻射源或熱;及 c)圖案化該膜,以形成具有開口之一圖案化介電膜。
步驟a)及b)可與之前所述的相同。
在一些實例中,該製備一圖案化介電膜之方法包括利用光刻程序將該介電膜轉換成一圖案化介電膜。在此情況下,該轉換可包括使用一圖案化遮罩,使該介電膜曝露於高能輻射(如該等以上所述者)。在該介電膜曝露於高能輻射後,該方法可進一步包括顯影該曝露的介電膜,以形成一圖案化介電膜。
在曝露後,可熱處理該介電膜至至少約50°C (如,至少約55°C、至少約60°C或至少約65°C)至最高約100°C (如,最高約95°C、最高約90°C、最高約85°C、最高約80°C、最高約75°C或最高約70°C),歷時至少約60秒(如,至少約65秒或至少約70秒)至最多約240秒(如,最多約180秒、最多約120秒或最多約90秒)。該熱處理通常是用熱板或烤箱完成。
在該曝露與熱處理後,可使用顯影劑顯影該介電膜,去除未曝露的部分。顯影可用例如浸泡法或噴霧法進行。顯影後,可在該層壓基材上之介電膜中產生微孔及細線。
在一些實施例中,可使用一有機顯影劑顯影該介電膜。此顯影劑之例子包括,但不限於,g-丁內酯(GBL)、二甲基亞碸(DMSO)、N,N-二乙基乙醯胺、甲乙酮(MEK);甲基異丙基酮(MIBK)、2-庚酮、環戊酮(CP)、環己酮、醋酸正丁酯(nBA)、丙二醇甲***醋酸酯(PGMEA)、丙二醇甲醚(PGME)、乳酸乙酯(EL)、乳酸丙酯、3-甲基-3-甲氧丁醇、四氫萘、異佛酮、乙二醇單丁醚、二乙二醇單***、二乙二醇單***醋酸酯、二乙二醇二甲醚、二乙二醇甲***、三乙二醇單***、二丙二醇單甲醚、3-甲氧丙酸甲酯、3-乙氧丙酸乙酯、丙二酸二乙酯、乙二醇、1,4:3,6-二脫水山梨醇、異山梨醇二甲醚、1,4:3,6-二脫水山梨醇2,5-二***(2,5-二乙基異山梨糖醇酐)及其等之混合物。較佳的顯影劑是g -丁內酯(GBL)、環戊酮(CP)、環己酮、乳酸乙酯(EL)、醋酸正丁酯(nBA)及二甲基亞碸(DMSO)。更佳的顯影劑是g-丁內酯(GBL)、環戊酮(CP)及環己酮。此等顯影劑可個別使用,或可二或多種合併使用,以便針對特定組成物及光刻程序優化影像品質。
在一些實施例中,可使用水性顯影劑顯影該介電膜。當該顯影劑是水溶液時,其最好含有一或多種水性鹼。適合的鹼之例子包括,但不限於,無機鹼金屬(如,氧氧化鉀、氫氧化鈉)、一級胺(如,乙胺、正丙胺)、二級胺(如,二乙胺、二正丙胺)、三級胺(如,三乙胺)、醇胺(如,三乙醇胺)、季胺氫氧化物(如,四甲銨氫氧化物或四乙銨氫氧化物)及其等之混合物。所使用之鹼的濃度可隨著如所使用之聚合物之鹼之溶解度變化。最佳的水性顯影劑是該等含有四甲銨氫氧化物(TMAH)之顯影劑。TMAH之適合的濃度範圍從約1%至約5%。
在一些實施例中,在有機顯影劑顯影後,可用有機沖洗溶劑進行任擇的沖洗處理。熟悉此技藝之人士應知道適合指定應用之沖洗方法。有機沖洗溶劑之適合的例子包括,但不限於,醇類,如異丙醇、甲基異丁基甲醇(MIBC)、丙二醇單甲醚(PGME)、戊醇;酯類,如醋酸正丁酯(nBA)、乳酸乙酯(EL)及丙二醇單甲醚醋酸酯(PGMEA);酮類,如甲乙酮,及其等之混合物。可使用沖洗溶劑進行該沖洗處理,去除殘留物。
在一些實施例中,在顯影步驟或該任擇的沖洗處理步驟之後,可在範圍從至少約120℃(如,至少約130℃、至少約140℃、至少約150℃、至少約160℃、至少約170℃或至少約180℃)至最高約250℃(如,最高約240℃、最高約230℃、最高約220℃、最高約210℃、最高約200℃或最高約190℃)之溫度下進行任擇的烘烤步驟(如,後顯影烘烤)。該烘烤時間為至少約5分(如,至少約10分、至少約20分、至少約30分、至少約40分、至少約50分或至少約60分)和/或最多約5個小時(如,最多約4個小時、最多約3個小時、最多約2個小時或最多約1.5個小時)。此烘烤步驟可從留下來的介電膜中去除殘留的溶劑,且可進一步交聯該留下來的介電膜。後顯影烘烤可在空氣中,較佳地氮氣覆蓋層中進行,且可以任何適合的加熱工具進行。
在一些實施例中,製備圖案化介電膜之方法包括利用雷射剝蝕技術將該介電膜轉換成圖案化介電膜。使用準分子雷射束之直接雷射剝蝕程序,通常是一種乾式、一步驟的材料去除,在介電膜中形成開口(或圖案)。在一些實施例中,該雷射之波長為351nm或更短(如,351nm、308nm、248nm或193nm)。適合的雷射剝蝕程序之例子包括,但不限於,美國專利案號US7,598,167、US6,667,551及US6,114,240中所述之方法,其等之內容在此併入本案以為參考。使用習用介電膜之雷射剝蝕程序會製造出大量的碎片。此碎片之去除常需要額外的化學和/或電漿處理之清潔步驟,此會增加方法之複雜度及成本。本揭示之介電膜之優點是當使用雷射剝蝕程序圖案化該介電膜時,僅產生微量或不會產生碎片,此可導致較少的方法複雜度及較低的成本。
在一些實施例中,一圖案化介電膜之最小特徵之高寬比(高度對寬度之比)為最多約3/1 (如,最多約2/1、最多約1/1、最多約1/2、最多約1/3)和/或至少約1/25 (如,至少約1/20、至少約1/15、至少約1/10及至少約1/5)。
在一些實施例中,一圖案化介電膜之最小特徵之高寬比為至少約½ (如,至少約1/1、至少約2/1或至少約3/1)。
一般而言,以上所述之方法可用於形成可在半導體元件中使用之物件。此物件之例子包括半導體基材、電子產品之可撓性膜、導線絕緣層、導線塗層、導線漆或著墨基材。可從此物件製得之半導體元件之例子包括積體電路、發光二極體、太陽能電池及電晶體。
在一些實施例中,本揭示之特徵為一種三維物件,其含有至少一種通過在此所述之方法形成之圖案化膜。在一些實施例中,該三維物件可包括至少二個疊層(如,至少三個疊層)之圖案化膜。
在一些實施例中,以上所述之方法產生一物件,其包括一電子基材及層壓至該電子基材上之一圖案化介電膜層(如,含有浮雕圖案),其中該圖案化介電膜層包括至少一種聚醯亞胺聚合物。在起始介電膜厚度為5微米或更薄之實施例中,如此形成之圖案化介電膜層可具有最多約5微米(如,最多約4微米或最多約3微米)之膜厚度,及包括至少一種單元,其具有最多約3微米(如,最多約2微米)之特徵尺寸(如,寬度)。
在此所引述之所有的公開文獻(如,專利、專利申請公開案及文件)之全部在此併入本案以為參考。
下列範例提供本揭示之原理與實施之更詳細地說明。應了解,本揭示不限定至所述之範例。範例 合成範例1 (P-1) 6FDA/DAPI 聚醯亞胺 之製備
Figure 02_image005
聚合物(聚-1)
將固態4,4'-(六氟異亞丙基)雙(鄰苯二甲酸酐) (6FDA) (2.370kg,5.33莫耳)裝入配製於25°C NMP (9.86kg)中之1-(4-胺苯基)-1,3,3-三甲基二氫茚-5-胺(亦稱作4,4'-[1,4-伸苯基-雙(1-甲基亞乙基)]二苯胺(DAPI)) (1.473kg,5.51莫耳)溶液中。將反應溫度提高至40°C,令混合物反應6個小時。接著加入醋酸酐(1.125kg)及吡啶(0.219kg),且將反應溫度提高至100°C,並使其反應12個小時。
使以上之反應混合物冷卻至室溫,然後將其轉移至設有機械攪拌器之較大容器中。用醋酸乙酯稀釋該反應溶液,然後用水清洗1個小時。攪拌停止後,使該混合物靜置不受干擾。一旦發生相分離,則去除水相。用醋酸乙酯與丙酮之組合稀釋該有機相,然後用水清洗二次。在所有的清洗中所使用之有機溶劑(醋酸乙酯與丙酮)與水之量示於表1中。表1
Figure 107107682-A0304-0001
於該經清洗的有機相中加入環戊酮(10.5kg),然後利用真空蒸餾濃縮該溶液,得到聚合物溶液P-1。最後聚合物之固體%為32.96%,經GPC測得之重量平均分子量(Mw)為49,200道爾頓。配方範例1-8 及比較配方範例1-2
依照表2配製配方範例1-8及比較配方範例1-2 (FE-1至FE-8及CFE-1至CFE-2)。利用機械攪拌混合配方組份16–24個小時。表2
Figure 107107682-A0304-0002
1 CN992 (Sartomer)2 來自Evonik之二氧化矽,具有0.2微米之中值粒徑3 羧乙基丙烯酸鈦(Purelight Labs)4 NCI-831 (Adeka Corp.)5 甲基丙烯醯氧基丙基三甲氧基矽烷(Gelest)方法範例1-8 及比較方法範例1-2
藉由將各組成物桿塗於對苯二甲酸乙二酯(PET)基材上,並在90°C下乾燥10分鐘,製備FE-1至FE-8及CFE-1至CFE-2基之自立乾膜(SSDF)。使用i-線曝光,以500mJ/cm2 曝光該膜。獲得具標稱膜厚度為20微米之乾膜。從該PET上分離該所產生的膜,以形成一自立乾膜。將此等自立乾膜置於Kapton片上,然後在真空170°C下烘烤2個小時。使用Q400 TMA熱機械分析儀(TMA)測量該自立乾膜之CTE值,該儀器係設計用於精準的測量安裝在探測器上之自立乾膜之尺寸,在一段程式化溫度範圍內加熱後之微小變化。膜縱向之CTE在拉伸模式下測量。使用JDC精密採樣刀製備寬3mm之自立乾膜樣本。使用長16mm之樣本夾持器安裝此樣本,並置於TMA膜擴展探測器上。加熱該自立乾膜,然後使用TA軟體計算CTE。表3顯示自立乾膜之組成及所測得之CTE值。表3
Figure 107107682-A0304-0003
1 CN992 (Sartomer)2 羧乙基丙烯酸鈦(Purelight Labs)
當與方法範例1-6比較時,比較方法範例1證實使用MCA對降低自立膜之CTE的重要性(表3)。明確而言,表3顯示,即使是28重量%的二氧化矽,當膜中不存在MCA時,比較方法範例1中之自立乾膜於50°C至150°C範圍內測得之CTE高至155ppm/°C。令人驚訝地,方法範例1-6之自立乾膜中,MCA之存在使CTE降低至51 ppm/°C或更低。
當與方法範例1-6比較時,方法範例7證實二氧化矽與MCA總負載於降低自立乾膜之CTE之作用(表3)。方法範例7中之乾膜包括二氧化矽及MCA之總量為18重量% (即,10重量%之二氧化矽及8重量%之MCA)。測試結果顯示,此膜在50°C至150°C之範圍內展現62ppm/°C之CTE。而方法範例1-6中之膜包括二氧化矽及MCA之總量在21-40重量%之範圍內。測試結果顯示,此等膜展現51ppm/°C或更低之CTE。
當與方法範例1-6比較時,比較方法範例2證實使用二氧化矽結合MCA,在降低自立乾膜之CTE上之重要性(表3)。明確而言,表3顯示出當膜中不存在二氧化矽時,即使具有15重量%之 MCA,比較方法範例2中自立乾膜在50°C至150°C範圍內之CTE為83ppm/°C。令人驚訝地,方法範例1-6之自立膜中之二氧化矽及MCA二者之存在,使CTE降低至51ppm/°C或更低。
方法範例8顯示,當填料(如,二氧化矽)及MCA之總量超過該自立膜總重量之50重量%時,所產生的膜變得半透明且脆弱。結果,無法測量其CTE。因此,一般認為以上之方法範例1-6中之低CTE,無法僅藉由增加填料(如,二氧化矽)的量達到,必需適量的結合填料(如,二氧化矽)與MCA才能達到低CTE、透明度及強韌的自立乾膜。介電常數測量範例 1
藉由將組成物FE-1桿塗在聚對苯二甲酸乙二酯(PET)基材上並在90°C下乾燥10分鐘,製備自立乾膜。乾膜之膜厚度達到25微米。在105°C乾燥室中烘烤所產生之乾膜2個小時。使用***圓柱形諧振腔法(IPC-TM-650,試驗編號2-5-5-13),測量二種乾膜堆之介電常數與損耗正切,示於表4中。 表4
Figure 107107682-A0304-0004
在表4中,Udk是介電常數的測量不確定度,而Udf是損耗正切的測量不確定度。表4中之結果顯示,從FE-1製得之乾膜,展現半導體封裝應用可接受之介電特性。配方範例 9
使用13.00g之聚合物(聚-1)、27.36g之環戊酮、31.20g之環己酮、1.73g之正丁醇、0.65g之甲基丙烯醯氧基丙基三甲氧基矽烷、0.39g之NCI-831、2.60g之羧乙基丙烯酸鈦、7.80g之表面經處理的二氧化矽、13g之四乙二醇二丙烯酸酯(SR 268)、1.46g之三羥甲丙烷三丙烯酸酯(SR 351LV)、0.78g之配置於環戊酮中之0.05%的Polyfox 6320溶液及0.03g之對苯醌,製備配方範例9 (FE-9)。機械攪拌24個小時後,將該配方用於下面的測試。光刻處理範例 1
將光敏性FE-9旋塗於矽晶圓上,並使用熱板,在70°C下烘烤180秒,形成厚約15微米之塗層。透過具有想要曝光的圖案之遮罩,使該光敏性聚醯亞胺膜曝露於寬帶UV曝光工具(Carl Süss MA-56)。曝光後,將該經曝光的膜在50ºC下加熱3分鐘。
在後曝光烘烤後,使用環戊酮作為顯影劑去除未曝光的部分(顯影兩次,各75秒),接著用PGMEA沖洗顯影膜15秒,以形成一圖案。在感光速度250mJ/cm2 下達到20微米之解析度。膜中之圖案化特徵展現出高寬比為15/20或0.75。雷射剝蝕處理範例 1
將FE-6 (表2)旋塗於矽晶圓上,然後使用熱板在95°C下烘烤10分鐘,形成厚約10.50微米之塗層。之後用寬帶UV曝光工具(Carl Süss MA-56),在500 mJ/cm2 下全面曝光。使用XeCl雷射,以波長308nm、頻率100Hz雷射剝蝕該膜。在900mJ/cm2 下達到8微米之解析度以及1.258nm/脈衝之蝕刻速率。該膜中之圖案化特徵展現出高寬比為10/8或1.25。透光度測量範例 1
將FE-6 (表2)以3.0um之厚度旋塗於透明4”玻璃晶圓上,並在95°C下軟烘180秒。使用CARY 400 Conc UV-可見光分光光度計,測量此自立乾膜在波長從450nm至700nm範圍內之吸光度。此膜在700nm下之透明度為98.8%、在600nm下為98.1%、在500nm下為92.3%及在400nm下為89.4%。換句話說,由FE-6形成之膜在整個可見光譜上展現極佳的透明度。吸光度測量範例 2
將FE-6 (表2)以3.0um之厚度旋塗於透明4”玻璃晶圓上,並在95°C下軟烘180秒。使用CARY 400 Conc UV-可見光分光光度計,測量此自立乾膜在波長從300nm至405nm範圍內之吸光度。此膜在308nm下之吸光度為0.248µm-1 、在355nm下為0.094µm-1 、在365nm下為0.094µm-1 及在405nm下為0.048µm-1 。換句話說,由FE-6形成之膜在測試UV光譜上展現相對低的吸光度。乾膜範例 DF-1
使用Fujifilm USA (Greenwood, SC)之逆向微型棒式塗佈器,以2呎/分(61cm/分)之直線速度,60微米微棒間隙,將FE-1之光敏性溶液(表2)施塗於具16.2"寬、35微米厚,作為載體基材之聚對苯二甲酸乙二酯(PET)膜(TA 30,Toray Plastics America, Inc.製)上,然後在194°F下乾燥至獲得大約37.0微米厚之光敏性聚合物層。在此聚合物層上,利用輥式壓縮法,在該光敏性聚合物層上放置一具有16"寬、30微米厚之雙軸取向聚丙烯膜(BOPP,Impex Global, Houston,TX製),作為保護層。因此形得之乾膜(DF-1)含有一載體基材、一聚合物層及一保護層。乾膜之層壓 ( 範例 L1)
在利用剝除法去除該保護層後,將乾膜結構DF-1 (6”x 6”)之光敏性聚合物層置於4” Wafernet銅塗佈晶圓上。利用真空層壓(0.2–0.4托) 20秒,接著經過40psi之壓力以及0.2–0.4托之真空二者之處理180秒,將該聚合物層成功地層壓至銅塗佈晶圓上。層壓溫度為90°C。層壓程序是使用OPTEK, NJ製造之DPL-24A差壓層壓機完成。配方範例 10
使用26.00g之聚合物(聚-1)、46.88g之環戊酮、80.00g之環己酮、0.78g之3-(三乙氧基矽基)丙基琥珀酸酐、1.30g之1-(O-乙醯肟)-1-[9-乙基-6-(2-甲基苯甲醯基)-9H-咔唑-3-基]乙酮 (可得自BASF之OXE-02)、6.20g之羧乙基丙烯酸鋯、10.50g之具0.5微米中值粒徑之二氧化鋁、13g之四甘醇二甲基丙烯酸酯(可得自Sartomer之SR 209)、16.99g之CN992 (可得自Sartomer)、0.78g之配製於環戊酮中之0.05% Polyfox 6320溶液及0.06g之對苯醌,製備配方範例10 (FE-10)。機械攪拌24個小時後,在沒有過濾之情況下使用該配方。方法範例 9
利用桿塗,於聚對苯二甲酸乙二酯(PET)基材上製備FE-10基自立乾膜(SSDF),然後在95°C下乾燥8分鐘。使用i線曝光,以500mJ/cm2 曝光該膜。獲得一乾膜。從該PET上分離該所產生的膜,以形成一自立乾膜。配方範例 11
使用26.00g之聚合物(聚-1)、46.88g之環戊酮、80.00g之環己酮、0.78g之g-縮水甘油氧基丙基三甲氧基矽烷、1.30g之2-(苯甲醯氧基亞胺基)-1-[4-(苯硫基)苯基]-1-辛酮(可得自BASF之OXE-01)、7.00g之四(甲基)丙烯酸鉿;10.50g之具0.4微米中值粒徑之二氧化鈦、15g之三乙二醇二甲基丙烯酸酯(可得自Sartomer之SR 205)、18.00g之二季戊四醇五丙烯酸酯CN992、0.78g之配製於環戊酮中之0.05% Polyfox 6320溶液及0.06g之對苯醌,製備配方範例11 (FE-11)。機械攪拌36個小時後,在沒有過濾之情況下使用該配方。方法範例 10
利用桿塗,在聚對苯二甲酸乙二酯(PET)基材上製備FE-11基自立乾膜(SSDF),然後在85°C下乾燥12分鐘。使用i-線曝光,以600mJ/cm2 曝光該膜。獲得一乾膜。從該PET上分離該所產生的膜,以形成一自立乾膜。配方範例 12
使用13.00g之聚合物(聚-1)、23.44g之環戊酮、39.00g之環己酮、0.39g之甲基丙烯醯氧基甲基三乙氧基矽烷、0.8g之過氧化苯甲醯、4.00g之丁醇鈦三(甲基)丙烯酸酯、5.00g之具0.6微米中值粒徑之氧化鈮、7.0g之三乙二醇二丙烯酸酯、8.00g之季戊四醇二丙烯酸酯、0.4g之配製於環戊酮中之0.05% Polyfox 6320溶液及0.03g之對苯醌,製備配方範例12 (FE-12)。機械攪拌30個小時後,在沒有過濾之情況上使用該配方。方法範例 11
利用桿塗,在聚對苯二甲酸乙二酯(PET)基材上製備FE-12基自立乾膜(SSDF),然後在90°C下乾燥12分鐘。使用i-線曝光,以550mJ/cm2 曝光該膜。獲得一乾膜。從該PET上分離該所產生的膜,以形成一自立乾膜。
(無)

Claims (36)

  1. 一種介電膜形成性組成物,其含有:a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;c)至少一種含金屬的(甲基)丙烯酸酯;及d)至少一種能夠誘發聚合反應之催化劑;其中該至少一種無機填料及該至少一種含金屬的(甲基)丙烯酸酯之總量,在該組成物中固體量約20重量%至約50重量%之範圍內。
  2. 如請求項1之組成物,其中該至少一種無機填料包含選自於由下列所構成之群組之一無機粒子:二氧化矽、氧化鋁、氧化鈦、氧化鋯、氧化鉿、CdSe、CdS、CdTe、CuO、氧化鋅、氧化鑭、氧化鈮、氧化鎢、氧化鍶、氧化鈣鈦、鈦酸鈉、硫酸鋇、鈦酸鋇、鋯酸鋇及鈮酸鉀。
  3. 如請求項2之組成物,其中該無機粒子具有從約0.1微米至約2微米之粒徑。
  4. 如請求項1之組成物,其中該至少一種無機填料之量為該組成物之約2重量%至約20重量%。
  5. 如請求項1之組成物,其中該至少一種含金屬的(甲基)丙烯酸酯中之金屬原子,係選自於由下列所構成之群組:鈦、鋯、鉿及鍺。
  6. 如請求項1之組成物,其中該至少一種含金屬的(甲基)丙烯酸酯包括至少一個金屬原子及至少一個(甲基)丙烯酸酯基團。
  7. 如請求項1之組成物,其中該至少一種含金屬的(甲基)丙烯酸酯包含四(甲基)丙烯酸鈦、四(甲基)丙烯酸鋯、四(甲基)丙烯酸鉿、丁醇鈦三(甲基)丙烯酸酯、二丁醇鈦二(甲基)丙烯酸酯、三丁醇鈦(甲基)丙烯酸酯、丁醇鋯三(甲基)丙烯酸酯、二丁醇鋯二(甲基)丙烯酸酯、三丁醇鋯(甲基)丙烯酸酯、丁醇鉿三(甲基)丙烯酸酯、二丁醇鉿二(甲基)丙烯酸酯、三丁醇鉿(甲基)丙烯酸酯、鈦四(羧乙基(甲基)丙烯酸酯)、鋯四(羧乙基(甲基)丙烯酸酯)、鉿四(羧乙基(甲基)丙烯酸酯)、丁醇鈦三(羧乙基(甲基)丙烯酸酯)、二丁醇鈦二(羧乙基(甲基)丙烯酸酯)、三丁醇鈦(羧乙基(甲基)丙烯酸酯)、丁醇鋯三(羧乙基(甲基)丙烯酸酯)、二丁醇鋯二(羧乙基(甲基)丙烯酸酯)、三丁醇鋯(羧乙基(甲基)丙烯酸酯)、丁醇鉿三(羧乙基(甲基)丙烯酸酯)、二丁醇鉿二(羧乙基(甲基)丙烯酸酯)或三丁醇鉿(羧乙基(甲基)丙烯酸酯)。
  8. 如請求項1之組成物,其中該至少一種含金屬的(甲基)丙烯酸酯之量為該組成物之約0.5重量%至約20重量%。
  9. 如請求項1之組成物,其中該至少一種無機填料與該至少一種含金屬的(甲基)丙烯酸酯之重量比為從約1:1至約5:1。
  10. 如請求項1之組成物,其中該至少一種完全醯亞胺化的聚醯亞胺聚合物之量為該組成物之3重量%至約40重量%。
  11. 如請求項1之組成物,其中該至少一種催化劑包含一光引發劑或一熱引發劑。
  12. 如請求項1之組成物,其中該至少一種催化劑之量為該組成物之約0.25重量%至約4重量%。
  13. 如請求項1之組成物,其進一步包含至少一種溶劑。
  14. 如請求項13之組成物,其中該至少一種溶劑之量為該組成物之約35重量%至約98重量%。
  15. 如請求項1之組成物,其進一步包含至少一種交聯劑。
  16. 如請求項15之組成物,其中該交聯劑包含二或多個烯基或炔基基團。
  17. 如請求項15之組成物,其中該交聯劑是胺基甲酸乙酯(甲基)丙烯酸酯。
  18. 如請求項15之組成物,其中該交聯劑之量為該組成物之約2.5重量%至約30重量%。
  19. 一種由如請求項1之組成物所形成之介電膜。
  20. 一種乾膜,其包含:一載體基材;及由該載體基材支撐之如請求項19之介電膜。
  21. 一種介電膜,其包含:a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;及 c)至少一種經交聯之含金屬的(甲基)丙烯酸酯;其中該至少一種無機填料及該至少一種含金屬的(甲基)丙烯酸酯之總量,在該膜約20重量%至約50重量%之範圍內。
  22. 如請求項21之介電膜,其中該至少一種無機填料及該至少一種經交聯之含金屬的(甲基)丙烯酸酯之總量為該膜之至少約25重量%。
  23. 如請求項22之介電膜,其中該至少一種無機填料及該至少一種交聯含金屬的(甲基)丙烯酸酯之總量為該膜之最多約45重量%。
  24. 如請求項21之介電膜,其中該介電膜具有最多約50ppm/℃之熱膨脹係數(CTE)。
  25. 如請求項21之介電膜,其中該介電膜具有至少50%之透光度。
  26. 如請求項21之介電膜,其中該介電膜具有最多約50ppm/℃之CTE及至少50%之透光度。
  27. 如請求項21之介電膜,其中該介電膜是一經圖案化之膜。
  28. 如請求項21之介電膜,其中該介電膜是一自立介電膜。
  29. 一種三維物件,其包含如請求項21之介電膜。
  30. 一種半導體元件,其包含如請求項29之三維物件。
  31. 如請求項30之半導體元件,其中該半導體元件是積體電路、發光二極體、太陽能電池或電晶體。
  32. 一種用於製造一圖案化介電膜之方法,其包含下列步驟:a)塗佈如請求項1-18項中任一項之介電膜形成性組成物,以形成一介電膜;及b)利用雷射剝蝕程序或光刻程序圖案化該介電膜,以形成一經圖案化之介電膜。
  33. 一種由如請求項32之方法形成之三維物件。
  34. 一種半導體元件,其包含如請求項33之三維物件。
  35. 如請求項34之半導體元件,其中該半導體元件是積體電路、發光二極體、太陽能電池或電晶體。
  36. 一種自立介電膜,其包含:a)至少一種完全醯亞胺化的聚醯亞胺聚合物;b)至少一種無機填料;c)至少一種含金屬的(甲基)丙烯酸酯;及d)至少一種能夠誘發聚合反應之催化劑;其中該至少一種無機填料及該至少一種含金屬的(甲基)丙烯酸酯之總量,在該膜約20重量%至約50重量%之範圍內。
TW107107682A 2017-09-11 2018-03-07 介電膜形成性組成物(二) TWI776863B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762556723P 2017-09-11 2017-09-11
US62/556,723 2017-09-11
US201762581895P 2017-11-06 2017-11-06
US62/581,895 2017-11-06

Publications (2)

Publication Number Publication Date
TW201912717A TW201912717A (zh) 2019-04-01
TWI776863B true TWI776863B (zh) 2022-09-11

Family

ID=64426854

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107107682A TWI776863B (zh) 2017-09-11 2018-03-07 介電膜形成性組成物(二)
TW107107683A TWI766959B (zh) 2017-09-11 2018-03-07 介電膜形成性組成物(一)

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107107683A TWI766959B (zh) 2017-09-11 2018-03-07 介電膜形成性組成物(一)

Country Status (8)

Country Link
US (2) US10875965B2 (zh)
EP (2) EP3478482B1 (zh)
JP (2) JP7140686B2 (zh)
KR (2) KR102456361B1 (zh)
CN (2) CN109790405B (zh)
PH (2) PH12018550157A1 (zh)
TW (2) TWI776863B (zh)
WO (2) WO2019050565A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3286605B1 (en) 2015-04-21 2023-06-28 FujiFilm Electronic Materials USA, Inc. Photosensitive polyimide compositions
US10875965B2 (en) * 2017-09-11 2020-12-29 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming composition
US20210109443A1 (en) * 2019-01-23 2021-04-15 Microcosm Technology Co., Ltd. Photosensitive polyimide resin composition and polyimide film thereof
CN110499087A (zh) * 2019-08-02 2019-11-26 刘宁 一种提高配电柜柜体表面耐腐蚀性能的方法
WO2021067547A1 (en) * 2019-10-04 2021-04-08 Fujifilm Electronic Materials U.S.A., Inc. Planarizing process and composition
CN111113754B (zh) * 2019-11-22 2020-12-04 桂林电器科学研究院有限公司 一种提高聚酰胺酸薄膜边部强度的方法
CN115516603A (zh) * 2020-03-10 2022-12-23 富士胶片电子材料美国有限公司 金属沉积方法
EP4176001A1 (en) 2020-07-02 2023-05-10 FUJIFILM Electronic Materials U.S.A, Inc. Dielectric film-forming composition
WO2022015695A1 (en) * 2020-07-15 2022-01-20 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming compositions
EP4232421A4 (en) * 2020-10-22 2024-03-27 FUJIFILM Electronic Materials U.S.A, Inc. DIELECTRIC FILM-FORMING COMPOSITION
TWI753657B (zh) * 2020-11-17 2022-01-21 位速科技股份有限公司 鈣鈦礦光電元件
CN112531118B (zh) * 2020-11-18 2023-11-07 位速科技股份有限公司 钙钛矿光电元件
WO2024024783A1 (ja) * 2022-07-29 2024-02-01 富士フイルム株式会社 転写フィルム、積層体の製造方法、積層体、半導体パッケージの製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080017308A1 (en) * 2006-07-24 2008-01-24 Dershem Stephen M Derivatives of poly(styrene-co-allyl alcohol) and methods for use thereof
US20130228901A1 (en) * 2009-09-03 2013-09-05 Designer Molecules, Inc. Materials and methods for stress reduction in semiconductor wafer passivation layers

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2731447A (en) 1954-06-11 1956-01-17 Du Pont Novel polyimides
US3435002A (en) 1967-05-15 1969-03-25 Gen Electric Polyamide acid resins and polyimides therefrom
US3856752A (en) 1973-10-01 1974-12-24 Ciba Geigy Corp Soluble polyimides derived from phenylindane diamines and dianhydrides
DE2437348B2 (de) 1974-08-02 1976-10-07 Ausscheidung in: 24 62 105 Verfahren zur herstellung von reliefstrukturen
US4026876A (en) 1975-01-20 1977-05-31 Ciba-Geigy Corporation Soluble polyamide-imides derived from phenylindane diamines
US3983092A (en) 1975-01-20 1976-09-28 Ciba-Geigy Corporation Phenylindane diamine mixture and epoxy resin therewith
US4579809A (en) 1982-10-22 1986-04-01 Ciba-Geigy Corporation Positive image formation
US4629777A (en) 1983-05-18 1986-12-16 Ciba-Geigy Corporation Polyimides, a process for their preparation and their use
US4656116A (en) 1983-10-12 1987-04-07 Ciba-Geigy Corporation Radiation-sensitive coating composition
JPS61226746A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
JPS61226745A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
US4608409A (en) 1985-05-08 1986-08-26 Desoto, Inc. Polyacrylated oligomers in ultraviolet curable optical fiber coatings
EP0540508B1 (en) 1985-07-31 1996-03-06 Sumitomo Chemical Company, Limited Imide hardeners and their preparation
JPH0616174B2 (ja) 1985-08-12 1994-03-02 三菱化成株式会社 ナフトキノンジアジド系化合物及び該化合物を含有するポジ型フオトレジスト組成物
JPH083630B2 (ja) 1986-01-23 1996-01-17 富士写真フイルム株式会社 感光性組成物
JPS6334540A (ja) 1986-07-30 1988-02-15 Mitsubishi Chem Ind Ltd ポジ型フオトレジスト組成物
CA1326673C (en) 1986-12-26 1994-02-01 Yasuhisa Saito Imide compound and composition containing the same
US5006611A (en) 1989-01-20 1991-04-09 Ciba-Geigy Corporation Curable epoxy resin compositions of matter containing a thermoplastic which has phenolic end groups
US5122436A (en) 1990-04-26 1992-06-16 Eastman Kodak Company Curable composition
EP0584410A1 (en) 1991-07-05 1994-03-02 Conductus, Inc. Superconducting electronic structures and methods of preparing same
US5397863A (en) 1991-09-13 1995-03-14 International Business Machines Corporation Fluorinated carbon polymer composites
US5252534A (en) 1992-05-29 1993-10-12 Eastman Kodak Company Slipping layer of polyimide-siloxane for dye-donor element used in thermal dye transfer
US5302547A (en) 1993-02-08 1994-04-12 General Electric Company Systems for patterning dielectrics by laser ablation
US5412065A (en) 1993-04-09 1995-05-02 Ciba-Geigy Corporation Polyimide oligomers
JP3112229B2 (ja) 1993-06-30 2000-11-27 東京応化工業株式会社 ポジ型ホトレジスト組成物
US5578697A (en) 1994-03-29 1996-11-26 Kabushiki Kaisha Toshiba Polyimide precursor, bismaleimide-based cured resin precursor and electronic parts having insulating members made from these precursors
JPH0862834A (ja) 1994-08-22 1996-03-08 Mitsubishi Chem Corp フォトレジスト組成物
JP3257325B2 (ja) 1995-01-31 2002-02-18 ジェイエスアール株式会社 ポリイミド系共重合体の製造方法、薄膜形成剤、並びに液晶配向膜の製造方法
JPH095988A (ja) 1995-06-21 1997-01-10 Mitsubishi Chem Corp 感放射線性塗布組成物
JP3562599B2 (ja) 1995-08-18 2004-09-08 大日本インキ化学工業株式会社 フォトレジスト組成物
TW369554B (en) * 1995-10-19 1999-09-11 Three Bond Co Ltd Photocurable composition
US5783656A (en) 1996-02-06 1998-07-21 Japan Synthetic Rubber Co., Ltd. Polyamic acid, polyimide and liquid crystal aligning agent
DE69732949T2 (de) 1996-05-16 2006-02-23 Jsr Corp. Flüssigkristallausrichtungsmittel
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
KR20000052823A (ko) 1996-10-29 2000-08-25 나카노 카쯔히코 변성 열가소성 노르보르넨계 중합체 및 그 제조방법
DE69832444T2 (de) 1997-09-11 2006-08-03 E.I. Dupont De Nemours And Co., Wilmington Flexible Polyimidfolie mit hoher dielektrischer Konstante
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6036809A (en) 1999-02-16 2000-03-14 International Business Machines Corporation Process for releasing a thin-film structure from a substrate
JP2000294922A (ja) * 1999-04-01 2000-10-20 Victor Co Of Japan Ltd 多層プリント配線板用の絶縁樹脂組成物
JP3736607B2 (ja) 2000-01-21 2006-01-18 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP4717268B2 (ja) * 2001-01-12 2011-07-06 富士通株式会社 絶縁樹脂組成物及びそれから形成した絶縁層を含む多層回路基板
JP2004536693A (ja) * 2001-04-19 2004-12-09 ゼネラル・エレクトリック・カンパニイ スピンコート媒体
CN1522387A (zh) 2001-05-30 2004-08-18 钟渊化学工业株式会社 光敏性树脂组合物及用该组合物的光敏性干膜抗蚀剂、光敏性射线遮挡膜
CN1324402C (zh) 2001-10-30 2007-07-04 钟渊化学工业株式会社 感光性树脂组合物、使用该组合物的感光性薄膜及层压体
US20030217462A1 (en) * 2001-12-13 2003-11-27 Fei Wang Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
GB0221893D0 (en) * 2002-09-20 2002-10-30 Avecia Ltd Process
US6844950B2 (en) 2003-01-07 2005-01-18 General Electric Company Microstructure-bearing articles of high refractive index
DE602004017457D1 (de) * 2003-05-30 2008-12-11 Fujifilm Imaging Colorants Ltd Verfahren zum ätzen einer metall- oder metalllegierung oberfläche
US7012017B2 (en) 2004-01-29 2006-03-14 3M Innovative Properties Company Partially etched dielectric film with conductive features
US7598167B2 (en) 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US7335608B2 (en) 2004-09-22 2008-02-26 Intel Corporation Materials, structures and methods for microelectronic packaging
US7442325B2 (en) 2004-09-29 2008-10-28 Cytec Technology Corp. Stabilized crosslinking composition
US8709705B2 (en) * 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
WO2006065660A2 (en) * 2004-12-13 2006-06-22 Hybrid Plastics, Inc. Metal-containing compositions
US7410631B2 (en) 2005-03-02 2008-08-12 Aps Laboratory Metal phosphate sols, metal nanoparticles, metal-chalcogenide nanoparticles, and nanocomposites made therefrom
GB0511132D0 (en) * 2005-06-01 2005-07-06 Plastic Logic Ltd Layer-selective laser ablation patterning
US7745516B2 (en) 2005-10-12 2010-06-29 E. I. Du Pont De Nemours And Company Composition of polyimide and sterically-hindered hydrophobic epoxy
US7629424B2 (en) 2005-12-09 2009-12-08 Pryog, Llc Metal-containing compositions and method of making same
US7682972B2 (en) * 2006-06-01 2010-03-23 Amitec-Advanced Multilayer Interconnect Technoloiges Ltd. Advanced multilayer coreless support structures and method for their fabrication
US7685687B2 (en) * 2007-01-22 2010-03-30 E. I. Du Pont De Nemours And Company Methods of making high capacitance density ceramic capacitors
US8147639B2 (en) * 2008-05-22 2012-04-03 Tripartisan Technologies, Llc Process for manufacturing free standing thermoplastic polymeric films
US8802346B2 (en) * 2008-08-07 2014-08-12 Pryog, Llc Metal compositions and methods of making same
US20110287243A1 (en) 2009-03-06 2011-11-24 E.I. Du Pont De Nemours And Company Multilayer film for electronic circuitry applications and methods relating thereto
KR20120041238A (ko) 2009-08-03 2012-04-30 쓰리엠 이노베이티브 프로퍼티즈 컴파니 반사방지성 투명 emi 차폐 광학 필터
TW201114602A (en) * 2009-10-20 2011-05-01 Toyo Boseki Transparent electrically conductive laminated film
US8816021B2 (en) * 2010-09-10 2014-08-26 Designer Molecules, Inc. Curable composition with rubber-like properties
US9136123B2 (en) 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US20140274470A1 (en) * 2013-03-14 2014-09-18 Taylor Made Golf Company, Inc. Golf ball compositions
US9725621B2 (en) * 2013-05-03 2017-08-08 Cabot Corporation Chemical mechanical planarization slurry composition comprising composite particles, process for removing material using said composition, CMP polishing pad and process for preparing said composition
KR102219068B1 (ko) 2013-05-17 2021-02-23 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 새로운 폴리머 및 이를 포함하는 열경화성 조성물
SG11201700740QA (en) 2014-08-29 2017-02-27 Furukawa Electric Co Ltd Adhesive film
JP6395730B2 (ja) 2014-08-29 2018-09-26 古河電気工業株式会社 接着フィルム及び接着フィルムを用いた半導体パッケージ
US20170369371A1 (en) * 2014-12-22 2017-12-28 Dow Global Technologies Llc Derivatized polyimides and methods of making and using
EP3286605B1 (en) 2015-04-21 2023-06-28 FujiFilm Electronic Materials USA, Inc. Photosensitive polyimide compositions
WO2017058160A1 (en) 2015-09-29 2017-04-06 Pryog, Llc Metal compositions and methods of making same
CN106832280A (zh) 2017-02-27 2017-06-13 华烁科技股份有限公司 一种热塑性导热液晶聚酰亚胺薄膜及其制备方法
US10875965B2 (en) * 2017-09-11 2020-12-29 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming composition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080017308A1 (en) * 2006-07-24 2008-01-24 Dershem Stephen M Derivatives of poly(styrene-co-allyl alcohol) and methods for use thereof
US20130228901A1 (en) * 2009-09-03 2013-09-05 Designer Molecules, Inc. Materials and methods for stress reduction in semiconductor wafer passivation layers

Also Published As

Publication number Publication date
KR102494132B1 (ko) 2023-01-31
WO2019050565A1 (en) 2019-03-14
JP2020533419A (ja) 2020-11-19
WO2019050566A1 (en) 2019-03-14
KR20200053389A (ko) 2020-05-18
EP3478777A4 (en) 2019-05-08
CN109789644A (zh) 2019-05-21
JP2020533418A (ja) 2020-11-19
TW201912677A (zh) 2019-04-01
US10875965B2 (en) 2020-12-29
KR20200053388A (ko) 2020-05-18
US20190081001A1 (en) 2019-03-14
TW201912717A (zh) 2019-04-01
EP3478482A1 (en) 2019-05-08
EP3478482A4 (en) 2019-07-17
CN109790405A (zh) 2019-05-21
US10563014B2 (en) 2020-02-18
PH12018550157A1 (en) 2019-09-09
EP3478777B1 (en) 2020-11-18
PH12018550158A1 (en) 2019-09-09
EP3478777A1 (en) 2019-05-08
JP7140687B2 (ja) 2022-09-21
TWI766959B (zh) 2022-06-11
JP7140686B2 (ja) 2022-09-21
US20190077913A1 (en) 2019-03-14
CN109790405B (zh) 2022-07-15
EP3478482B1 (en) 2020-12-16
KR102456361B1 (ko) 2022-10-19
CN109789644B (zh) 2023-01-31

Similar Documents

Publication Publication Date Title
TWI776863B (zh) 介電膜形成性組成物(二)
JP6845156B2 (ja) 感光性ポリイミド組成物
EP3398202B1 (en) Photosensitive stacked structure
JP7235317B2 (ja) 多層構造体
US11721543B2 (en) Planarizing process and composition
JP2023511118A (ja) ドライフィルム
WO2024147917A1 (en) Dielectric film forming composition containing acyl germanium compound
JP2020502291A (ja) ポリイミド

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent