TWI772378B - 積體電路元件及其製造方法 - Google Patents

積體電路元件及其製造方法 Download PDF

Info

Publication number
TWI772378B
TWI772378B TW107107619A TW107107619A TWI772378B TW I772378 B TWI772378 B TW I772378B TW 107107619 A TW107107619 A TW 107107619A TW 107107619 A TW107107619 A TW 107107619A TW I772378 B TWI772378 B TW I772378B
Authority
TW
Taiwan
Prior art keywords
source
pair
insulating
fin
drain
Prior art date
Application number
TW107107619A
Other languages
English (en)
Other versions
TW201907486A (zh
Inventor
崔晸赫
李海王
河玹知
朴哲弘
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201907486A publication Critical patent/TW201907486A/zh
Application granted granted Critical
Publication of TWI772378B publication Critical patent/TWI772378B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/08Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices
    • H03K19/094Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors
    • H03K19/0944Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供一種積體電路元件及其製造方法。所述積體電路元 件可包括:鰭型主動區,在基底上在第一方向上延伸;絕緣分隔結構,在所述鰭型主動區上在與所述第一方向相交的第二方向上延伸;一對***閘極線,彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊;一對源極/汲極區,位於所述鰭型主動區上且彼此間隔開而使所述絕緣分隔結構位於所述一對源極/汲極區之間;以及跳接接觸件,位於所述絕緣分隔結構之上且連接於所述一對源極/汲極區之間。

Description

積體電路元件及其製造方法
本申請案主張於2017年7月5日在韓國智慧財產局提出申請的韓國專利申請案第10-2017-0085408號的權利,所述韓國專利申請案的揭露內容全文併入本案供參考。
本發明是有關於一種積體電路元件及其製造方法,且更具體而言,是有關於一種包括呈塞(plug)形式的接觸件的積體電路元件及一種製造所述積體電路元件的方法。
由於電子技術的發展,積體電路元件已急劇按比例縮小。由於高度按比例縮小的積體電路不僅需要高的操作速度而且需要高的操作準確性,因此需要可減小在相對小的空間中的配線及接觸件的面積且可穩定地確保所述配線與所述接觸件之間的絕緣距離的積體電路元件以及一種製造所述積體電路元件的方法。
本發明提供一種積體電路元件,所述積體電路元件即便當在具有面積減小的元件區的所述積體電路元件中形成於相同水平高度處的配線及接觸件的數目根據按比例縮小而增大時仍可將 元件間隔離區的面積最小化且可穩定地確保所述接觸件之間的絕緣距離。
本發明亦提供一種製造積體電路元件的方法,所述積體電路元件即便當在具有面積減小的元件區的所述積體電路元件中形成於相同水平高度處的配線及接觸件的數目根據按比例縮小而增大時仍可將元件間隔離區的面積最小化且可穩定地確保接觸件之間的絕緣距離。
根據本發明概念的態樣,提供一種積體電路元件,所述積體電路元件包括:鰭型主動區,在基底上在第一方向上延伸;絕緣分隔結構,在所述鰭型主動區上在與所述第一方向相交的第二方向上延伸;一對***閘極線,彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊;一對源極/汲極區,位於所述鰭型主動區上且彼此間隔開而使所述絕緣分隔結構位於所述一對源極/汲極區之間;以及跳接接觸件,位於所述絕緣分隔結構之上且連接於所述一對源極/汲極區之間。
根據本發明概念的另一態樣,提供一種積體電路元件,所述積體電路元件包括:多個鰭型主動區,在基底上在第一方向上彼此平行地延伸;絕緣分隔結構,在所述多個鰭型主動區上在與所述第一方向相交的第二方向上延伸;一對***閘極線,彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結 構對齊;多個源極/汲極區,形成於所述多個鰭型主動區上;以及跳接接觸件,在所述多個源極/汲極區中的第一源極/汲極區與第二源極/汲極區之間在所述第一方向上延伸,所述第一源極/汲極區及所述第二源極/汲極區彼此間隔開而使所述絕緣分隔結構位於所述第一源極/汲極區與所述第二源極/汲極區之間。所述跳接接觸件越過所述絕緣分隔結構以將所述第一源極/汲極區與所述第二源極/汲極區連接至彼此。
根據本發明概念的另一態樣,提供一種製造積體電路元件的方法,所述方法包括:在基底上形成在第一方向上延伸的鰭型主動區;在所述鰭型主動區上形成一對源極/汲極區;在所述一對源極/汲極區之間形成在與所述第一方向相交的第二方向上延伸的絕緣分隔結構;形成一對***閘極線,所述一對***閘極線彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,並且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊;以及在所述一對源極/汲極區之間形成跳接接觸件,所述跳接接觸件位於所述絕緣分隔結構之上且連接所述一對源極/汲極區。
100、200、300、400、500、600、700、800:積體電路元件
110:基底
110M:主要表面
112:元件隔離膜
118、318:閘極介電膜
119:閘極導電層
120、220、320、420、620:絕緣分隔結構
120A:隱埋分隔部
120B、220P:突出分隔部
120BT、320BT、420BT:底表面
120S、220S、320S:側壁
120T、130T、320T、420T、CAT、CBT、FT:頂表面
126:絕緣襯墊
128:金屬矽化物膜
130、530、630、730、830:跳接接觸件
130H:跳接接觸件孔
132:垂直延伸部
134:水平延伸部
162:絕緣間隔壁
164:閘極間絕緣膜
172:源極/汲極區
180:閘極絕緣頂蓋層
190、198:層間絕緣膜
220M:主要分隔部
308、D14:虛設閘極介電膜
BN:單元邊界
CA、CA1、CA2:源極/汲極接觸塞
CAH:第一接觸件孔
CB:閘極接觸塞
CL:導電膜
CL1:導電障壁膜
CL2:隱埋金屬膜
D16、DGL:虛設閘極線
D18:虛設閘極絕緣頂蓋層
DGS:虛設閘極結構
DTA:元件間隔離區
FA:鰭型主動區
GL:閘極線
GL1:第一***閘極線
GL2:第二***閘極線
GS:閘極結構
H1、H2、H3:孔
LC:邏輯單元
LV1、LV2、LV3、LV4、LV5、LV6:水平高度
M1、M2、M3、M4:罩幕圖案
ML:導電線
R1:凹陷部
RX1:第一元件區
RX2:第二元件區
S1:分隔空間
S2:絕緣空間
SP1、SP3:閘極空間
SP2:頂蓋空間
T1:鰭分隔溝渠
VC1:第一通孔接觸塞
VC2:第二通孔接觸塞
VDD:電源線
VSS:接地線
X、Y、Z:方向
X1-X1'、X2-X2'、Y1-Y1'、Y2-Y2':線
結合附圖閱讀以下詳細說明,將更清晰地理解本發明的實施例,在附圖中:圖1是用於闡釋根據示例性實施例的積體電路元件的平面佈局圖。
圖2A是根據示例性實施例的沿圖1所示的線X1-X1'截取的剖視圖。
圖2B是根據示例性實施例的沿圖1所示的線X2-X2'截取的剖視圖。
圖2C是根據示例性實施例的沿圖1所示的線Y1-Y1'截取的剖視圖。
圖2D是根據示例性實施例的沿圖1所示的線Y2-Y2'截取的剖視圖。
圖3是根據示例性實施例的用於闡釋積體電路元件的剖視圖。
圖4A及圖4B是根據示例性實施例的用於闡釋積體電路元件的剖視圖。
圖5A及圖5B是根據示例性實施例的用於闡釋積體電路元件的剖視圖。
圖6A是用於闡釋根據示例性實施例的積體電路元件的平面佈局圖。
圖6B是根據示例性實施例的沿圖6A所示的線X1-X1'截取的剖視圖。
圖6C是根據示例性實施例的沿圖6A所示的線Y1-Y1'截取的剖視圖。
圖7至圖9是用於闡釋根據示例性實施例的積體電路元件的平面佈局圖。
圖10A至圖10O是根據示例性實施例的用於闡釋根據製程次序來製造積體電路元件的方法的剖視圖。
圖11A至圖11H是根據示例性實施例的用於闡釋根據製程次序來製造積體電路元件的方法的剖視圖。
現將參照示出實施例的附圖來更充分地闡述本發明。在圖式中,相同的組件由相同的參考編號來表示,且因此將不再對其予以贅述。
圖1至圖2D是根據示例性實施例的用於闡釋積體電路元件100的圖。圖1是示出積體電路元件100的主要組件的平面佈局圖。圖2A是根據示例性實施例的沿圖1所示的線X1-X1'截取的剖視圖。圖2B是根據示例性實施例的沿圖1所示的線X2-X2'截取的剖視圖。圖2C是根據示例性實施例的沿圖1所示的線Y1-Y1'截取的剖視圖。圖2D是根據示例性實施例的沿圖1所示的線Y2-Y2'截取的剖視圖。圖1至圖2D所示積體電路元件100可包括邏輯單元,所述邏輯單元包括鰭型場效電晶體(fin field-effect transistor,FinFET)元件。
參照圖1至圖2D,積體電路元件100包括邏輯單元LC,邏輯單元LC在基底110上形成於由單元邊界BN界定的區中。
基底110具有在水平方向(例如,X-Y平面方向)上延伸的主要表面110M。基底110可包含例如矽(Si)或鍺(Ge)等半導體或例如SiGe、SiC、GaAs、InAs或InP等化合物半導體。 基底110可包括導電區,例如摻雜有雜質的阱或摻雜有雜質的結構。
邏輯單元LC包括第一元件區RX1及第二元件區RX2。在第一元件區RX1及第二元件區RX2中形成有自基底110突出的多個鰭型主動區FA。在第一元件區RX1與第二元件區RX2之間可定位有元件間隔離區DTA。
所述多個鰭型主動區FA可彼此平行且在邏輯單元LC的寬度方向(例如,X方向)上延伸。如圖2C中所示,在基底110上在元件間隔離區DTA及位於所述多個鰭型主動區FA之間的區中可形成有元件隔離膜112,且所述多個鰭型主動區FA可在第一元件區RX1及第二元件區RX2中以鰭形式向上突出超過元件隔離膜112。
在基底110上定位有多個閘極介電膜118及多個閘極線GL,且所述多個閘極介電膜118及所述多個閘極線GL在與所述多個鰭型主動區FA相交的邏輯單元LC的高度方向(例如,Y方向)上延伸。所述多個閘極線GL可被稱為正常閘極線GL。所述多個閘極介電膜118及所述多個閘極線GL可延伸以覆蓋所述多個鰭型主動區FA的頂表面及兩個側壁以及元件隔離膜112的頂表面。在第一元件區RX1及第二元件區RX2中沿所述多個閘極線GL可形成有多個金屬氧化物半導體(metal-oxide semiconductor,MOS)電晶體。所述多個金屬氧化物半導體電晶體可為在所述多個鰭型主動區FA的頂表面及所述兩個側壁上形成有通道的三維金屬氧 化物半導體電晶體。
虛設閘極線DGL可沿在邏輯單元LC的高度方向(例如,Y方向)上延伸的單元邊界BN的一些部分延伸。儘管虛設閘極線DGL可由與所述多個閘極線GL的材料相同的材料形成,然而虛設閘極線DGL可藉由在積體電路元件100進行操作的同時維持電性浮置狀態而充當邏輯單元LC與圍繞邏輯單元LC的其他邏輯單元(或電路)之間的電性分隔區。
虛設閘極線DGL可為形成於相同水平高度處且與所述多個閘極線GL(例如,正常閘極線)相鄰的導電線。虛設閘極線是自形成此種正常閘極線的相同導電層而圖案化。舉例而言,虛設閘極線可利用對形成正常閘極線的導電層進行沈積及圖案化的相同製程而與正常閘極線同時形成。積體電路元件(例如,電子元件、邏輯元件、或記憶體元件)中的虛設閘極線不能有效地使資料傳輸至外部元件。舉例而言,虛設閘極線可不電性連接至邏輯單元或記憶體單元的閘極,或者若虛設閘極線電性連接至虛設邏輯單元或記憶體單元的閘極,則此種虛設閘極線可能無法被激活或者若此種虛設閘極線被激活,則可能無法將此種虛設邏輯單元或記憶體單元中的任何資料傳送至位於邏輯元件或記憶體元件外部的源極。
所述多個閘極線GL及所述多個虛設閘極線DGL可在邏輯單元LC的寬度方向(例如,X方向)上具有相同的寬度且可在所述寬度方向(例如,X方向)上以恆定節距進行排列。舉例而 言,在寬度方向(例如,X方向)上邏輯單元LC的所述多個閘極線GL中的兩個相鄰閘極線GL之間的距離與在寬度方向(例如,X方向)上虛設閘極線DGL和最靠近於虛設閘極線DGL的閘極線GL之間的距離可相同。
多個閘極介電膜118可包括氧化矽膜、高介電常數膜(high-k film)、或其組合。高介電常數膜可由具有較氧化矽膜的介電常數大的介電常數的材料形成。高介電常數膜可由金屬氧化物或金屬氮氧化物形成。在鰭型主動區FA與閘極介電膜118之間可定位有介面膜(圖中未示出)。介面膜可包括氧化物膜、氮化物膜、或氮氧化物膜。
所述多個閘極線GL及所述多個虛設閘極線DGL中的每一者可具有其中依序堆疊有金屬氮化物層、金屬層、導電頂蓋層、及間隙填充金屬膜的結構。金屬氮化物層及金屬層中的每一者可包含選自鈦(Ti)、鉭(Ta)、鎢(W)、釕(Ru)、鈮(Nb)、鉬(Mo)、及鉿(Hf)中的至少一種金屬。間隙填充金屬膜可包括W膜或鋁(Al)膜。所述多個閘極線GL及所述多個虛設閘極線DGL中的每一者可包括功函數含金屬層(work function metal-containing layer)。功函數含金屬層可包含選自Ti、W、Ru、Nb、Mo、Hf、鎳(Ni)、鈷(Co)、鉑(Pt)、鐿(Yb)、鏑(Dy)、鉺(Er)、及鈀(Pd)中的至少一種金屬。在一些實施例中,所述多個閘極線GL及所述多個虛擬閘極線DGL中的每一者可包括但不限於包含TiAlC/TiN/W的堆疊結構、包含TiN/TaN/TiAlC/TiN/W的堆疊結構、 或包含TiN/TaN/TiN/TiAlC/TiN/W的堆疊結構。
所述多個閘極線GL的頂表面、所述多個閘極介電膜118的頂表面、及所述多個虛設閘極線DGL的頂表面可被閘極絕緣頂蓋層180覆蓋。所述多個閘極絕緣頂蓋層180可包括氮化矽膜。依序堆疊於鰭型主動區FA上的閘極介電膜118、閘極線GL、及閘極絕緣頂蓋層180可構成閘極結構GS。
多個絕緣間隔壁162可在邏輯單元LC的縱向方向(例如,Y方向)上線性地延伸以覆蓋所述多個閘極線GL及所述多個虛設閘極線DGL的兩個側壁。所述多個絕緣間隔壁162可包括氮化矽膜、SiOCN膜、SiCN膜或其組合。
在基底110上可形成有層間絕緣膜190,層間絕緣膜190覆蓋所述多個閘極結構GS及所述多個虛擬閘極線DGL。層間絕緣膜190可包括氧化矽膜、氮化矽膜、或其組合。
在所述多個鰭型主動區FA上可形成有多個源極/汲極區172,所述多個源極/汲極區172位於所述多個閘極線GL中的每一者的兩側處。一個閘極線GL與源極/汲極區172中的一者彼此間隔開而使閘極介電膜118及絕緣間隔壁162位於所述一個閘極線GL與源極/汲極區172中的所述一者之間。所述多個源極/汲極區172可包括自在鰭型主動區FA中形成的多個凹陷部R1或其組合磊晶生長的半導體磊晶層。所述多個源極/汲極區172可包括磊晶生長Si層、磊晶生長SiC層、或多個磊晶生長SiGe層。所述多個源極/汲極區172中的一些可被閘極間絕緣膜164覆蓋(參見圖 10B)。閘極間絕緣膜164可包括氧化矽膜。
在所述多個源極/汲極區172上形成有多個源極/汲極接觸塞CA。所述多個源極/汲極區172可藉由所述多個源極/汲極接觸塞CA連接至上部導電線(圖中未示出)。
在邏輯單元LC的第二元件區RX2中的鰭型主動區FA上可形成有至少一個絕緣分隔結構120,所述至少一個絕緣分隔結構120在平行於所述多個閘極線GL的方向上延伸。儘管在圖1至圖2D所示積體電路元件100的第二元件區RX2中形成有一個絕緣分隔結構120,然而本發明概念並非僅限於此,且在選自第一元件區RX1及第二元件區RX2中的至少一者中可形成有至少一個絕緣分隔結構120。
所述多個閘極線GL包括一對***閘極線(即,第一***閘極線GL1與第二***閘極線GL2),所述一對***閘極線彼此間隔開而使絕緣分隔結構120位於所述一對***閘極線之間。第一***閘極線GL1及第二***閘極線GL2在邏輯單元LC的高度方向(例如,Y方向)上與絕緣分隔結構120對齊。
絕緣分隔結構120可包括面對第一***閘極線GL1及第二***閘極線GL2的側壁120S,且側壁120S可接觸第一***閘極線GL1及第二***閘極線GL2以及閘極介電膜118。
在絕緣分隔結構120的兩側處可分別定位有一對源極/汲極區172而使絕緣分隔結構120位於所述一對源極/汲極區172之間,且所述一對源極/汲極區172可藉由一個跳接接觸件130而 連接至彼此。
應理解,當稱一組件或層「連接至」或「耦合至」另一組件或層時,所述組件或層可直接連接至或直接耦合至另一組件或層,抑或可存在中間組件或層。相比之下,當稱一組件「直接連接至」、「直接耦合至」、或「直接實體地連接至」另一組件或層時,則不存在中間組件或層。除非上下文另有指示,否則用語「接觸」是指直接連接(即,觸碰)。
第一閘極結構GS中的第一閘極線可在第一方向上位於第一源極/汲極區172與所述一對源極/汲極區172中的一者之間。第二閘極結構GS中的第二閘極線可在第一方向上位於第二源極/汲極區172與所述一對源極/汲極區172中的另一者之間。第一閘極線及第二閘極線中的每一者可包括導電層。
在示例性實施例中,絕緣分隔結構120的頂表面的水平高度可高於第一閘極線及第二閘極線中的每一者的頂表面的水平高度且可低於第一閘極結構GS及第二閘極結構GS中的每一者的閘極絕緣頂蓋層180的頂表面的水平高度。
在示例性實施例中,絕緣分隔結構120的一部分可位於與第一閘極線及第二閘極線的導電層相同的垂直方向水平高度處。
跳接接觸件130可包括一對垂直延伸部132以及水平延伸部134,所述一對垂直延伸部132分別連接至所述一對源極/汲極區172,水平延伸部134成一體地連接至所述一對垂直延伸部 132、位於絕緣分隔結構120之上、且在平行於鰭型主動區FA的方向上延伸以覆蓋絕緣分隔結構120。水平延伸部134可垂直地交疊絕緣分隔結構120。水平延伸部134可不垂直地交疊第一***閘極線GL1及第二***閘極線GL2。所述一對源極/汲極區172可藉由跳接接觸件130連接至上部導電線(圖中未示出)。
在跳接接觸件130與所述一對源極/汲極區172之間的連接部分上可形成有金屬矽化物膜128。此外,金屬矽化物膜128可形成於所述多個源極/汲極區172與所述多個源極/汲極接觸塞CA之間的連接部分上。金屬矽化物膜128可包含Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er、或Pd。舉例而言,金屬矽化物膜128可由矽化鈦形成,但並非僅限於此。
跳接接觸件130的側壁、所述多個源極/汲極接觸塞CA的側壁、及多個閘極接觸塞CB的側壁可被絕緣襯墊126覆蓋。絕緣襯墊126可包括氮化矽膜。
儘管在圖1中跳接接觸件130具有實質上矩形的平面形狀,然而本發明概念並非僅限於此。舉例而言,跳接接觸件130可具有各種平面形狀中的任一者而非特定平面形狀,只要位於絕緣分隔結構120之上的跳接接觸件130可延伸以連接至位於絕緣分隔結構120兩側處的所述一對源極/汲極區172即可。以下將參照圖7至圖9來闡釋跳接接觸件130的平面形狀的詳細修改方案。
絕緣分隔結構120可延伸以位於第二元件區RX2內部及外部。舉例而言,絕緣分隔結構120可包括位於第二元件區RX2 中的一部分及位於元件間隔離區DTA中的一部分。在邏輯單元LC的高度方向(例如,Y方向)上,跳接接觸件130的長度可小於絕緣分隔結構120的長度。舉例來說,在Y方向上,跳接接觸件130的第一端與第二端之間的長度小於絕緣分隔結構120的第一端與第二端之間的長度。在此種配置中,可確保跳接接觸件130與第一***閘極線GL1及第二***閘極線GL2之間存在足夠距離。
第一***閘極線GL1及第二***閘極線GL2中位於第一元件區RX1中的第一***閘極線GL1可充當正常閘極線。相比之下,位於第二元件區RX2中的第二***閘極線GL2可為不實行正常閘極線的功能的虛設閘極線。
所述多個閘極接觸塞CB可形成於所述多個閘極線GL上。所述多個閘極線GL可藉由所述多個閘極接觸塞CB連接至上部導電線(圖中未示出)。第一***閘極線GL1(即,第一***閘極線GL1及第二***閘極線GL2中的一者)亦可藉由閘極接觸塞CB連接至上部導電線。舉例而言,第二***閘極線GL2(即,第一***閘極線GL1及第二***閘極線GL2中的其餘一者)可能無法連接至上部導電線。
跳接接觸件130的頂表面130T的水平高度、所述多個源極/汲極接觸塞CA中的每一者的頂表面CAT的水平高度、及所述多個閘極接觸塞CB中的每一者的頂表面CBT的水平高度可實質上相同。因此,自基底110的主要表面110M至跳接接觸件130 的頂表面130T的垂直距離、自基底110的主要表面110M至所述多個源極/汲極接觸塞CA中的每一者的頂表面CAT的垂直距離、及自基底110的主要表面110M至所述多個閘極接觸塞CB中的每一者的頂表面CBT的垂直距離可實質上相同。
在示例性實施例中,在X方向上,跳接接觸件130的頂表面130T的寬度可大於所述多個源極/汲極接觸塞CA中的每一者的頂表面CAT的寬度。在其他示例性實施例中,在X方向上,跳接接觸件130的頂表面130T的寬度可大於所述多個閘極接觸塞CB中的每一者的頂表面CBT的寬度。
在鰭型主動區FA的與絕緣分隔結構120的位置對應的一部分中可形成有鰭分隔溝渠T1。絕緣分隔結構120可包括隱埋分隔部120A及突出分隔部120B,隱埋分隔部120A填充鰭分隔溝渠T1,突出分隔部120B成一體地連接至隱埋分隔部120A且遠離鰭型主動區FA延伸。突出分隔部120B可向上突出超過鰭型主動區FA的頂表面FT。突出分隔部120B可位於跳接接觸件130的所述一對垂直延伸部132之間。
絕緣分隔結構120的最下表面的水平高度LV1可高於基底110的主要表面110M的水平高度LV2且可低於鰭型主動區FA的頂表面FT的水平高度LV3。絕緣分隔結構120的最上表面的水平高度LV4可高於閘極線GL中的每一者的最上表面的水平高度LV5且可低於閘極絕緣頂蓋層180中的每一者的最上表面的水平高度LV6。
在示例性實施例中,在Z方向上,絕緣分隔結構120的最下表面的水平高度LV1與絕緣分隔結構120的最上表面的水平高度LV4之間的長度可小於鰭型主動區FA的頂表面FT的水平高度LV3與跳接接觸件130的頂表面130T的水平高度之間的長度。
突出分隔部120B的頂表面120T可包括圓的凸表面。突出分隔部120B的兩個側壁可被一對絕緣間隔壁162覆蓋。所述一對絕緣間隔壁162可位於突出分隔部120B與垂直延伸部132之間。突出分隔部120B的頂表面120T與所述一對絕緣間隔壁162的頂表面可平滑地連接以形成一個圓的凸表面。水平延伸部134的底表面(即,跳接接觸件130的面對及接觸絕緣分隔結構120的頂表面120T的一部分)可包括與絕緣分隔結構120的頂表面120T的圓的凸表面的輪廓對應的圓的凹表面。
絕緣分隔結構120的底表面120BT的水平高度(參見圖2C)可低於所述多個源極/汲極區172中的每一者的底表面的水平高度。儘管在圖2C中絕緣分隔結構120的底表面120BT在Y方向上為實質上平的,然而絕緣分隔結構120的底表面120BT的輪廓可被以各種方式修改。以下將參照圖3來闡釋絕緣分隔結構120的底表面120BT的輪廓的詳細修改方案。
在一些示例性實施例中,絕緣分隔結構120可包括單個絕緣膜、或包括多個絕緣膜的多層式結構。在其他示例性實施例中,絕緣分隔結構120可包括空氣隙。本文中所論述的用語「空氣」可指大氣、或在製造製程期間可能存在的其他氣體。絕緣分 隔結構120中所包括的絕緣膜的實例可包括但不限於氧化矽膜、氮化矽膜、SiOCN膜、SiCN膜、及其組合。
跳接接觸件130、所述多個源極/汲極接觸塞CA、及所述多個閘極接觸塞CB可包含相同的導電材料。跳接接觸件130、所述多個源極/汲極接觸塞CA、及所述多個閘極接觸塞CB中的每一者可包括隱埋金屬膜及環繞所述隱埋金屬膜的導電障壁膜。隱埋金屬膜可由鈷(Co)、銅(Cu)、鎢(W)、釕(Ru)、錳(Mn)、或其組合形成,且導電障壁膜可由Ti、Ta、TiN、TaN、或其組合形成。在示例性實施例中,跳接接觸件130、所述多個源極/汲極接觸塞CA、及所述多個閘極接觸塞CB中的每一者可為相同的材料。
跳接接觸件130、所述多個源極/汲極接觸塞CA、及所述多個閘極接觸塞CB可藉由閘極絕緣頂蓋層180、所述多個絕緣間隔壁162、及層間絕緣膜190而彼此絕緣。
在邏輯單元LC中,接地線VSS可藉由源極/汲極接觸塞CA連接至第一元件區RX1中的鰭型主動區FA,且電源線VDD可藉由源極/汲極接觸塞CA連接至第二元件區RX2中的鰭型主動區FA。接地線VSS及電源線VDD可形成於較跳接接觸件130、所述多個源極/汲極接觸塞CA、及所述多個閘極接觸塞CB中的每一者的頂表面的水平高度高的水平高度處。接地線VSS及電源線VDD中的每一者可包括導電障壁膜及配線導電層。導電障壁膜可由Ti、Ta、TiN、TaN、或其組合形成。配線導電層可由Co、Cu、 W、其合金、或其組合形成。
儘管在圖1至圖2D中邏輯單元LC在積體電路元件100中包括一個絕緣分隔結構120及一個跳接接觸件130,然而本發明概念並非僅限於此。舉例而言,一個邏輯單元LC可包括多個絕緣分隔結構120及/或多個跳接接觸件130。
圖1至圖2D所示積體電路元件100包括絕緣分隔結構120及跳接接觸件130,絕緣分隔結構120位於邏輯單元LC中的鰭型主動區FA上且在平行於所述多個閘極線GL的方向上延伸,跳接接觸件130位於絕緣分隔結構120上且垂直地交疊絕緣分隔結構120以連接位於絕緣分隔結構120的兩側處的所述一對源極/汲極區172,絕緣分隔結構120位於所述一對源極/汲極區172之間。位於絕緣分隔結構120之上的跳接接觸件130可自絕緣分隔結構120朝所述一對源極/汲極區172延伸,以使所述一對源極/汲極區172彼此電性連接。當所述一對源極/汲極區172使用跳接接觸件130而連接至彼此時,由於跳接接觸件130越過絕緣分隔結構120而不越過由導電材料形成的閘極線GL,因此可防止在跳接接觸件130與閘極線GL之間出現短路的風險。
在根據比較實例的不包括絕緣分隔結構120的積體電路元件中,跳接接觸件130不得不越過一個閘極線GL以連接形成於閘極線GL的兩側處的所述一對源極/汲極區172。在此種情形中,在形成跳接接觸件130的同時在跳接接觸件130與位於跳接接觸件130下方的閘極線GL之間出現短路的可能性可能為高的。此外, 為在不自第一元件區RX1及第二元件區RX2形成跳接接觸件130的情況下使用在第一元件區RX1中的所述一對源極/汲極區172之間穿過的閘極線GL作為正常閘極線,不得不實行對在元件間隔離區DTA中的所述一對源極/汲極區172之間穿過的閘極線GL進行切割的製程。因此,製造積體電路元件的製程可為複雜的且元件間隔離區DTA可能需要具有相對大的面積。然而,由於積體電路元件高度積體,因此存在使元件間隔離區DTA的面積增大的局限性,且當形成於相同水平高度處的配線及接觸件的數目因積體電路元件高度積體而增大時,可能難以穩定地確保元件間隔離區DTA的固定區域中的接觸件之間的絕緣距離。
相比之下,根據本發明的示例性實施例,由於在跳接接觸件130被定位成在平行於所述多個閘極線GL的方向上延伸的情況下在第二元件區RX2中的鰭型主動區FA上形成有絕緣分隔結構120,因此當所述一對源極/汲極區172使用跳接接觸件130而連接至彼此時,跳接接觸件130可越過絕緣分隔結構120而非閘極線GL。因此,可防止在跳接接觸件130與位於跳接接觸件130下方的閘極線GL之間出現短路。此外,所述多個閘極線GL中與絕緣分隔結構120對齊的第一***閘極線GL1及第二***閘極線GL2中的位於第一元件區RX1中的第一***閘極線GL1可用作正常閘極線而無需經歷附加閘極切割製程。因此,可省略對在元件間隔離區DTA中的所述一對源極/汲極區172之間穿過的閘極線GL進行切割的附加製程,且可無需確保用於對元件間隔離區DTA 中的閘極線GL進行切割的附加空間。因此,元件間隔離區DTA的面積可減小,藉此使邏輯單元LC的大小減小。
圖3是根據示例性實施例的用於闡釋積體電路元件200的剖視圖。
圖3所示積體電路元件200可具有與圖2A至圖2D所示積體電路元件100的配置實質上相同的配置。在示例性實施例中,在積體電路元件200中,絕緣分隔結構220包括主要分隔部220M及至少一個突出分隔部220P,主要分隔部220M延伸以與第一***閘極線GL1對齊,所述至少一個突出分隔部220P自主要分隔部220M朝基底110突出。在圖3中,在鰭型主動區FA上形成有多個突出分隔部220P,所述多個突出分隔部220P填充鰭分隔溝渠T1。突出分隔部220P可在與鰭型主動區FA對應的位置處成一體地連接至主要分隔部220M。位於突出分隔部220P中的每一者周圍且接觸絕緣分隔結構220的底表面的元件隔離膜112的頂表面的高度大於鰭分隔溝渠T1的底表面的高度。絕緣分隔結構220的底表面可能因自主要分隔部220M朝基底110突出的突出分隔部220P而具有不均勻的輪廓。
絕緣分隔結構220中所包括的突出分隔部220P的數目可對應於與絕緣分隔結構220相交的鰭型主動區FA的數目。儘管在圖3中絕緣分隔結構220延伸以與三個鰭型主動區FA相交且因此絕緣分隔結構220包括三個突出分隔部220P,然而本發明概念並非僅限於此。舉例而言,絕緣分隔結構220可包括一個突出分 隔部220P,或者四個或更多個突出分隔部220P。
絕緣分隔結構220包括側壁220S,側壁220S面對第一***閘極線GL1及第二***閘極線GL2。絕緣分隔結構220的側壁220S可接觸第一***閘極線GL1及第二***閘極線GL2以及閘極介電膜118。
圖4A及圖4B是根據示例性實施例的用於闡釋積體電路元件300的圖。圖4A是沿圖1所示的線X1-X1'截取的剖視圖。圖4B是沿圖1所示的線Y1-Y1'截取的剖視圖。在一些示例性實施例中,圖4A及圖4B所示積體電路元件300可具有與圖1所示積體電路元件100的平面佈局相同的平面佈局。
圖4A及圖4B所示積體電路元件300可具有與圖2A至圖2D所示積體電路元件100的配置相同的配置。在示例性實施例中,與圖2A及圖2C中不同,在積體電路元件300中,在所述多個鰭型主動區FA中與絕緣分隔結構320相交的鰭型主動區FA中不形成有鰭分隔溝渠T1。絕緣分隔結構320可覆蓋鰭型主動區FA的上部側壁及頂表面。絕緣分隔結構320可具有底表面320BT及頂表面320T,底表面320BT面對鰭型主動區FA及元件隔離膜112,頂表面320T面對及接觸跳接接觸件130。絕緣分隔結構320的底表面320BT的水平高度可高於所述多個源極/汲極區172中的每一者的底表面的水平高度。絕緣分隔結構320的底表面320BT可與鰭型主動區FA間隔開而使虛設閘極介電膜308位於底表面320BT與鰭型主動區FA之間。虛設閘極介電膜308可包括氧化矽膜。
如圖4A中所示,絕緣分隔結構320的頂表面320T可包括圓的凸表面。絕緣分隔結構320的兩個側壁可被一對絕緣間隔壁162覆蓋。所述一對絕緣間隔壁162可位於絕緣分隔結構320與垂直延伸部132之間。絕緣分隔結構320的頂表面320T與所述一對絕緣間隔壁162的頂表面可平滑地連接以形成一個圓的凸表面。
跳接接觸件130的水平延伸部134的與絕緣分隔結構320的頂表面320T接觸的底表面可包括與絕緣分隔結構120的頂表面320T的圓的凸表面的輪廓對應的圓的凹表面。
位於第一元件區RX1中的鰭型主動區FA與第一***閘極線GL1之間的閘極介電膜318可延伸至第一***閘極線GL1與絕緣分隔結構320之間的空間。絕緣分隔結構320的側壁320S可接觸閘極介電膜318。絕緣分隔結構320可與第一***閘極線GL1及第二***閘極線GL2間隔開而使閘極介電膜318位於絕緣分隔結構320與第一***閘極線GL1及第二***閘極線GL2之間。閘極介電膜318及絕緣分隔結構320的詳細配置與參照圖2A至圖2D所述的閘極介電膜118及絕緣分隔結構120的詳細配置實質上相同。
圖5A及圖5B是根據示例性實施例的用於闡釋積體電路元件400的圖。圖5A是沿圖1所示的線X1-X1'截取的剖視圖。圖5B是沿圖1所示的線Y1-Y1'截取的剖視圖。在一些實施例中,圖5A及圖5B所示積體電路元件400可具有與圖1所示積體電路 元件100的平面佈局相同的平面佈局。
圖5A及圖5B所示積體電路元件400可具有與圖4A及圖4B所示積體電路元件300的配置實質上相同的配置。在示例性實施例中,積體電路元件400不包括圖4A及圖4B所示虛設閘極介電膜308。絕緣分隔結構420可具有底表面420BT及頂表面420T,底表面420BT面對及接觸鰭型主動區FA,頂表面420T面對及接觸跳接接觸件130。絕緣分隔結構420可接觸所述多個鰭型主動區FA中與絕緣分隔結構420相交的鰭型主動區FA的上側壁及頂表面。
絕緣分隔結構420的頂表面420T可包括圓的凸表面。絕緣分隔結構420的兩個側壁可被一對絕緣間隔壁162覆蓋。所述一對絕緣間隔壁162可位於絕緣分隔結構420與垂直延伸部132之間。絕緣分隔結構420的頂表面420T與所述一對絕緣間隔壁162的頂表面可平滑地連接以形成一個圓的凸表面。跳接接觸件130的水平延伸部134的與絕緣分隔結構420的頂表面420T接觸的底表面可包括與絕緣分隔結構420的頂表面420T的圓的凸表面的輪廓對應的圓的凹表面。絕緣分隔結構420的詳細配置與參照圖2A至圖2D所述絕緣分隔結構120的詳細配置實質上相同。
儘管已參照圖1、圖2A至圖2D、圖3、圖4A、圖4B、圖5A、及圖5B闡釋積體電路元件100、200、300、及400的配置,然而本發明概念並非僅限於此且可在本發明概念的範圍內相對於圖1、圖2A至圖2D、圖3、圖4A、圖4B、圖5A、及圖5B所示 配置進行各種修改及改變。
圖6A是用於闡釋根據示例性實施例的積體電路元件500的平面佈局圖。圖6B是根據示例性實施例的沿圖6A所示的線X1-X1'截取的剖視圖。圖6C是根據示例性實施例的沿圖6A所示的線Y1-Y1'截取的剖視圖。
參照圖6A至圖6C,積體電路元件500具有與圖1所示積體電路元件100的配置實質上相同的配置。在示例性實施例中,積體電路元件500包括跳接接觸件530,跳接接觸件530具有與其中一對垂直延伸部132與水平延伸部134成一體地連接的跳接接觸件130的結構不同的結構。舉例而言,跳接接觸件530包括一對源極/汲極接觸塞CA1與CA2以及第一通孔接觸塞VC1,所述一對源極/汲極接觸塞CA1與CA2分別連接至位於絕緣分隔結構120兩側處的一對源極/汲極區172而使絕緣分隔結構120位於所述一對源極/汲極接觸塞CA1及CA2之間,第一通孔接觸塞VC1位於絕緣分隔結構120之上且連接於所述一對源極/汲極接觸塞CA1與CA2之間。所述一對源極/汲極接觸塞CA1和CA2與第一通孔接觸塞VC1可利用不同的製程來形成。
積體電路元件500包括多個導電線ML,所述多個導電線ML位於所述多個閘極線GL之上且在與所述多個閘極線GL相交的方向上延伸。
在所述多個源極/汲極區172與所述多個導電線ML之間可形成有多個第一通孔接觸塞VC1。所述多個源極/汲極區172中 的一些可藉由源極/汲極接觸塞CA及第一通孔接觸塞VC1連接至選自所述多個導電線ML中的任一個導電線ML。位於絕緣分隔結構120的兩側處而使絕緣分隔結構120位於其之間的一對源極/汲極區172可藉由跳接接觸件530連接至選自所述多個導電線ML中的任一個導電線ML,跳接接觸件530包括一對源極/汲極接觸塞CA1與CA2以及連接至所述一對源極/汲極接觸塞CA1與CA2的第一通孔接觸塞VC1。所述一對源極/汲極接觸塞CA1和CA2可具有與其他源極/汲極接觸塞CA的配置相等或相似的配置。
所述多個第一通孔接觸塞VC1中的一些第一通孔接觸塞VC1可連接源極/汲極接觸塞CA與導電線ML。所述多個第一通孔接觸塞VC1中的其他第一通孔接觸塞VC1可構成跳接接觸件530。第一通孔接觸塞VC1中構成跳接接觸件530的第一通孔接觸塞VC1可各自在X方向上具有較其他第一通孔接觸塞VC1中的每一者的長度大的長度。
在所述多個閘極線GL與所述多個導電線ML之間形成有多個第二通孔接觸塞VC2。所述多個閘極線GL可各自藉由閘極接觸塞CB及第二通孔接觸塞VC2連接至選自所述多個導電線ML中的任一個導電線ML。為連接第一***閘極線GL1與選自所述多個導電線ML中的一個導電線ML,可將閘極接觸塞CB及第二通孔接觸塞VC2依序堆疊於第一***閘極線GL1與所選擇的所述一個導電線ML之間。
所述多個導電線ML可形成於與接地線VSS及電源線 VDD的水平高度相同的水平高度處。本文中所使用的例如「相同」、「相等」、「平面」、或「共面」等用語當指代定向、佈局、定位、形狀、大小、數量、或其他量度時未必意指完全相同的定向、佈局、定位、形狀、大小、數量、或其他量度,而是旨在囊括處於可例如由於製造製程而引發的可接受變型內的近乎相同的定向、佈局、定位、形狀、大小、數量、或其他量度。本文中可使用用語「實質上」來反映此種含義。舉例而言,被闡述為「實質上相同」、「實質上相等」、或「實質上平面」的項可完全相同、完全相等、或完全平面,或者可在可例如由於製造製程而引發的可接受變型內為相同的、相等的、或平面的。所述多個導電線ML可為多個單向配線層,所述單向配線層在彼此平行的邏輯單元LC的寬度方向(例如,X方向)上延伸。所述多個導電線ML、所述多個第一通孔接觸塞VC1、及所述多個第二通孔接觸塞VC2可由於層間絕緣膜198而與其他相鄰導體絕緣。所述多個導電線ML以及位於所述多個導電線ML下方的所述多個第一通孔接觸塞VC1及所述多個第二通孔接觸塞VC2中的每一者可包括導電障壁膜及配線導電層。導電障壁膜可由Ti、Ta、TiN、TaN、或其組合形成。配線導電層可由Co、Cu、W、其合金、或其組合形成。
儘管在圖6A至圖6C中跳接接觸件530包括所述一對源極/汲極接觸塞CA1及CA2以及第一通孔接觸塞VC1,然而本發明概念並非僅限於此。舉例而言,為形成跳接接觸件530,可使用選自所述多個導電線ML中的任一個導電線ML而非第一通孔接 觸塞VC1。舉例而言,可藉由將所選擇的導電線ML連接至所述一對源極/汲極接觸塞CA1及CA2而形成與跳接接觸件530相似的跳接接觸件,所述一對源極/汲極接觸塞CA1及CA2分別連接至所述一對源極/汲極區172,絕緣分隔結構120位於所述一對源極/汲極接觸塞CA1與CA2之間。
圖7至圖9是用於闡釋根據示例性實施例的積體電路元件的平面佈局圖。
圖7所示積體電路元件600具有與圖1所示積體電路元件100的配置實質上相同的配置。在示例性實施例中,積體電路元件600包括跳接接觸件630,跳接接觸件630具有實質上呈「H」的平面形狀。
位於跳接接觸件630下方以垂直地交疊跳接接觸件630的絕緣分隔結構620可不被定位成延伸超過第二元件區RX2。在邏輯單元LC的高度方向(例如,Y方向)上,跳接接觸件630的位於其中跳接接觸件630不垂直地交疊絕緣分隔結構620部位的部分的長度可大於絕緣分隔結構620的長度。然而,跳接接觸件630的位於絕緣分隔結構620之上的一部分的長度小於絕緣分隔結構620的長度。
圖8所示積體電路元件700具有與圖1所示積體電路元件100的配置實質上相同的配置。在示例性實施例中,積體電路元件700包括跳接接觸件730,跳接接觸件730具有實質上呈「∩」(例如,n形)的平面形狀。在邏輯單元LC的高度方向(例如, Y方向)上,跳接接觸件730的位於絕緣分隔結構120之上的一部分的長度及跳接接觸件730的位於其中跳接接觸件730不垂直地交疊絕緣分隔結構120的部位的部分的長度可小於絕緣分隔結構120的長度。
圖9所示積體電路元件800具有與圖1所示積體電路元件100的配置實質上相同的配置。在示例性實施例中,積體電路元件800包括跳接接觸件830,跳接接觸件830具有實質上呈「∪」(例如,u形)的平面形狀。在邏輯單元LC的高度方向(例如,Y方向)上,跳接接觸件830的位於絕緣分隔結構120之上的一部分的長度及跳接接觸件830的位於其中跳接接觸件830不垂直地交疊絕緣分隔結構120的部位的部分的長度可小於絕緣分隔結構120的長度。
圖7至圖9所示絕緣分隔結構620及跳接接觸件630、730、及830的詳細配置與參照圖2A至圖2D所述的絕緣分隔結構120及跳接接觸件130的詳細配置實質上相同。
圖1至圖9所示積體電路元件100、200、300、400、500、600、700、及800包括位於鰭型主動區FA上的跳接接觸件130、530、630、730、及830,且在平行於閘極線GL的方向上延伸的絕緣分隔結構120、220、320、420、及620位於鰭型主動區FA與跳接接觸件130、530、630、730、及830之間。跳接接觸件130、530、630、730、及830越過絕緣分隔結構120、220、320、420、及620而非閘極線GL,且連接至形成於鰭型主動區FA上的所述 一對源極/汲極區172。因此,可防止在跳接接觸件130、530、630、730、及830與閘極線GL之間出現短路。此外,第一***閘極線GL1及第二***閘極線GL2中的一者可用作正常閘極線,而無需實行對在藉由跳接接觸件130、530、630、730、及830而連接至彼此的所述一對源極/汲極區172之間延伸的閘極線GL進行切割的附加製程。因此,由於可能無需確保用於進行對元件間隔離區DTA中的閘極線GL進行切割的製程的附加空間,因此元件間隔離區DTA的面積可減小。即便當在具有面積減小的元件區的積體電路元件中形成於相同水平高度處的配線及接觸件的數目根據按比例縮小而增大時,元件間隔離區DTA的面積仍可減小,邏輯單元LC的大小仍可減小,且仍可穩定地確保邏輯單元LC中的接觸件之間的絕緣距離。
圖10A至圖10O是根據示例性實施例的用於闡釋根據製程次序來製造積體電路元件的方法的剖視圖。現將參照圖10A至圖10O來闡釋製造圖2A至圖2D所示積體電路元件100及圖3所示積體電路元件200的方法。圖10A至圖10O是根據示例性實施例的根據製程次序而沿圖1所示的線X1-X1'截取的剖視圖。
參照圖10A,藉由蝕刻基底110的一部分來形成自主要表面110M向上(例如,在Z方向上)突出且在一個方向(例如,X方向上)延伸的所述多個鰭型主動區FA,且界定第一元件區RX1、第二元件區RX2、及元件間隔離區DTA。
在基底110上形成元件隔離膜112(參見圖2C),元件 隔離膜112覆蓋鰭型主動區FA的兩個下側壁。元件隔離膜112可形成於第一元件區RX1、第二元件區RX2、及元件間隔離區DTA中。第一元件區RX1及第二元件區RX2中的鰭型主動區FA可突出超過元件隔離膜112的頂表面。
參照圖10B,形成多個虛設閘極結構DGS,所述多個虛設閘極結構DGS位於所述多個鰭型主動區FA之上且延伸以與所述多個鰭型主動區FA相交。所述多個虛設閘極結構DGS中的每一者可包括虛設閘極介電膜D14、虛設閘極線D16、及虛設閘極絕緣頂蓋層D18,虛設閘極介電膜D14、虛設閘極線D16、及虛設閘極絕緣頂蓋層D18依序堆疊於鰭型主動區FA上。虛設閘極介電膜D14可包含氧化矽,虛設閘極線D16可包含多晶矽,且虛設閘極絕緣頂蓋層D18可包含氧化矽、氮化矽、或氮氧化矽。
可在虛設閘極結構DGS中的每一者的兩個側壁處形成絕緣間隔壁162。在一些實施例中,所述多個絕緣間隔壁162可包括具有較氮化矽膜的介電常數小的介電常數的材料膜,例如SiOCN膜、SiCN膜、或其組合。為形成絕緣間隔壁162,可使用原子層沈積(atomic layer deposition,ALD)或化學氣相沈積(chemical vapor deposition,CVD)。
可藉由蝕刻鰭型主動區FA中在虛設閘極結構DGS的兩側處暴露出的一些鰭型主動區FA來形成所述多個凹陷部R1,且可藉由利用自所述多個凹陷部R1進行的磊晶生長形成半導體層來形成所述多個源極/汲極區172。所述多個源極/汲極區172所具 有的頂表面的水平高度可等於或高於鰭型主動區FA的頂表面的水平高度。
可形成閘極間絕緣膜164,閘極間絕緣膜164覆蓋所述多個源極/汲極區172、所述多個虛設閘極結構DGS、及絕緣間隔壁162。為形成閘極間絕緣膜164,可形成將包括所述多個虛設閘極結構DGS及所述多個源極/汲極區172的結構覆蓋到足夠厚度的絕緣膜,且接著可將所述絕緣膜平面化以暴露出虛設閘極絕緣頂蓋層D18的頂表面,藉此形成包括所述絕緣膜的其餘部分的閘極間絕緣膜164。
參照圖10C,藉由自圖10B所示所得結構移除所述多個虛設閘極結構DGS來形成多個閘極空間SP1。絕緣間隔壁162、鰭型主動區FA、及元件隔離膜112(參見圖2C)可透過所述多個閘極空間SP1而暴露出。
可使用濕式蝕刻(wet etching)來移除所述多個虛設閘極結構DGS。在一些實施例中,為實行濕式蝕刻,可使用包含例如HNO3、稀氟酸(diluted fluoric acid,DHF)、NH4OH、四甲基氫氧化銨(tetramethyl ammonium hydroxide,TMAH)、KOH、或其組合的蝕刻溶液。
參照圖10D,在所述多個閘極空間SP1(參見圖10C)中形成閘極介電膜118及閘極導電層119。在形成閘極介電膜118之前,可在透過所述多個閘極空間SP1而暴露出的鰭型主動區FA的表面上進一步形成介面膜(圖中未示出)。可藉由對鰭型主動區 FA中透過所述多個閘極空間SP1而暴露出的一些鰭型主動區FA進行氧化來獲得介面膜。
閘極介電膜118及閘極導電層119可填充閘極空間SP1且可覆蓋閘極間絕緣膜164的頂表面。可利用原子層沈積、化學氣相沈積、物理氣相沈積(PVD)、金屬有機原子層沈積(metal organic ALD,MOALD)、或金屬有機化學氣相沈積(metal organic CVD,MOCVD)來形成閘極介電膜118及閘極導電層119。
參照圖10E,移除閘極介電膜118及閘極導電層119的不必要部分(參見圖10D)以暴露出閘極間絕緣膜164的頂表面。作為結果,所述多個閘極介電膜118及閘極導電層119可僅餘留於所述多個閘極空間SP1(參見圖10C)中。餘留於所述多個閘極空間SP1中的所述多個閘極導電層119可構成所述多個閘極線GL。
參照圖10F,藉由移除所述多個閘極線GL及所述多個閘極介電膜118的上部部分中的一些來在所述多個閘極空間SP1中在所述多個閘極線GL之上形成多個頂蓋空間SP2。
參照圖10G,自圖10F所示所得結構形成所述多個閘極絕緣頂蓋層180,所述多個閘極絕緣頂蓋層180填充所述多個頂蓋空間SP2。
為形成閘極絕緣頂蓋層180,可形成所具有的厚度足以填充所述多個頂蓋空間SP2的絕緣膜,且接著可移除所述絕緣膜的不必要部分以暴露出閘極間絕緣膜164的頂表面。絕緣膜可包 括氮化矽膜。
參照圖10H,在圖10G所示所得結構上形成罩幕圖案M1,罩幕圖案M1具有孔H1,孔H1被形成為對應於選自所述多個閘極線GL中的一個閘極線GL的位置,且使用罩幕圖案M1作為蝕刻罩幕來蝕刻透過孔H1而暴露出的閘極絕緣頂蓋層180以透過孔H1暴露出所選擇閘極線GL及覆蓋所選擇閘極線GL的側壁的閘極介電膜118。
罩幕圖案M1可由具有與閘極絕緣頂蓋層180、閘極線GL、及閘極介電膜118的材料的蝕刻選擇性(etch selectivity)不同的蝕刻選擇性的材料形成。舉例而言,罩幕圖案M1可包括但不限於氧化物膜、金屬氮化物膜、旋塗硬罩幕(spin on hardmask,SOH)膜、SiON膜、光阻膜、或其組合。
參照圖101,使用罩幕圖案M1作為蝕刻罩幕移除透過孔H1而暴露出的所選擇閘極線GL及位於所選擇閘極線GL下方的閘極介電膜118。作為結果,可形成與孔H1連通的分隔空間S1。透過孔H1及分隔空間S1可暴露出鰭型主動區FA的頂表面。
參照圖10J,藉由使用罩幕圖案M1作為蝕刻罩幕蝕刻透過孔H1及分隔空間S1暴露出的鰭型主動區FA來在鰭型主動區FA中形成與分隔空間S1連通的鰭分隔溝渠T1。
當形成鰭分隔溝渠T1時,為形成圖2C所示結構,在形成用於形成鰭分隔溝渠T1的鰭型主動區FA的同時亦可蝕刻覆蓋鰭型主動區FA的兩個側壁的元件隔離膜112。作為結果,可在透 過孔H1而暴露出的區中獲得其中鰭分隔溝渠T1的底表面與元件隔離膜112的頂表面以實質上相同的水平高度延伸的結構,且分隔空間S1可具有在Y方向上實質上均勻地延伸的底表面。
相比之下,當形成鰭分隔溝渠T1時,為形成圖3所示積體電路元件200,可藉由在蝕刻用於形成鰭分隔溝渠T1的鰭型主動區FA的製程期間根據需要調整鰭型主動區FA與元件隔離膜112之間的蝕刻選擇性來在透過如圖3中所示孔H1而暴露出的區中形成其中鰭分隔溝渠T1的底表面與元件隔離膜112的頂表面以不同水平高度延伸的結構。在此種情形中,分隔空間S1的底表面可在Y方向上具有不均勻輪廓。
儘管未示出,然而在蝕刻鰭型主動區FA以在鰭型主動區FA中形成鰭分隔溝渠T1之前,可進一步實行形成覆蓋透過與孔H1連通的分隔空間S1而暴露出的一對絕緣間隔壁162的側壁的絕緣襯墊(圖中未示出)的製程。絕緣襯墊可包括氮化矽膜。當在形成絕緣襯墊之後透過分隔空間S1蝕刻鰭型主動區FA時,鰭分隔溝渠T1在X方向上的寬度可小於在圖10J中的寬度。
參照圖10K,形成所具有的厚度大到足以填充鰭分隔溝渠T1及分隔空間S1(參見圖10J)的隱埋絕緣膜,且接著藉由移除所述隱埋絕緣膜的不必要部分以暴露出閘極絕緣頂蓋層180的頂表面來形成填充鰭分隔溝渠T1及分隔空間S1的絕緣分隔結構120。
因此,絕緣分隔結構120的一部分可位於與所述多個閘 極線GL相同的垂直方向水平高度處。
在一些實施例中,隱埋絕緣膜可包括單個絕緣膜、或包括多個絕緣膜的多層式結構。在其他實施例中,隱埋絕緣膜可包括空氣隙。隱埋絕緣膜可包括但不限於氧化矽膜、氮化矽膜、SiOCN膜、SiCN膜、或其組合。
參照圖10L,在包括絕緣分隔結構120的所得結構上形成經平面化的層間絕緣膜190,且接著在層間絕緣膜190上形成罩幕圖案M2。罩幕圖案M2可包括多個孔H2,層間絕緣膜190的頂表面透過所述多個孔H2而在與圖1所示所述多個源極/汲極接觸塞CA及跳接接觸件130對應的區中暴露出。
罩幕圖案M2可由具有與閘極間絕緣膜164及層間絕緣膜190的材料的蝕刻選擇性不同的蝕刻選擇性的材料形成。舉例而言,罩幕圖案M2可包括但不限於氧化物膜、金屬氮化物膜、旋塗硬罩幕膜、SiON膜、光阻膜、或其組合。
參照圖10M,藉由自圖10L所示所得結構蝕刻透過所述多個孔H2而暴露出的層間絕緣膜190來透過所述多個孔H2暴露出閘極間絕緣膜164、絕緣分隔結構120、及覆蓋絕緣分隔結構120的兩個側壁的絕緣間隔壁162,且接著藉由蝕刻被暴露出的閘極間絕緣膜164來形成多個第一接觸件孔CAH及跳接接觸件孔130H,所述多個源極/汲極區172透過所述多個第一接觸件孔CAH及跳接接觸件孔130H而暴露出。在示例性實施例中,所述多個第一接觸件孔CAH及跳接接觸件孔130H是同時形成。接下來,可移除罩 幕圖案M2。
在形成所述多個第一接觸件孔CAH及跳接接觸件孔130H之前或之後,可在與圖1所示所述多個閘極接觸塞CB對應的區中在層間絕緣膜190上形成包括多個孔的罩幕圖案(圖中未示出),層間絕緣膜190的頂表面透過所述多個孔而暴露出,且可藉由使用所述罩幕圖案作為蝕刻罩幕蝕刻透過所述多個孔而暴露出的層間絕緣膜190及位於層間絕緣膜190下方的閘極絕緣頂蓋層180來形成多個第二接觸件孔(圖中未示出),閘極線GL的頂表面透過所述多個第二接觸件孔而暴露出。在以下參照圖10N及圖10O闡述的製程中,可在所述多個第二接觸件孔中形成圖2C及圖2D所示所述多個閘極接觸塞CB。
重新參照圖10M,可在所述多個第一接觸件孔CAH及跳接接觸件孔130H的內側壁上形成絕緣襯墊126。
為形成絕緣襯墊126,可形成共形地覆蓋包括所述多個第一接觸件孔CAH及跳接接觸件孔130H的所得結構的被暴露出的表面的絕緣薄膜,且接著可藉由回蝕(etch back)所述絕緣薄膜而透過所述多個第一接觸件孔CAH及跳接接觸件孔130H暴露出所述多個源極/汲極區172。絕緣襯墊126可包括但不限於氮化矽膜。
在一些實施例中,儘管絕緣襯墊126形成於所述多個第一接觸件孔CAH及跳接接觸件孔130H的內側壁上,然而絕緣襯墊126亦可形成於用於形成圖2C及圖2D所示所述多個閘極接觸 塞CB的多個第二接觸件孔(圖中未示出)的內側壁上。在其他實施例中,可在進行在所述多個第一接觸件孔CAH及跳接接觸件孔130H的內側壁上形成絕緣襯墊126的製程之前或之後單獨地實行在所述多個第二接觸件孔(圖中未示出)的內側壁上形成絕緣襯墊126的製程。
參照圖10N,形成金屬矽化物膜128及導電膜CL,金屬矽化物膜128覆蓋所述多個第一接觸件孔CAH及跳接接觸件孔130H中的源極/汲極區172,導電膜CL填充所述多個第一接觸件孔CAH及跳接接觸件孔130H。作為實例,可同時形成填充所述多個第一接觸件孔CAH及跳接接觸件孔130H的導電膜CL以形成源極/汲極接觸塞CA及跳接接觸件130。
導電膜CL可包括導電障壁膜CL1及隱埋金屬膜CL2,導電障壁膜CL1共形地覆蓋層間絕緣膜190的頂表面及所述多個第一接觸件孔CAH及跳接接觸件孔130H的內側壁,隱埋金屬膜CL2位於導電障壁膜CL1上且填充所述多個第一接觸件孔CAH及跳接接觸件孔130H。隱埋金屬膜CL2可填充所述多個第一接觸件孔CAH及跳接接觸件孔130H且可位於層間絕緣膜190的頂表面上以覆蓋導電障壁膜CL1。在一些實施例中,導電障壁膜CL1可由Ti、Ta、TiN、TaN、或其組合形成,且隱埋金屬膜CL2可由Co、Cu、W、Ru、Mn、或其組合形成。
在一些實施例中,可實行以下製程以形成金屬矽化物膜128及導電障壁膜CL1。首先,可形成第一金屬膜,所述第一金屬 膜覆蓋位於所述多個第一接觸件孔CAH及跳接接觸件孔130H中的源極/汲極區172。第一金屬膜可由Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er、Pd、或其組合形成。接下來,可形成導電障壁膜CL1,導電障壁膜CL1覆蓋第一金屬膜的暴露出的表面以及所述多個第一接觸件孔CAH及跳接接觸件孔130H的內側壁。在一些實施例中,導電障壁膜CL1可包括導電金屬氮化物膜。舉例而言,導電障壁膜CL1可由TiN、TaN、AlN、WN、或其組合形成。可利用物理氣相沈積、化學氣相沈積、或原子層沈積形成第一金屬膜及導電障壁膜CL1。接下來,可藉由對包括第一金屬膜及導電障壁膜CL1的所得結構進行熱處理而在源極/汲極區172的半導體材料與第一金屬膜的金屬之間引發交互作用來形成覆蓋源極/汲極區172的金屬矽化物膜128。在一些實施例中,在形成金屬矽化物膜128之後,第一金屬膜的一部分可余留於金屬矽化物膜128與導電障壁膜CL1之間。在其他實施例中,可在形成金屬矽化物膜128的同時使用第一金屬膜來形成金屬矽化物膜128,且因此所述第一金屬膜可能不會余留於金屬矽化物膜128與導電障壁膜CL1之間。
接下來,可藉由在包括金屬矽化物膜128及導電障壁膜CL1的所得結構上形成第二金屬膜來形成隱埋金屬膜CL2,所述第二金屬膜具有大到足以填充所述多個第一接觸件孔CAH及跳接接觸件孔130H的厚度。可使用化學氣相沈積、物理氣相沈積、或電鍍(electroplating)來形成隱埋金屬膜CL2。
儘管圖10N中未示出,然而在所述多個第一接觸件孔CAH及跳接接觸件孔130H中形成導電膜CL的同時,亦可在用於形成圖2C及圖2D所示所述多個閘極接觸塞CB的所述多個第二接觸件孔(圖中未示出)中形成導電膜CL。
參照圖10O,藉由移除導電障壁膜CL1及隱埋金屬膜CL2的不必要部分直至暴露出層間絕緣膜190的頂表面,導電障壁膜CL1及隱埋金屬膜CL2可僅餘留於所述多個第一接觸件孔CAH及跳接接觸件孔130H中。作為結果,可獲得包括餘留於所述多個第一接觸件孔CAH中的導電障壁膜CL1及隱埋金屬膜CL2的所述多個源極/汲極接觸塞CA以及包括餘留於跳接接觸件孔130H中的導電障壁膜CL1及隱埋金屬膜CL2的跳接接觸件130。儘管圖10O中未示出,然而在形成所述多個源極/汲極接觸塞CA及跳接接觸件130的同時,亦可形成圖2C及圖2D所示所述多個閘極接觸塞CB,且如所述多個源極/汲極接觸塞CA及跳接接觸件130一樣,所述多個閘極接觸塞CB可各自包括導電障壁膜CL1及隱埋金屬膜CL2。
可使用化學機械研磨(chemical mechanical polishing,CMP)來移除導電障壁膜CL1及隱埋金屬膜CL2的不必要部分。
在示例性實施例中,可藉由相同的加工步驟形成跳接接觸件130與所述多個源極/汲極接觸塞CA。
圖11A至圖11H是根據示例性實施例的用於闡釋根據製程次序來製造積體電路元件的方法的剖視圖。將參照圖11A至圖 11H來闡釋製造圖4A及圖4B所示積體電路元件300以及圖5A及圖5B所示積體電路元件400的方法。圖11A至圖11H是根據製程次序而沿圖1所示的線X1-X1'截取的剖視圖。
參照圖11A,利用與參照圖10A及圖10B所述方法相同的方法實行以下製程:在基底110的鰭型主動區FA上形成所述多個虛設閘極結構DGS、覆蓋所述多個虛設閘極結構DGS的兩個側壁的絕緣間隔壁162、所述多個源極/汲極區172、及閘極間絕緣膜164,且接著將圖10B所示所得結構平面化以暴露出所述多個虛設閘極線D16的頂表面。接下來,在被平面化以暴露出所述多個虛設閘極線D16的頂表面的所得結構上形成罩幕圖案M3。罩幕圖案M3可包括孔H3,選自所述多個虛設閘極結構DGS的虛設閘極結構DGS的虛設閘極線D16透過孔H3而暴露出。
接下來,藉由使用罩幕圖案M3作為蝕刻罩幕蝕刻透過孔H3而暴露出的虛設閘極線D16來形成絕緣空間S2,絕緣空間S2透過孔H3暴露出虛設閘極介電膜D14。透過絕緣空間S2暴露出的虛設閘極介電膜D14可構成圖4A及圖4B所示虛設閘極介電膜308。
在其他實施例中,在藉由蝕刻透過絕緣空間S2暴露出的虛設閘極線D16而暴露出虛設閘極介電膜D14之後,可藉由移除被暴露出的虛設閘極介電膜D14來暴露出鰭型主動區FA及元件隔離膜112(參見圖4A及圖4B),且可藉由在透過絕緣空間S2而暴露出的鰭型主動區FA的表面上形成新介電膜來形成圖4A及 圖4B所示虛設閘極介電膜308。可自被暴露出以形成新絕緣膜的鰭型主動區FA的表面生長氧化物膜。
在其他實施例中,為形成圖5A及圖5B所示積體電路元件400,藉由移除透過絕緣空間S2暴露出的虛設閘極介電膜D14而透過絕緣空間S2暴露出鰭型主動區FA及元件隔離膜112(參見圖4A及圖4B),且接著可如以下所述實行圖11B所示製程。
參照圖11B,在自圖11A所示所得結構移除罩幕圖案M3之後,利用與參照圖10K所述的方法相似的方法形成所具有的厚度大到足以填充絕緣空間S2(參見圖11A)的隱埋絕緣膜,且藉由移除隱埋絕緣膜的不必要部分以暴露出閘極間絕緣膜164的頂表面來形成填充絕緣空間S2的絕緣分隔結構320。隱埋絕緣膜的詳細配置與參照圖10K所述隱埋絕緣膜的詳細配置相同。
參照圖11C,藉由利用與參照圖10C所述方法相似的方法自圖11B所示所得結構移除所述多個虛設閘極結構DGS的其餘部分來形成多個閘極空間SP3。透過所述多個閘極空間SP3可暴露出絕緣間隔壁162、鰭型主動區FA、及元件隔離膜112(參見圖4A及圖4B)。
參照圖11D,利用與參照圖10D所述形成閘極介電膜118及閘極導電層119的方法相似的方法在所述多個閘極空間SP3(參見圖11C)中形成閘極介電膜318及閘極導電層119。在形成閘極介電膜318之前,可在透過所述多個閘極空間SP3而暴露出的鰭型主動區FA的表面上進一步形成介面膜(圖中未示出)。可對透 過所述多個閘極空間SP3而暴露出的鰭型主動區FA的一些部分進行氧化以形成介面膜。
參照圖11E,可藉由利用與參照圖10E所述方法相似的方法移除閘極介電膜318及閘極導電層119的不必要部分(參見圖11D)來暴露出閘極間絕緣膜164的頂表面及絕緣分隔結構320的頂表面,且所述多個閘極介電膜318及閘極導電層119可僅餘留於所述多個閘極空間SP3(參見圖11C)中。餘留於所述多個閘極空間SP3中的閘極導電層119可構成所述多個閘極線GL。
參照圖11F,藉由利用與參照圖10F及圖10G所述方法相似的方法移除所述多個閘極線GL及所述多個閘極介電膜318的一些上部部分來騰空所述多個閘極空間SP3中的一些,且接著形成所述多個閘極絕緣頂蓋層180,所述多個閘極絕緣頂蓋層180覆蓋所述多個閘極線GL及所述多個閘極介電膜318。
參照圖11G,利用與參照圖10L所述的方法相似的方法在包括絕緣分隔結構320及所述多個閘極絕緣頂蓋層180的所得結構上形成層間絕緣膜190,且在層間絕緣膜190上形成罩幕圖案M4。罩幕圖案M4可具有與圖10L所示罩幕圖案M2的配置實質上相同的配置。
參照圖11H,可藉由實行參照圖10M至圖10O所述的製程形成包括導電障壁層CL1及隱埋金屬膜CL2的所述多個源極/汲極接觸塞CA及跳接接觸件130。儘管形成所述多個源極/汲極接觸塞CA及跳接接觸件130,然而亦可形成圖4B所示閘極接觸 塞CB。
儘管已參照圖10A至圖10O及圖11A至圖11H闡述了製造圖1、圖2A至圖2D、圖3、圖4A、圖4B、圖5A、及圖5B所示積體電路元件100、200、300、及400的方法,然而應理解可在本發明概念的範圍內進行各種修改及改變以製造圖6A至圖6C及圖7至圖9所示積體電路元件500、600、700、及800。
根據本發明的積體電路元件包括位於鰭型主動區上且在平行於閘極線的方向上延伸的絕緣分隔結構,且位於所述鰭型主動區上且連接一對源極/汲極區的跳接接觸件越過所述絕緣分隔結構而非所述閘極線。因此,可防止在跳接接觸件與閘極線之間出現短路。此外,可省略對在元件間分隔區中的所述一對源極/汲極區之間穿過的閘極線進行切割的附加製程,且可無需確保用於對所述元件間分隔區中的所述閘極線進行切割的附加空間。因此,元件間分隔區的面積可最小化,邏輯單元的大小可減小,且可穩定地確保具有減小的大小的所述邏輯單元中的接觸件之間的絕緣距離。
儘管已參照本發明概念的實施例具體示出並闡述了本發明概念,然而應理解,此項技術中具有通常知識者在不背離以下申請專利範圍的精神及範圍的條件下可對其作出形式及細節上的各種變化。
100:積體電路元件
110:基底
110M:主要表面
118:閘極介電膜
120:絕緣分隔結構
120A:隱埋分隔部
120B:突出分隔部
120T、130T、CAT、FT:頂表面
126:絕緣襯墊
128:金屬矽化物膜
130:跳接接觸件
132:垂直延伸部
134:水平延伸部
162:絕緣間隔壁
172:源極/汲極區
180:閘極絕緣頂蓋層
190:層間絕緣膜
CA:源極/汲極接觸塞
FA:鰭型主動區
GL:閘極線
GS:閘極結構
LV1、LV2、LV3、LV4、LV5、LV6:水平高度
R1:凹陷部
RX2:第二元件區
T1:鰭分隔溝渠
X、Y、Z:方向
X1-X1':線

Claims (25)

  1. 一種積體電路元件,包括:鰭型主動區,在基底上在第一方向上延伸;絕緣分隔結構,在所述鰭型主動區上在與所述第一方向相交的第二方向上延伸;一對***閘極線,彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊,且所述一對***閘極線在所述第二方向上彼此對齊;一對源極/汲極區,位於所述鰭型主動區上且彼此間隔開而使所述絕緣分隔結構位於所述一對源極/汲極區之間;以及跳接接觸件,位於所述絕緣分隔結構之上且連接於所述一對源極/汲極區之間。
  2. 如申請專利範圍第1項所述的積體電路元件,其中所述跳接接觸件包括:一對垂直延伸部,分別連接至所述一對源極/汲極區;以及水平延伸部,位於所述絕緣分隔結構之上且在所述第一方向上延伸,其中所述水平延伸部垂直地交疊所述絕緣分隔結構而不垂直地交疊所述一對***閘極線。
  3. 如申請專利範圍第1項所述的積體電路元件,其中所述鰭型主動區包括鰭分隔溝渠,所述鰭分隔溝渠具有底表面,所述 底表面處於較所述鰭型主動區的頂表面的水平高度低的水平高度,且其中所述絕緣分隔結構包括隱埋分隔部及突出分隔部,所述隱埋分隔部填充所述鰭分隔溝渠,所述突出分隔部成一體地連接至所述隱埋分隔部、遠離所述鰭型主動區延伸且向上突出超過所述鰭型主動區的頂表面。
  4. 如申請專利範圍第1項所述的積體電路元件,其中所述絕緣分隔結構包括與所述鰭型主動區的頂表面接觸的底表面及與所述跳接接觸件接觸的頂表面。
  5. 如申請專利範圍第1項所述的積體電路元件,其中所述絕緣分隔結構的最下表面的水平高度低於或高於所述一對源極/汲極區中的每一者的底表面的水平高度。
  6. 如申請專利範圍第1項所述的積體電路元件,更包括:一對閘極絕緣頂蓋層,覆蓋所述一對***閘極線的頂表面,其中所述絕緣分隔結構的最上表面的水平高度高於所述一對***閘極線中的每一者的最上表面的水平高度且低於所述一對閘極絕緣頂蓋層中的每一者的最上表面的水平高度。
  7. 如申請專利範圍第1項所述的積體電路元件,其中所述絕緣分隔結構包括單個絕緣膜、多個絕緣膜的組合及空氣隙中的至少一者。
  8. 如申請專利範圍第1項所述的積體電路元件,其中所述一對***閘極線包括第一***閘極線及第二***閘極線,且所述 第一***閘極線是正常閘極線,所述第二***閘極線是虛設閘極線。
  9. 如申請專利範圍第8項所述的積體電路元件,更包括:導電線,在所述第一***閘極線上在與所述第一***閘極線相交的方向上延伸;以及通孔接觸塞,在所述第一***閘極線與所述導電線之間垂直地延伸以連接所述第一***閘極線與所述導電線。
  10. 如申請專利範圍第1項所述的積體電路元件,更包括:第一閘極線,位於第一源極/汲極區與所述一對源極/汲極區中的一者之間;以及第二閘極線,位於第二源極/汲極區與所述一對源極/汲極區中的另一者之間,其中所述第一閘極線及所述第二閘極線中的每一者包括導電層,且其中所述絕緣分隔結構的一部分位於與所述第一閘極線及所述第二閘極線的所述導電層相同的垂直方向水平高度。
  11. 如申請專利範圍第1項所述的積體電路元件,更包括:第一源極/汲極接觸塞,連接至在所述第一方向上與所述一對源極/汲極區中的一者相鄰的第一源極/汲極區;以及第二源極/汲極接觸塞,連接至在所述第一方向上與所述一對 源極/汲極區中的另一者相鄰的第二源極/汲極區,其中所述跳接接觸件包含與所述第一源極/汲極接觸塞及所述第二源極/汲極接觸塞相同的材料。
  12. 一種積體電路元件,包括:多個鰭型主動區,在基底上在第一方向上彼此平行地延伸;絕緣分隔結構,在所述多個鰭型主動區上在與所述第一方向相交的第二方向上延伸;一對***閘極線,彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊,且所述一對***閘極線在所述第二方向上彼此對齊;多個源極/汲極區,形成於所述多個鰭型主動區上;以及跳接接觸件,在所述多個源極/汲極區中的第一源極/汲極區與第二源極/汲極區之間在所述第一方向上延伸,所述第一源極/汲極區及所述第二源極/汲極區彼此間隔開而使所述絕緣分隔結構位於所述第一源極/汲極區與所述第二源極/汲極區之間,其中所述跳接接觸件越過所述絕緣分隔結構以將所述第一源極/汲極區與所述第二源極/汲極區連接至彼此。
  13. 如申請專利範圍第12項所述的積體電路元件,更包括:第一金屬矽化物膜,形成於所述第一源極/汲極區與所述跳接接觸件之間;以及 第二金屬矽化物膜,形成於所述第二源極/汲極區與所述跳接接觸件之間。
  14. 如申請專利範圍第12項所述的積體電路元件,更包括:源極/汲極接觸塞,連接至所述多個源極/汲極區中的第三源極/汲極區,所述第三源極/汲極區與所述第一源極/汲極區及所述第二源極/汲極區間隔開,所述源極/汲極接觸塞在遠離所述基底的方向上自所述第三源極/汲極區延伸,其中所述跳接接觸件的頂表面與所述源極/汲極接觸塞的頂表面處於實質上相同的水平高度,且其中在所述第一方向上所述跳接接觸件的頂表面的寬度大於所述源極/汲極接觸塞的頂表面的寬度。
  15. 如申請專利範圍第12項所述的積體電路元件,其中所述基底包括其中排列有所述多個鰭型主動區中的第一組鰭型主動區的第一元件區、其中排列有所述多個鰭型主動區中的第二組鰭型主動區的第二元件區以及位於所述第一元件區與所述第二元件區之間的元件間隔離區,其中所述一對***閘極線中的第一***閘極線位於所述第一元件區與所述元件間隔離區中;且其中所述一對***閘極線中的第二***閘極線、所述絕緣分隔結構及所述跳接接觸件位於所述第二元件區中。
  16. 如申請專利範圍第12項所述的積體電路元件,其中在 所述第二方向上,所述跳接接觸件的第一端與第二端之間的長度小於所述絕緣分隔結構的第一端與第二端之間的長度。
  17. 如申請專利範圍第12項所述的積體電路元件,其中所述絕緣分隔結構具有頂表面,所述頂表面具有圓的凸表面,且其中所述跳接接觸件具有圓的凹表面,所述圓的凹表面接觸所述絕緣分隔結構的所述圓的凸表面且具有與所述圓的凸表面的輪廓對應的形狀。
  18. 一種製造積體電路元件的方法,包括:在基底上形成在第一方向上延伸的鰭型主動區;在所述鰭型主動區上形成一對源極/汲極區;在所述一對源極/汲極區之間形成在與所述第一方向相交的第二方向上延伸的絕緣分隔結構;形成一對***閘極線,所述一對***閘極線彼此間隔開而使所述絕緣分隔結構位於所述一對***閘極線之間,並且所述一對***閘極線在所述第二方向上延伸以與所述絕緣分隔結構對齊,且所述一對***閘極線在所述第二方向上彼此對齊;以及在所述一對源極/汲極區之間形成跳接接觸件,所述跳接接觸件位於所述絕緣分隔結構之上且連接所述一對源極/汲極區。
  19. 如申請專利範圍第18項所述的製造積體電路元件的方法,其中形成所述絕緣分隔結構包括:在所述鰭型主動區上形成在所述第二方向上延伸的閘極線;藉由移除所述閘極線的一部分而形成分隔空間;以及 形成填充所述分隔空間的所述絕緣分隔結構。
  20. 如申請專利範圍第18項所述的製造積體電路元件的方法,其中形成所述絕緣分隔結構包括:在所述鰭型主動區上形成在所述第二方向上延伸的閘極線;藉由移除所述閘極線的一部分而形成分隔空間;在所述鰭型主動區中形成鰭分隔溝渠,所述鰭分隔溝渠與所述分隔空間連通;以及形成填充所述分隔空間及所述鰭分隔溝渠的所述絕緣分隔結構。
  21. 如申請專利範圍第18項所述的製造積體電路元件的方法,其中形成所述絕緣分隔結構包括:在所述鰭型主動區上形成在所述第二方向上延伸的虛設閘極線;藉由移除所述虛設閘極線的一部分而形成分隔空間;以及形成填充所述分隔空間的所述絕緣分隔結構,且其中形成所述一對***閘極線包括:藉由移除所述虛設閘極線的其他部分而在所述鰭型主動區上形成閘極空間;以及在所述閘極空間中形成所述一對***閘極線。
  22. 如申請專利範圍第18項所述的製造積體電路元件的方法,其中形成所述跳接接觸件包括:形成跳接接觸件孔,所述一對源極/汲極區及所述絕緣分隔結 構二者透過所述跳接接觸件孔而被暴露出;以及在所述跳接接觸件孔中形成導電層。
  23. 如申請專利範圍第22項所述的製造積體電路元件的方法,其中形成所述跳接接觸件孔包括在所述絕緣分隔結構的頂表面上形成圓的凸表面,且包括將所述跳接接觸件形成為具有圓的凹表面,所述圓的凹表面接觸所述絕緣分隔結構的所述圓的凸表面。
  24. 如申請專利範圍第22項所述的製造積體電路元件的方法,更包括:當形成所述一對源極/汲極區時,在所述鰭型主動區上形成第一源極/汲極區及第二源極/汲極區;在所述第一源極/汲極區與所述一對源極/汲極區中的一者之間形成第一閘極線;在所述第二源極/汲極區與所述一對源極/汲極區中的另一者之間形成第二閘極線;當形成所述跳接接觸件孔時,形成接觸件孔,所述第一源極/汲極區及所述第二源極/汲極區透過所述接觸件孔而被暴露出;以及藉由在所述接觸件孔及所述跳接接觸件孔中填充所述導電層而同時形成源極/汲極接觸塞及所述跳接接觸件。
  25. 如申請專利範圍第24項所述的製造積體電路元件的方法,其中所述源極/汲極接觸塞及所述跳接接觸件中的每一者的 頂表面的水平高度實質上彼此相同,且其中在所述第一方向上,在所述源極/汲極接觸塞的頂表面及所述跳接接觸件的頂表面的所述水平高度處,所述跳接接觸件的寬度大於所述源極/汲極接觸塞中的每一者的寬度。
TW107107619A 2017-07-05 2018-03-07 積體電路元件及其製造方法 TWI772378B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??10-2017-0085408 2017-07-05
KR1020170085408A KR102320047B1 (ko) 2017-07-05 2017-07-05 집적회로 소자 및 그 제조 방법
KR10-2017-0085408 2017-07-05

Publications (2)

Publication Number Publication Date
TW201907486A TW201907486A (zh) 2019-02-16
TWI772378B true TWI772378B (zh) 2022-08-01

Family

ID=64666470

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107619A TWI772378B (zh) 2017-07-05 2018-03-07 積體電路元件及其製造方法

Country Status (7)

Country Link
US (3) US10319720B2 (zh)
JP (1) JP7037415B2 (zh)
KR (1) KR102320047B1 (zh)
CN (1) CN109216346B (zh)
DE (1) DE102018101652B4 (zh)
SG (1) SG10201803428WA (zh)
TW (1) TWI772378B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015139740A1 (en) * 2014-03-18 2015-09-24 Kongsberg Automotive Ab Gear shifter including a position sensor assembly
CN108807531B (zh) * 2017-04-26 2021-09-21 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10490458B2 (en) 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10622306B2 (en) * 2018-03-26 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure in semiconductor devices
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US11018234B2 (en) * 2018-07-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102647231B1 (ko) * 2018-08-02 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조방법
US10950692B2 (en) * 2018-09-04 2021-03-16 Globalfoundries U.S. Inc. Methods of forming air gaps between source/drain contacts and the resulting devices
US11189694B2 (en) 2018-10-29 2021-11-30 Mediatek Inc. Semiconductor devices and methods of forming the same
US10686033B2 (en) * 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10692775B2 (en) 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
KR20210013811A (ko) 2019-07-29 2021-02-08 삼성전자주식회사 반도체 장치
KR102663811B1 (ko) 2019-11-06 2024-05-07 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
KR20220067590A (ko) * 2020-11-16 2022-05-25 삼성전자주식회사 반도체 소자
US11688731B2 (en) 2021-01-29 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method
US20220406773A1 (en) * 2021-06-21 2022-12-22 Intel Corporation Integrated circuit structures with backside self-aligned conductive pass-through contact

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160056155A1 (en) * 2014-08-25 2016-02-25 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US20170062445A1 (en) * 2015-08-26 2017-03-02 Renesas Electronics Corporation Semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044294A (ja) 1999-08-02 2001-02-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001077212A (ja) 1999-08-31 2001-03-23 Toshiba Corp 半導体装置及びその製造方法
US6479377B1 (en) 2001-06-05 2002-11-12 Micron Technology, Inc. Method for making semiconductor devices having contact plugs and local interconnects
JP2004363214A (ja) 2003-06-03 2004-12-24 Renesas Technology Corp 半導体集積回路装置の製造方法および半導体集積回路装置
US7183187B2 (en) 2004-05-20 2007-02-27 Texas Instruments Incorporated Integration scheme for using silicided dual work function metal gates
JP4591525B2 (ja) 2008-03-12 2010-12-01 ソニー株式会社 半導体装置
JP5705053B2 (ja) 2011-07-26 2015-04-22 ルネサスエレクトロニクス株式会社 半導体装置
US8723225B2 (en) * 2012-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Guard rings on fin structures
KR102059527B1 (ko) * 2013-05-10 2019-12-26 삼성전자주식회사 점퍼 패턴 및 블로킹 패턴을 가진 반도체 소자
US9515148B2 (en) 2013-11-11 2016-12-06 International Business Machines Corporation Bridging local semiconductor interconnects
US9443851B2 (en) * 2014-01-03 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor devices including finFETs and local interconnect layers and methods of fabricating the same
KR102088200B1 (ko) 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
US9793273B2 (en) 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
KR102173638B1 (ko) 2014-10-01 2020-11-04 삼성전자주식회사 반도체 소자 및 그 형성방법
US9799567B2 (en) 2014-10-23 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming source/drain contact
KR102352153B1 (ko) 2015-03-25 2022-01-17 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
KR102317651B1 (ko) * 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102449901B1 (ko) * 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9853112B2 (en) 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
US9721793B2 (en) 2015-08-07 2017-08-01 Tokyo Electron Limited Method of patterning without dummy gates
US10163879B2 (en) 2015-10-05 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device having jumper pattern
KR102402482B1 (ko) * 2015-11-27 2022-05-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10672708B2 (en) * 2015-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Standard-cell layout structure with horn power and smart metal cut
US9640522B1 (en) 2016-04-19 2017-05-02 Qualcomm Incorporated V1 and higher layers programmable ECO standard cells

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160056155A1 (en) * 2014-08-25 2016-02-25 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US20170062445A1 (en) * 2015-08-26 2017-03-02 Renesas Electronics Corporation Semiconductor device

Also Published As

Publication number Publication date
TW201907486A (zh) 2019-02-16
US10319720B2 (en) 2019-06-11
US20200335500A1 (en) 2020-10-22
CN109216346A (zh) 2019-01-15
SG10201803428WA (en) 2019-02-27
DE102018101652B4 (de) 2022-03-31
US10777553B2 (en) 2020-09-15
KR20190004968A (ko) 2019-01-15
US11335682B2 (en) 2022-05-17
KR102320047B1 (ko) 2021-11-01
US20190013314A1 (en) 2019-01-10
US20190221563A1 (en) 2019-07-18
JP7037415B2 (ja) 2022-03-16
CN109216346B (zh) 2023-01-03
JP2019016773A (ja) 2019-01-31
DE102018101652A1 (de) 2019-01-10

Similar Documents

Publication Publication Date Title
TWI772378B (zh) 積體電路元件及其製造方法
US20190319127A1 (en) Semiconductor device and method of fabricating the same
US10361198B2 (en) Integrated circuit devices and method of manufacturing the same
KR102432894B1 (ko) 반도체 소자
KR102401486B1 (ko) 콘택 구조물을 포함하는 반도체 소자 및 그 제조 방법.
US11705454B2 (en) Active regions via contacts having various shaped segments off-set from gate via contact
US9978881B2 (en) Integrated circuit devices and method of manufacturing the same
KR20180037765A (ko) 반도체 장치 및 반도체 장치의 제조방법
KR20190024538A (ko) 매립된 금속 트랙 및 그 형성 방법들
KR102496973B1 (ko) 반도체 장치 및 그 제조 방법
KR20180037662A (ko) 반도체 장치 및 그 제조 방법
US10644106B2 (en) Semiconductor device
TWI815093B (zh) 三維記憶體裝置及其製造方法
US10121735B2 (en) Method of designing a layout of a semiconductor device, and a semiconductor device including a fin
US10748924B2 (en) Vertical memory devices
US20200161339A1 (en) Semiconductor device
KR20160049870A (ko) 반도체 소자 및 그 제조 방법
CN113782515A (zh) 集成电路器件及制造集成电路器件的方法
US10763156B2 (en) Integrated circuit device
US11152359B2 (en) Integrated circuit device and a method of manufacturing the same
US10991620B2 (en) Semiconductor device
US20230369133A1 (en) Semiconductor device and manufacturing method thereof