TWI706463B - 用於先進cmp及凹部流的間隙填充膜修飾 - Google Patents

用於先進cmp及凹部流的間隙填充膜修飾 Download PDF

Info

Publication number
TWI706463B
TWI706463B TW105132314A TW105132314A TWI706463B TW I706463 B TWI706463 B TW I706463B TW 105132314 A TW105132314 A TW 105132314A TW 105132314 A TW105132314 A TW 105132314A TW I706463 B TWI706463 B TW I706463B
Authority
TW
Taiwan
Prior art keywords
filling material
gap filling
ion species
ion
gap
Prior art date
Application number
TW105132314A
Other languages
English (en)
Other versions
TW201727742A (zh
Inventor
伊宗 陳
魯多維 葛迪
史林尼法斯D 奈馬尼
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201727742A publication Critical patent/TW201727742A/zh
Application granted granted Critical
Publication of TWI706463B publication Critical patent/TWI706463B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76205Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述的實施方案係關於用於形成間隙填充材料的方法。在沉積間隙填充材料之後且在間隙填充材料上進行CMP製程之前,利用一或更多種離子佈植製程來處理沉積的間隙填充材料。該一或更多種離子佈植製程包括使用第一離子能量在該間隙填充材料中佈植第一離子物種,隨後使用低於該第一離子能量的第二離子能量在該間隙填充材料中佈植第二離子物種。該一或更多種離子佈植製程最小化CMP凹陷並改良凹部的輪廓。

Description

用於先進CMP及凹部流的間隙填充膜修飾
本揭示案的實施方案大體而言係關於用於在基板上形成間隙填充材料的方法。更具體言之,本文提供的實施方案係關於用於形成間隙填充材料的製程流程。
在半導體處理中,製造的元件一直具有持續減小的特徵尺寸。用以製造在該等先進技術節點的元件的特徵時常包括高深寬比結構,而且往往需要使用諸如絕緣材料的間隙填充材料來填充高深寬比結構之間的間隙。將絕緣材料用於間隙填充應用的實例包括淺溝槽隔離(STI)、金屬間介電層(ILD)、金屬前介電質(PMD)、鈍化層、圖案化應用等。隨著元件幾何形狀的收縮和熱預算減少,高深寬比空間的無孔隙填充由於現有沉積製程的限制而變得越來越困難。
間隙填充材料可以藉由各種沉積製程沉積,例如可流動化學氣相沉積(FCVD)、旋塗、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)、或低壓化學氣相沉積(LPCVD)。初沉積的間隙填充材料通常品質差,特徵是高濕蝕刻速度比(WERR)和高應力。進行諸如固化及/或退火的後續製程來改良間隙填充材料的品質。即使如此,間隙填充材料仍然面臨若干關鍵的挑戰,例如由化學機械研磨(CMP)製程引起的凹陷和非平坦的凹部輪廓。
因此,需要用於形成間隙填充材料的改良製程。
本揭示案的實施方案大體而言係關於用於在基板上形成間隙填充材料的方法。在一個實施方案中,一種用於處理間隙填充材料的方法包括以下步驟:使用第一離子能量在該間隙填充材料中佈植第一離子物種;使用第二離子能量在該間隙填充材料中佈植第二離子物種,其中該第一離子能量大於該第二離子能量;在暴露於該第二離子物種之後使該間隙填充材料退火;以及在該間隙填充材料上進行化學機械研磨製程,其中該間隙填充材料之凹陷小於8 nm。
在另一個實施方案中,一種用於處理間隙填充材料的方法包括以下步驟:使用第一離子能量在該間隙填充材料中佈植氦離子物種;使用第二離子能量在該間隙填充材料中佈植矽離子物種,其中該第一離子能量大於該第二離子能量;在暴露於該矽離子物種之後使該間隙填充材料退火;以及在該退火的間隙填充材料上進行化學機械研磨製程。
在另一個實施方案中,一種用於形成間隙填充材料的方法包括在基板上沉積間隙填充材料及處理該間隙填充材料。該處理包括以下步驟:使用第一離子能量在該間隙填充材料中佈植氦離子物種;及使用第二離子能量在該間隙填充材料中佈植矽離子物種,其中該第一離子能量大於該第二離子能量。該方法進一步包括在暴露於該矽離子物種之後使該間隙填充材料退火以形成退火的間隙填充材料,以及在該退火的間隙填充材料上進行化學機械研磨製程。
本文描述的實施方案係關於用於形成間隙填充材料的方法。在沉積間隙填充材料之後且在間隙填充材料上進行CMP製程之前,利用一或更多種離子佈植製程來處理沉積的間隙填充材料。該一或更多種離子佈植製程包括使用第一離子能量在該間隙填充材料中佈植第一離子物種,隨後使用低於該第一離子能量的第二離子能量在該間隙填充材料中佈植第二離子物種。該一或更多種離子佈植製程最小化CMP凹陷並改良凹部的輪廓。
第1圖圖示依據本文描述的一個實施方案用於在基板上形成間隙填充材料的方法100。在方塊110,間隙填充材料被沉積在基板上。基板通常具有形成在基板上的特徵,而且可以利用一或更多個處理室在基板上的特徵之間沉積間隙填充材料。間隙填充材料可以藉由任何適當的製程沉積,例如FVCD、旋塗、ALD、PECVD、或LPCVD。間隙填充材料可以是任何適當的材料,例如介電質材料。在一個實施方案中,複數個鰭被間隔開地形成在基板上,而且間隙填充材料或淺溝槽隔離(STI)結構被沉積在基板上的鰭之間。STI結構可以由絕緣材料形成,例如二氧化矽。在另一個實施方案中,間隙填充材料可以是被沉積在複數個多晶矽閘極之間 的層間介電(ILD)層,而且ILD層可以由二氧化矽形成。
間隙填充材料(例如STI結構或ILD層)可以在沉積製程之後固化以移除水分和殘餘的有機物、使材料硬化和緻密化。固化通常在約攝氏100度或更低的溫度下使用低溫製程進行。此類製程包括暴露於感應耦合電漿、紫外光、臭氧、電子束、酸性或鹼性蒸氣、諸如加熱去離子水的水性環境、以及該等處理的組合或接續。
接著,在方塊120,可以在間隙填充材料上進行一或更多種離子佈植製程。初沉積和固化的間隙填充材料可以具有高WERR和高應力、以及由後續CMP製程引起的凹陷問題和非平坦的凹部輪廓。凹陷是由CMP製程結束時間隙填充材料的最低點與間隙填充材料的最高點之間的高度差所界定。由凹陷問題引起的非平坦表面可能因隨後的凹部製程或濕/軟清洗製程而惡化。凹部製程是一種移除製程,例如在間隙填充材料上進行的蝕刻製程。為了減少WERR、應力及凹陷,間隙填充材料可以藉由一或更多種離子佈植製程處理。
該一或更多種離子佈植製程通常將離子物種併入間隙填充材料中以斷開間隙填充材料中的鍵。結果,間隙填充材料在隨後的退火製程期間的反應性和轉化率提高了。該一或更多種離子佈植製程亦改良間隙填充材料的WERR。第2A-2B圖為圖示依據本文描述的實施方案離子佈植對WERR的影響之曲線圖。在一個實施方案中,矽離子物種被植入間隙填充材料中。第2A圖顯示圖示離子能量對WERR的影響之曲線圖。如第2A圖所示,兩種離子能級皆在100nm的較淺佈植深度下實現低WERR,例如2。然而,為了更深入間隙填充材料實現低WERR,例如大於100nm,相較於諸如30keV的較低離子能量,可以使用較高的離子能量,例如60keV。
在另一實施方案中,將氦離子物種佈植到間隙填充材料中。第2B圖顯示圖示在緻密和開放區域中佈植離子物種對WERR的影響之曲線圖。如第2B圖所示,佈植氦離子物種的間隙填充材料在緻密和開放區域中皆保持低的WERR。緻密區域是指相對較多的特徵位於特定區域內,而開放區域是指相對較少的特徵位於特定區域內。
第3A-3B圖為圖示依據本文描述的實施方案離子佈植對薄膜應力的影響之曲線圖。如第3A圖和第3B圖所示,對於Si和He離子物種兩者而言,薄膜應力或間隙填充材料的應力皆隨著佈植劑量增加而變得更加壓縮。佈植劑量的範圍可以從每cm2約1 x 1015至約5 x 1017個原子。
第4A-4C圖為圖示依據本文描述的實施方案離子佈植對凹陷的影響之曲線圖。如上所述,在後續CMP製程之後可能出現凹陷,而且凹陷是由CMP製程結束時間隙填充材料的最低點與間隙填充材料的最高點之間的高度差(nm)所界定。第4A圖圖示與沒有矽離子物種佈植相比,在間隙填充材料中佈植矽離子物種減小了凹陷。如第4A圖所示,在密集區域中,當在CMP製程之前將矽離子物種佈植在間隙填充材料中時,在CMP製程期間被過度研磨15%或35%的間隙填充材料具有0 nm的凹陷。類似地,在開放區域中,當在CMP製程之前將矽離子物種佈植在間隙填充材料中時,在CMP製程期間被過度研磨15%或35%的間隙填充材料具有小於5 nm的凹陷。
第4B圖亦圖示與沒有氦離子物種佈植相比,在間隙填充材料中佈植氦離子物種減小了凹陷。如第4B圖所示,對於具有間距比A的特徵和具有間距比B的特徵中的間隙填充材料而言,在緻密和開放區域中已經佈植氦離子物種的間隙填充材料皆具有遠比沒有氦離子物種佈植的間隙填充材料更小的凹陷。第4C圖為圖示在CMP製程之後的凹部製程結束時的凹陷之圖。如第4C圖所示,在緻密和開放區域中佈植氦離子物種的間隙填充材料中凹陷皆減小了。如第4A-4C圖所示,當在CMP和凹部製程之前對間隙填充材料進行一或更多種離子佈植製程時,在CMP製程或凹部製程之後間隙填充材料的凹陷小於約8 nm。
該一或更多種離子佈植製程可以在範圍從低於攝氏0度至約攝氏500度的任何適當溫度下進行。在一些實施方案中,為了最小化對間隙填充材料的損傷,在高於攝氏450度(例如約攝氏500度)的溫度下佈植離子物種。已經發現,當佈植溫度高於攝氏450度時,間隙填充材料中累積的離子物種減少了,此舉導致對間隙填充材料的損傷較少。第5圖為圖示依據本文描述的實施方案在不同溫度下離子佈植對離子濃度的影響之曲線圖。如第5圖所示,與在攝氏300度下進行離子佈植製程相比,在攝氏500度下進行離子佈植製程時間隙填充材料中的氦離子物種濃度較低。再次地,較低濃度的佈植離子物種最小化對間隙填充材料的損傷。因此,在一些實施方案中,在高於450攝氏度、例如攝氏500度的溫度下進行該一或更多種離子佈植製程。
在間隙填充材料中佈植的離子物種可以是任何適當的離子物種,例如矽離子物種、氦離子物種、氫離子物種、氮離子物種、或其它惰性離子物種,例如氬離子物種。在一些實施方案中,在間隙填充材料中佈植小尺寸離子物種,例如氦離子物種,以最小化對間隙填充材料的損傷。然而,如第4A-4C圖所示,佈植有矽離子物種的間隙填充材料顯現優於佈植有氦離子物種的間隙填充材料的改良凹陷。因此,在一些實施方案中,進行多於一種離子佈植製程來處理間隙填充材料。
第6圖圖示依據本文描述的一個實施方案用於在基板上處理間隙填充材料的方法600。在方塊602,將第一離子物種以第一離子能量佈植在間隙填充材料中。在一個實施方案中,第一離子物種是氦離子物種。在第一離子能量下,將第一離子物種以第一深度佈植在間隙填充材料中。在方塊604,將第二離子物種以第二離子能量佈植在間隙填充材料中。在一個實施方案中,第二離子物種是矽離子物種,並且第二離子能量小於第一離子能量。使用較低的離子能量之下,將矽離子物種以比第一深度更淺的第二深度佈植在間隙填充材料中。結果,在隨後的CMP製程之後凹陷被改良了,因為佈植有矽離子物種的間隙填充材料顯現比佈植有氦離子物種的間隙填充材料更好的凹陷結果。第一和第二離子物種的深度可由待CMP製程移除的間隙填充材料的量決定。第一和第二離子物種可以是任何適當的離子物種,例如矽離子物種、氦離子物種、氫離子物種、氮離子物種、或其它惰性離子物種,例如氬離子物種。在一些實施方案中,第一離子物種與第二離子物種相同。相同離子物種的兩種佈植製程有助於改良佈植離子物種的均勻性。
返回參照第1圖,在方塊130,在一或更多種離子佈植製程之後,可以使間隙填充材料退火。間隙填充材料的退火可在沉積室、離子佈植腔室、或不同的退火設備(例如快速熱處理室)中進行。退火製程可以在約攝氏500度的溫度下進行。可以在退火製程期間提供水蒸氣,以使間隙填充材料蒸汽退火。在一個實施方案中,間隙填充材料是二氧化矽。據信,蒸汽中的氧原子可以有利地藉由鍵結到Si懸鍵而被併入二氧化矽間隙填充材料中,並增加二氧化矽材料的氧含量。構思的是,由於可取得氧原子來增加Si-O鍵結,蒸汽退火製程亦可以防止二氧化矽材料收縮。
在退火製程之後,在間隙填充材料上進行CMP製程,如方塊140所示。如前所述,由CMP製程引起的凹陷藉由在製程流程中添加一或更多種離子佈植製程而被最小化。
儘管前述係針對本揭示案之實施方案,但可以在不偏離本揭示案之基本範圍下設計出本揭示案之其他的和進一步的實施方案,而且本揭示案之範圍係由隨後的申請專利範圍決定。
100‧‧‧方法110‧‧‧方塊120‧‧‧方塊130‧‧‧方塊140‧‧‧方塊600‧‧‧方法602‧‧‧方塊604‧‧‧方塊
為詳細瞭解上述本揭示案之特徵,可參照實施方案(其中一些圖示於附圖中)而對以上簡要概述的本揭示案作更特定的描述。然而,應注意的是,附圖僅圖示例性實施方案,因此不應將該等附圖視為限制本揭示案之範疇,可容許其他同等有效的實施方案。
第1圖圖示依據本文描述的一個實施方案用於在基板上形成間隙填充材料的方法。
第2A-2B圖為圖示依據本文描述的實施方案離子佈植對濕蝕刻速度比的影響之曲線圖。
第3A-3B圖為圖示依據本文描述的實施方案離子佈植對薄膜應力的影響之曲線圖。
第4A-4C圖為圖示依據本文描述的實施方案離子佈植對凹陷的影響之曲線圖。
第5圖為圖示依據本文描述的實施方案在不同溫度下離子佈植對離子濃度的影響之曲線圖。
第6圖圖示依據本文描述的一個實施方案用於在基板上處理間隙填充材料的方法。
為了便於理解,已在可能處使用相同的元件符號來指稱對圖式而言相同的元件。構思的是,可以將一個實施方案的元件和特徵有益地併入其他實施方案中而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧方法
110‧‧‧方塊
120‧‧‧方塊
130‧‧‧方塊
140‧‧‧方塊

Claims (20)

  1. 一種用於處理一間隙填充材料的方法,包含以下步驟: 使用一第一離子能量在該間隙填充材料中佈植一第一離子物種;使用一第二離子能量在該間隙填充材料中佈植一第二離子物種,其中該第一離子能量大於該第二離子能量;在暴露於該第二離子物種之後使該間隙填充材料退火;以及在該間隙填充材料上進行一化學機械研磨製程,其中該間隙填充材料之凹陷小於8 nm。
  2. 如請求項1所述之方法,其中該第一離子物種包含矽。
  3. 如請求項2所述之方法,其中該第二離子物種包含氦。
  4. 如請求項1所述之方法,其中該第一離子物種和該第二離子物種包含相同的離子物種。
  5. 如請求項1所述之方法,其中該第一離子物種被以一第一深度佈植在該間隙填充材料中。
  6. 如請求項5所述之方法,其中該第二離子物種被以一第二深度佈植在該間隙填充材料中,其中該第二深度比該第一深度淺。
  7. 一種用於處理一間隙填充材料的方法,包含以下步驟: 使用一第一離子能量在該間隙填充材料中佈植氦離子; 使用一第二離子能量在該間隙填充材料中佈植矽離子,其中該第一離子能量大於該第二離子能量; 在暴露於該矽離子物種之後使該間隙填充材料退火;以及 在該退火的間隙填充材料上進行一化學機械研磨製程。
  8. 如請求項7所述之方法,其中使該間隙填充材料退火包含蒸汽退火。
  9. 如請求項8所述之方法,其中使該間隙填充材料退火係在約攝氏500度下進行。
  10. 如請求項7所述之方法,其中該氦離子物種被以一第一深度佈植在該間隙填充材料中。
  11. 如請求項10所述之方法,其中該矽離子物種被以一第二深度佈植在該間隙填充材料中,其中該第二深度比該第一深度淺。
  12. 如請求項7所述之方法,其中該氦離子物種被以高於攝氏450度的溫度佈植。
  13. 如請求項7所述之方法,其中氦離子物種的佈植具有每cm2 為1 x 1015 至約5 x 1017 個原子的劑量。
  14. 如請求項7所述之方法,其中矽離子物種的佈植具有每cm2 為1 x 1015 至約5 x 1017 個原子的劑量。
  15. 一種用於形成一間隙填充材料的方法,包含以下步驟: 在一基板上沉積一間隙填充材料; 處理該間隙填充材料,該處理包含以下步驟: 使用一第一離子能量在該間隙填充材料中佈植氦離子;及 使用一第二離子能量在該間隙填充材料中佈植矽離子,其中該第一離子能量大於該第二離子能量; 在暴露於該矽離子物種之後使該間隙填充材料退火以形成一退火的間隙填充材料;以及 在該退火的間隙填充材料上進行一化學機械研磨製程。
  16. 如請求項15所述之方法,其中使該間隙填充材料退火係在約攝氏500度下進行。
  17. 如請求項16所述之方法,其中使該間隙填充材料退火包含蒸汽退火。
  18. 如請求項15所述之方法,其中該氦離子物種被以一第一深度佈植在該間隙填充材料中。
  19. 如請求項18所述之方法,其中該矽離子物種被以一第二深度佈植在該間隙填充材料中,其中該第二深度比該第一深度淺。
  20. 如請求項15所述之方法,其中該氦離子物種被以高於攝氏450度的溫度佈植。
TW105132314A 2015-10-23 2016-10-06 用於先進cmp及凹部流的間隙填充膜修飾 TWI706463B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562245577P 2015-10-23 2015-10-23
US62/245,577 2015-10-23

Publications (2)

Publication Number Publication Date
TW201727742A TW201727742A (zh) 2017-08-01
TWI706463B true TWI706463B (zh) 2020-10-01

Family

ID=58557640

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105132314A TWI706463B (zh) 2015-10-23 2016-10-06 用於先進cmp及凹部流的間隙填充膜修飾

Country Status (6)

Country Link
US (1) US10096512B2 (zh)
JP (1) JP6955489B2 (zh)
KR (1) KR20180061389A (zh)
CN (1) CN108352357B (zh)
TW (1) TWI706463B (zh)
WO (1) WO2017069923A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366900B2 (en) * 2016-03-25 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10177026B2 (en) 2016-11-29 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and fabrication method therefor
US12015059B2 (en) * 2022-04-18 2024-06-18 Winbond Electronics Corp. Semiconductor structure and method of forming the same
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20140054679A1 (en) * 2012-08-22 2014-02-27 Advanced Ion Beam Technology, Inc. Doping a non-planar semiconductor device
US20140080276A1 (en) * 2012-09-14 2014-03-20 Varian Semiconductor Equipment Associates, Inc. Technique For Forming A FinFET Device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3015717B2 (ja) * 1994-09-14 2000-03-06 三洋電機株式会社 半導体装置の製造方法および半導体装置
JP3015763B2 (ja) * 1996-08-30 2000-03-06 三洋電機株式会社 半導体装置の製造方法
JP3063705B2 (ja) * 1997-10-14 2000-07-12 日本電気株式会社 半導体装置の製造方法
JP2001196373A (ja) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
KR100345064B1 (ko) * 2000-06-30 2002-07-20 주식회사 하이닉스반도체 디싱을 방지하기 위한 쉘로우트렌치분리 형성방법
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050054182A1 (en) * 2003-09-08 2005-03-10 Macronix International Co., Ltd. Method for suppressing boron penetration by implantation in P+ MOSFETS
JP4730581B2 (ja) * 2004-06-17 2011-07-20 信越半導体株式会社 貼り合わせウェーハの製造方法
JP2006278745A (ja) * 2005-03-29 2006-10-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7456080B2 (en) * 2005-12-19 2008-11-25 Corning Incorporated Semiconductor on glass insulator made using improved ion implantation process
JP5486781B2 (ja) * 2007-07-19 2014-05-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7767583B2 (en) 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
JP2011018760A (ja) * 2009-07-08 2011-01-27 Yamaha Corp 半導体装置の製造方法
CN102789974B (zh) * 2011-05-16 2015-10-21 中国科学院微电子研究所 提高浅沟槽隔离化学机械平坦化均匀性的方法
JP2014093478A (ja) * 2012-11-06 2014-05-19 Ps4 Luxco S A R L 半導体装置およびその製造方法
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
CN105225937B (zh) * 2014-06-30 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104269376B (zh) * 2014-09-24 2017-03-15 上海华力微电子有限公司 浅沟槽隔离的制造方法
CN105870019A (zh) * 2015-01-22 2016-08-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9634141B1 (en) * 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20140054679A1 (en) * 2012-08-22 2014-02-27 Advanced Ion Beam Technology, Inc. Doping a non-planar semiconductor device
US20140080276A1 (en) * 2012-09-14 2014-03-20 Varian Semiconductor Equipment Associates, Inc. Technique For Forming A FinFET Device

Also Published As

Publication number Publication date
US20170117157A1 (en) 2017-04-27
JP6955489B2 (ja) 2021-10-27
KR20180061389A (ko) 2018-06-07
CN108352357B (zh) 2023-02-17
CN108352357A (zh) 2018-07-31
JP2018531518A (ja) 2018-10-25
TW201727742A (zh) 2017-08-01
WO2017069923A1 (en) 2017-04-27
US10096512B2 (en) 2018-10-09

Similar Documents

Publication Publication Date Title
TWI400755B (zh) 用於由下而上間隙充填的介電質沈積與回蝕處理
TWI706463B (zh) 用於先進cmp及凹部流的間隙填充膜修飾
WO2014115600A1 (ja) 半導体装置の製造方法
TWI692008B (zh) 用於形成高品質薄膜的循環連續製程
TWI304246B (en) A liner of a shallow trench isolation modification method
TWI716414B (zh) 氧化矽膜的選擇性沉積
US8629508B2 (en) Semiconductor device and method of manufacture
JP2018531518A6 (ja) 先進cmp及び凹部流れのための間隙充填膜の修正
TW200612466A (en) Method for forming STI structures with controlled step height
TW200409279A (en) Method for forming trench isolation
CN117747535B (zh) 浅沟槽隔离结构、半导体结构及制备方法
TW201715566A (zh) 在間隙填補應用中用來消除二氧化矽膜之原子層沉積物中的裂縫之系統及方法
TW202310029A (zh) 使氧化層緻密化的電漿處置製程
CN111211091A (zh) 半导体器件及其制备方法
KR100656281B1 (ko) 디이이디 방식을 이용한 반도체소자의 갭필 방법
KR100821484B1 (ko) 반도체 소자 제조 방법
KR101081854B1 (ko) 반도체 소자의 소자분리막 제조방법
KR101046376B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20030088235A (ko) 반도체 소자의 소자분리막 형성방법
KR100667912B1 (ko) 반도체 소자의 소자분리막 제조방법
KR20090000884A (ko) 반도체소자의 트렌치 소자분리막 형성방법