KR20180061389A - 진보된 cmp 및 리세스 플로우를 위한 갭필 필름 수정 - Google Patents

진보된 cmp 및 리세스 플로우를 위한 갭필 필름 수정 Download PDF

Info

Publication number
KR20180061389A
KR20180061389A KR1020187014475A KR20187014475A KR20180061389A KR 20180061389 A KR20180061389 A KR 20180061389A KR 1020187014475 A KR1020187014475 A KR 1020187014475A KR 20187014475 A KR20187014475 A KR 20187014475A KR 20180061389 A KR20180061389 A KR 20180061389A
Authority
KR
South Korea
Prior art keywords
gap fill
fill material
ion
ion species
species
Prior art date
Application number
KR1020187014475A
Other languages
English (en)
Inventor
에리카 첸
루도비치 고뎃
스리니바스 디. 네마니
엘리 와이. 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180061389A publication Critical patent/KR20180061389A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76205Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 명세서에 설명된 구현들은 갭 필 재료들을 형성하기 위한 방법들에 관한 것이다. 갭 필 재료가 퇴적되고 나서 갭 필 재료에 대해 CMP 프로세스가 수행되기 전에, 퇴적된 갭 필 재료를 트리트먼트하기 위해 하나 이상의 이온 주입 프로세스가 이용된다. 하나 이상의 이온 주입 프로세스는 제1 이온 에너지를 이용하여 갭 필 재료 내에 제1 이온 종들을 주입하는 단계; 및 다음으로, 제1 이온 에너지보다 낮은 제2 이온 에너지를 이용하여 갭 필 재료 내에 제2 이온 종들을 주입하는 단계를 포함한다. 하나 이상의 이온 주입 프로세스는 CMP 디싱을 최소화하고, 리세스 프로파일을 개선한다.

Description

진보된 CMP 및 리세스 플로우를 위한 갭필 필름 수정
본 개시내용의 구현들은 일반적으로 기판 상에 갭 필 재료들(gap fill materials)을 형성하기 위한 방법들에 관한 것이다. 더 구체적으로, 본 명세서에 제공된 구현들은 갭 필 재료들을 형성하기 위한 프로세스 플로우(process flow)에 관한 것이다.
반도체 처리에서, 디바이스들은 지속적으로 감소하는 피처 치수들을 갖고서 제조되고 있다. 종종, 이러한 진보된 기술 노드들에서 디바이스들을 제조하기 위해 이용되는 피처들은 고 종횡비 구조물들을 포함하고, 고 종횡비 구조물들 사이의 갭을 절연 재료와 같은 갭 필 재료로 채우는 것이 종종 필요하다. 갭 필 응용들을 위해 절연 재료들이 이용되는 예들은 얕은 트랜치 격리(shallow trench isolation, STI), 금속 간 유전체 층들(inter-metal dielectric layers, ILD), 프리 메탈 유전체들(pre-metal dielectrics, PMD), 패시베이션 층들, 패터닝 응용들 등을 포함한다. 디바이스 기하형상들이 축소되고 열 처리량들(thermal budgets)이 감소됨에 따라, 고 종횡비 공간들의 무공극 충진(void-free filling)은 기존 퇴적 프로세스들의 한계들로 인해 점점 더 어려워지고 있다.
갭 필 재료들은 유동가능한 화학적 기상 증착(flowable chemical vapor deposition, FCVD), 스핀 온(spin-on), 원자 층 퇴적(atomic layer deposition, ALD), 플라즈마 증강된 화학적 기상 증착(plasma enhanced chemical vapor deposition, PECVD), 또는 저압 화학적 기상 증착(low pressure chemical vapor deposition, LPCVD)과 같은 다양한 퇴적 프로세스들에 의해 퇴적될 수 있다. 통상적으로, 퇴적된 대로의(as-deposited) 갭 필 재료들은 높은 습식 식각률 비(wet etch rate ratio, WERR) 및 높은 응력을 특징으로 하는 불량한 품질을 갖는다. 갭 필 재료들의 품질을 개선하기 위해, 경화 및/또는 어닐링과 같은 후속 프로세스들이 수행된다. 그리고 나서도, 갭 필 재료들은 화학적 기계적 연마(CMP) 프로세스들에 의해 야기되는 디싱(dishing), 및 비평면 리세스 프로파일과 같은 몇 가지 핵심적인 도전과제들에 여전히 직면한다.
그러므로, 갭 필 재료들을 형성하기 위한 개선된 프로세스들이 필요하다.
본 개시내용의 구현예들은 일반적으로 기판 상에 갭 필 재료들을 형성하기 위한 방법들에 관한 것이다. 일 구현에서, 갭 필 재료를 트리트먼트하기 위한 방법은 제1 이온 에너지를 이용하여 갭 필 재료 내에 제1 이온 종들(ion species)을 주입(implanting)하는 단계; 제2 이온 에너지를 이용하여 갭 필 재료 내에 제2 이온 종들을 주입하는 단계 - 제1 이온 에너지는 제2 이온 에너지보다 큼 - ; 제2 이온 종들에의 노출 후에 갭 필 재료를 어닐링하는 단계; 및 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계 - 갭 필 재료의 디싱은 8nm 미만임 - 를 포함한다.
다른 구현에서, 갭 필 재료를 트리트먼트하기 위한 방법은 제1 이온 에너지를 이용하여 갭 필 재료 내에 헬륨 이온 종들을 주입하는 단계; 제2 이온 에너지를 이용하여 갭 필 재료 내에 실리콘 이온 종들을 주입하는 단계 - 제1 이온 에너지는 제2 이온 에너지보다 큼 - ; 실리콘 이온 종들에의 노출 후에 갭 필 재료를 어닐링하는 단계; 및 어닐링된 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계를 포함한다.
다른 구현에서, 갭 필 재료를 형성하기 위한 방법은 기판 상에 갭 필 재료를 퇴적하는 단계, 및 갭 필 재료를 트리트먼트하는 단계를 포함한다. 트리트먼트하는 단계는 제1 이온 에너지를 이용하여 갭 필 재료 내에 헬륨 이온 종들을 주입하는 단계, 및 제2 이온 에너지를 이용하여 갭 필 재료 내에 실리콘 이온 종들을 주입하는 단계 - 제1 이온 에너지는 제2 이온 에너지보다 큼 - 를 포함한다. 방법은 어닐링된 갭 필 재료를 형성하기 위해, 실리콘 이온 종들에의 노출 후에 갭 필 재료를 어닐링하는 단계; 및 어닐링된 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계를 더 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 구현들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 첨부 도면들은 예시적인 구현들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 되며, 동등한 효과의 다른 구현들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본 명세서에 설명된 일 구현에 따라 기판 상에 갭 필 재료를 형성하기 위한 방법을 도시한다.
도 2a - 도 2b는 본 명세서에 설명된 구현들에 따라 이온 주입이 습식 식각률 비에 미치는 효과들을 도시하는 차트들이다.
도 3a - 도 3b는 본 명세서에 설명된 구현들에 따라 이온 주입이 필름 응력에 미치는 효과들을 도시하는 차트들이다.
도 4a - 도 4c는 본 명세서에 설명된 구현들에 따라 이온 주입이 디싱에 미치는 효과를 도시하는 차트들이다.
도 5는 본 명세서에 설명된 구현들에 따라 상이한 온도들에서 이온 주입이 이온 농도에 미치는 효과들을 도시하는 차트이다.
도 6은 본 명세서에 설명된 일 구현에 따라 기판 상의 갭 필 재료를 트리트먼트하기 위한 방법을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 구현의 구성요소들 및 특징들은 더 이상의 언급 없이도 다른 구현들에 유리하게 포함될 수 있을 것으로 생각된다.
본 명세서에 설명된 구현들은 갭 필 재료들을 형성하기 위한 방법들에 관한 것이다. 갭 필 재료가 퇴적되고 나서 갭 필 재료에 대해 CMP 프로세스가 수행되기 전에, 퇴적된 갭 필 재료를 트리트먼트하기 위해 하나 이상의 이온 주입 프로세스가 이용된다. 하나 이상의 이온 주입 프로세스는 제1 이온 에너지를 이용하여 갭 필 재료 내에 제1 이온 종들을 주입하는 단계; 및 다음으로, 제1 이온 에너지보다 낮은 제2 이온 에너지를 이용하여 갭 필 재료 내에 제2 이온 종들을 주입하는 단계를 포함한다. 하나 이상의 이온 주입 프로세스는 CMP 디싱을 최소화하고, 리세스 프로파일을 개선한다.
도 1은 본 명세서에 설명된 일 구현에 따라 기판 상에 갭 필 재료를 형성하기 위한 방법(100)을 도시한다. 블록(110)에서, 갭 필 재료가 기판 상에 퇴적된다. 기판은 그 위에 형성된 피처들을 일반적으로 갖고, 기판 상의 피처들 사이에 갭 필 재료를 퇴적하기 위해 하나 이상의 처리 챔버가 이용될 수 있다. 갭 필 재료는 FVCD, 스핀-온, ALD, PECVD, 또는 LPCVD와 같은 임의의 적합한 프로세스에 의해 퇴적될 수 있다. 갭 필 재료는 유전체 재료와 같은 임의의 적절한 재료일 수 있다. 일 구현에서, 복수의 핀(fins)이 기판 상에 이격되어 형성되고, 갭 필 재료 또는 얕은 트랜치 격리(STI) 구조물이 기판 상의 핀들 사이에 퇴적된다. STI 구조물들은 실리콘 이산화물과 같은 절연 재료에 의해 형성될 수 있다. 다른 구현에서, 갭 필 재료는 복수의 다결정질 실리콘 게이트 사이에 퇴적된 층간 유전체(interlevel dielectric, ILD) 층일 수 있고, ILD 층은 실리콘 이산화물에 의해 형성될 수 있다.
수분 및 잔류 유기물들을 제거하고, 재료를 단단하게 하고 치밀화하기 위해, STI 구조물 또는 ILD 층과 같은 갭 필 재료는 퇴적 프로세스에 후속하여 경화될 수 있다. 전형적으로, 경화는 약 섭씨 100도 이하의 온도에서 저온 프로세스들을 이용하여 수행된다. 그러한 프로세스들은 유도 결합된 플라즈마, 자외선 광, 오존, e-빔, 산성 또는 염기성 증기들, 가열된 탈이온수와 같은 수성 환경, 및 그러한 트리트먼트들의 조합 또는 연속에의 노출을 포함한다.
다음으로, 블록(120)에서, 하나 이상의 이온 주입 프로세스가 갭 필 재료에 대해 수행될 수 있다. 퇴적되고 경화된 대로의 갭 필 재료는 후속 CMP 프로세스 및 비평면 리세스 프로파일에 의해 야기되는 디싱 문제와 함께, 높은 WERR 및 높은 응력을 가질 수 있다. 디싱은 CMP 프로세스의 끝에서 갭 필 재료의 최저점과 갭 필 재료의 최고점 사이의 높이 차이에 의해 정의된다. 디싱 문제에 의해 야기되는 비평면 표면은 후속 리세스 프로세스 또는 습식(wet)/연식(soft) 세정 프로세스에 의해 악화될 수 있다. 리세스 프로세스는 갭 필 재료에 대해 수행되는 식각 프로세스와 같은 제거 프로세스이다. WERR, 응력 및 디싱을 감소시키기 위해, 갭 필 재료는 하나 이상의 이온 주입 프로세스에 의해 트리트먼트될 수 있다.
하나 이상의 이온 주입 프로세스는 일반적으로 이온 종들을 갭 필 재료에 통합시켜, 갭 필 재료 내의 본드들을 파괴시킨다. 결과적으로, 후속 어닐링 프로세스 동안의 갭 필 재료의 반응성(reactivity) 및 변환(conversion)이 증가된다. 하나 이상의 이온 주입 프로세스는 또한 갭 필 재료의 WERR을 개선한다. 도 2a - 도 2b는 본 명세서에 설명된 구현들에 따라 이온 주입이 WERR에 미치는 효과들을 도시하는 차트들이다. 일 구현에서, 실리콘 이온 종들은 갭 필 재료 내에 주입된다. 도 2a는 이온 에너지가 WERR에 미치는 효과를 도시하는 차트를 보여준다. 도 2a에 도시된 바와 같이, 더 얕은 100nm의 주입 깊이에서는 2와 같은 낮은 WERR이 두가지 이온 에너지 레벨 모두에 대해 달성된다. 그러나, 갭 필 재료 내에서 100nm를 초과하는 것과 같이 더 깊은 곳에서 낮은 WERR을 달성하기 위해서는, 30KeV와 같은 더 낮은 이온 에너지에 비해, 60keV와 같은 더 높은 이온 에너지가 이용될 수 있다.
다른 구현에서, 헬륨 이온 종들이 갭 필 재료 내에 주입된다. 도 2b는 이온 에너지가 WERR에 미치는 효과를 도시하는 차트를 보여준다. 도 2b에 도시된 바와 같이, 치밀한 영역 및 개방된 영역 둘 다에서, 헬륨 이온 종들이 주입된 갭 필 재료에 대해, WERR은 낮게 유지된다. 치밀한 영역은 특정 영역 내에 상대적으로 많은 피처들이 위치된 것을 지칭하고, 개방된 영역은 특정 영역 내에 상대적으로 적은 피처들이 위치된 것을 지칭한다.
도 3a - 도 3b는 본 명세서에 설명된 구현들에 따라 이온 주입이 필름 응력에 미치는 효과들을 도시하는 차트들이다. 도 3a 및 도 3b에 도시된 바와 같이, Si 및 He 이온 종들 둘 다에 대해, 주입 투입량(implant dosage)이 증가함에 따라, 필름 응력, 또는 갭 필 재료의 응력은 더 압축력을 갖게 된다. 주입 투여량은 약 1×1015 내지 약 5×1017 원자/㎠ 범위일 수 있다.
도 4a - 도 4c는 본 명세서에 설명된 구현들에 따라 이온 주입이 디싱에 미치는 효과들을 도시하는 차트들이다. 위에서 설명된 바와 같이, 디싱은 후속 CMP 프로세스 이후에 발생할 수 있고, 디싱은 CMP 프로세스의 끝에서 갭 필 재료의 최저점과 갭 필 재료의 최고점 사이의 높이 차이(nm)에 의해 정의된다. 도 4a는 실리콘 이온 종들의 주입이 없을 때와 비교하여, 갭 필 재료 내에 실리콘 이온 종들이 주입될 때, 디싱이 감소된 것을 도시한다. 도 4a에 도시된 바와 같이, 치밀한 영역에서, CMP 프로세스 동안 15% 또는 35% 과연마되는(overpolished) 갭 필 재료는, CMP 프로세스 이전에 갭 필 재료 내에 실리콘 이온 종들이 주입될 때 0nm의 디싱을 갖는다. 마찬가지로, 개방된 영역에서, CMP 프로세스 동안 15% 또는 35% 과연마되는 갭 필 재료는, CMP 프로세스 이전에 갭 필 재료 내에 실리콘 이온 종들이 주입될 때 5nm 미만의 디싱을 갖는다
도 4b는 또한 헬륨 이온 종들의 주입이 없을 때와 비교하여, 갭 필 재료 내에 헬륨 이온 종들이 주입될 때, 디싱이 감소된 것을 도시한다. 도 4b에 도시된 바와 같이, 피치 비(pitch ratio) A를 갖는 피처들 및 피치 비 B를 갖는 피처들 내의 갭 필 재료에 대해, 치밀한 영역 및 개방된 영역 둘 다에서 헬륨 이온 종들의 주입이 없을 때와 비교하여, 헬륨 이온 종들이 주입된 갭 필 재료에 대해 디싱이 훨씬 더 낮다. 도 4c는 CMP 프로세스에 후속하는 리세스 프로세스의 끝에서의 디싱을 도시하는 차트이다. 도 4c에 도시된 바와 같이, 치밀한 영역 및 개방된 영역 둘 다에서, 갭 필 재료들 내에 주입된 헬륨 이온 종들로 디싱이 감소된다. 도 4a - 도 4c에 도시된 바와 같이, CMP 프로세스 및 리세스 프로세스 이전에 1회 이상의 이온 주입 프로세스가 갭 필 재료에 대해 수행될 때, CMP 프로세스 또는 리세스 프로세스 이후에 갭 필 재료의 디싱은 약 8nm 미만이다.
하나 이상의 이온 주입 프로세스는 섭씨 0도 미만 내지 약 섭씨 500도 범위의 임의의 적절한 온도들에서 수행될 수 있다. 일부 구현들에서, 갭 필 재료에 대한 손상을 최소화하기 위해, 이온 종들은 섭씨 450도보다 높은 온도, 예컨대 약 섭씨 500도의 온도에서 주입된다. 주입 온도가 섭씨 450도를 초과할 때, 갭 필 재료 내의 이온 종 축적이 감소되며, 이는 갭 필 재료에 대한 더 적은 손상을 야기하는 것으로 밝혀졌다. 도 5는 본 명세서에 설명된 구현들에 따라 상이한 온도들에서 이온 주입이 이온 농도에 미치는 효과들을 도시하는 차트이다. 도 5에 도시된 바와 같이, 갭 필 재료 내의 헬륨 이온 종들의 농도는, 섭씨 300도에서 수행되는 이온 주입 프로세스에 비교하여, 이온 주입 프로세스가 섭씨 500도에서 수행될 때 더 낮다. 다시, 주입된 이온 종들의 더 낮은 농도는 갭 필 재료에의 손상을 최소화한다. 따라서, 일부 구현들에서, 하나 이상의 이온 주입 프로세스는 섭씨 450도를 초과하는 온도, 예컨대 섭씨 500도에서 수행된다.
갭 필 재료 내에 주입되는 이온 종들은 임의의 적절한 이온 종들, 예컨대 실리콘 이온 종들, 헬륨 이온 종들, 수소 이온 종들, 질소 이온 종들, 또는 아르곤 이온 종들과 같은 다른 불활성 이온 종들일 수 있다. 일부 구현들에서, 갭 필 재료에 대한 손상을 최소화하기 위해, 헬륨 이온 종들과 같은 작은 크기의 이온 종들이 갭 필 재료 내에 주입된다. 그러나, 도 4a - 도 4c에 도시된 바와 같이, 실리콘 이온 종들이 주입된 갭 필 재료는 헬륨 이온 종들이 주입된 갭 필 재료에 비해 개선된 디싱을 보여준다. 따라서, 일부 구현들에서, 갭 필 재료를 트리트먼트하기 위해, 1회보다 많은 이온 주입 프로세스가 수행된다.
도 6은 본 명세서에 설명된 일 구현에 따라 기판 상의 갭 필 재료를 트리트먼트하기 위한 방법(600)을 도시한다. 블록(602)에서, 제1 이온 종들은 제1 이온 에너지에서 갭 필 재료 내에 주입된다. 일 구현에서, 제1 이온 종들은 헬륨 이온 종들이다. 제1 이온 에너지에서, 제1 이온 종들은 갭 필 재료 내에서 제1 깊이로 주입된다. 블록(604)에서, 제2 이온 종들은 제2 이온 에너지에서 갭 필 재료 내에 주입된다. 일 구현에서, 제2 이온 종들은 실리콘 이온 종들이고, 제2 이온 에너지는 제1 이온 에너지보다 낮다. 더 낮은 이온 에너지를 이용하면, 실리콘 이온 종들은 갭 필 재료 내에서 제1 깊이보다 얕은 제2 깊이로 주입된다. 결과로서, 후속 CMP 프로세스 이후에, 실리콘 이온 종들이 주입된 갭 필 재료는 헬륨 이온 종들이 주입된 갭 필 재료에 비교하여 디싱에 있어서 더 나은 결과를 보여주므로, 디싱이 개선된다. 제1 및 제2 이온 종들의 깊이들은 CMP 프로세스에 의해 제거될 갭 필 재료의 양에 의해 결정될 수 있다. 제1 및 제2 이온 종들은 임의의 적절한 이온 종들, 예컨대 실리콘 이온 종들, 헬륨 이온 종들, 수소 이온 종들, 질소 이온 종들, 또는 아르곤 이온 종들과 같은 다른 불활성 이온 종들일 수 있다. 일부 구현들에서, 제1 이온 종들은 제2 이온 종들과 동일하다. 동일한 이온 종들의 2회의 주입 프로세스는 주입된 이온 종들의 균일성을 개선하는 데에 도움을 준다.
도 1을 다시 참조하면, 블록(130)에서, 1회 이상의 이온 주입 프로세스에 후속하여, 갭 필 재료가 어닐링될 수 있다. 갭 필 재료의 어닐링은 퇴적 챔버, 이온 주입 챔버, 또는 급속 열 처리 챔버와 같은 상이한 어닐링 장치 내에서 수행될 수 있다. 어닐링 프로세스는 섭씨 약 500도의 온도에서 수행될 수 있다. 갭 필 재료를 스팀 어닐링하기 위해, 어닐링 프로세스 동안 수증기가 제공될 수 있다. 일 구현에서, 갭 필 재료는 실리콘 이산화물이다. 스팀 내의 산소 원자들은 Si 댕글링 본드들에의 본딩에 의해 실리콘 이산화물 갭 필 재료 내에 유리하게 통합될 수 있고, 실리콘 이산화물 재료의 산소 함량을 증가시킬 것으로 여겨진다. 스팀 어닐링 프로세스는 산소 원자들을 증가된 Si-O 본딩에 이용할 수 있는 것으로 인해, 실리콘 이산화물 재료의 수축을 또한 방지할 수 있을 것으로 예상된다.
블록(140)에 보여진 바와 같이, 어닐링 프로세스에 후속하여, 갭 필 재료에 대해 CMP 프로세스가 수행된다. 앞에서 설명된 바와 같이, 프로세스 플로우 내에 1회 이상의 이온 주입 프로세스를 추가함으로써, CMP 프로세스에 의해 야기되는 디싱이 최소화된다.
상술한 것은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 구현들 및 추가의 구현들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 갭 필 재료(gap fill material)를 트리트먼트하기 위한 방법으로서,
    제1 이온 에너지를 이용하여 상기 갭 필 재료 내에 제1 이온 종들(ion species)을 주입(implanting)하는 단계;
    제2 이온 에너지를 이용하여 상기 갭 필 재료 내에 제2 이온 종들을 주입하는 단계 - 상기 제1 이온 에너지는 상기 제2 이온 에너지보다 큼 - ;
    상기 제2 이온 종들에의 노출 후에 상기 갭 필 재료를 어닐링하는 단계; 및
    상기 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계 - 상기 갭 필 재료의 디싱(dishing)은 8nm 미만임 -
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 제1 이온 종들은 실리콘을 포함하는, 방법.
  3. 제2항에 있어서, 상기 제2 이온 종들은 헬륨을 포함하는, 방법.
  4. 제1항에 있어서, 상기 제1 이온 종들 및 상기 제2 이온 종들은 동일한 이온 종들을 포함하는, 방법.
  5. 제1항에 있어서, 상기 제1 이온 종들은 상기 갭 필 재료 내에서 제1 깊이로 주입되는, 방법.
  6. 제5항에 있어서, 상기 제2 이온 종들은 상기 갭 필 재료 내에서 제2 깊이로 주입되고, 상기 제2 깊이는 상기 제1 깊이보다 얕은, 방법.
  7. 갭 필 재료를 트리트먼트하기 위한 방법으로서,
    제1 이온 에너지를 이용하여 상기 갭 필 재료 내에 헬륨 이온들을 주입하는 단계;
    제2 이온 에너지를 이용하여 상기 갭 필 재료 내에 실리콘 이온들을 주입하는 단계 - 상기 제1 이온 에너지는 상기 제2 이온 에너지보다 큼 - ;
    실리콘 이온 종들에의 노출 후에 상기 갭 필 재료를 어닐링하는 단계; 및
    어닐링된 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계
    를 포함하는 방법.
  8. 제7항에 있어서, 상기 갭 필 재료를 어닐링하는 단계는 스팀 어닐링을 포함하는, 방법.
  9. 제7항에 있어서, 헬륨 이온 종들은 섭씨 450도보다 높은 온도에서 주입되는, 방법.
  10. 제7항에 있어서, 헬륨 이온 종들의 주입은 1×1015 내지 약 5×1017 원자/㎠의 투여량(dosage)을 갖는, 방법.
  11. 제7항에 있어서, 실리콘 이온 종들의 주입은 1×1015 내지 약 5×1017 원자/㎠의 투여량을 갖는, 방법.
  12. 갭 필 재료를 형성하기 위한 방법으로서,
    기판 상에 갭 필 재료를 퇴적하는 단계;
    상기 갭 필 재료를 트리트먼트하는 단계 - 상기 트리트먼트하는 단계는,
    제1 이온 에너지를 이용하여 상기 갭 필 재료 내에 헬륨 이온들을 주입하는 단계; 및
    제2 이온 에너지를 이용하여 상기 갭 필 재료 내에 실리콘 이온들을 주입하는 단계
    를 포함하고, 상기 제1 이온 에너지는 상기 제2 이온 에너지보다 큼 - ;
    어닐링된 갭 필 재료를 형성하기 위해, 실리콘 이온 종들에의 노출 후에 상기 갭 필 재료를 어닐링하는 단계; 및
    상기 어닐링된 갭 필 재료에 대해 화학적 기계적 연마 프로세스를 수행하는 단계
    를 포함하는 방법.
  13. 제12항에 있어서, 헬륨 이온 종들은 상기 갭 필 재료 내에서 제1 깊이로 주입되는, 방법.
  14. 제13항에 있어서, 실리콘 이온 종들은 상기 갭 필 재료 내에서 제2 깊이로 주입되고, 상기 제2 깊이는 상기 제1 깊이보다 얕은, 방법.
  15. 제12항에 있어서, 헬륨 이온 종들은 섭씨 450도보다 높은 온도에서 주입되는, 방법.
KR1020187014475A 2015-10-23 2016-09-29 진보된 cmp 및 리세스 플로우를 위한 갭필 필름 수정 KR20180061389A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562245577P 2015-10-23 2015-10-23
US62/245,577 2015-10-23
PCT/US2016/054453 WO2017069923A1 (en) 2015-10-23 2016-09-29 Gapfill film modification for advanced cmp and recess flow

Publications (1)

Publication Number Publication Date
KR20180061389A true KR20180061389A (ko) 2018-06-07

Family

ID=58557640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187014475A KR20180061389A (ko) 2015-10-23 2016-09-29 진보된 cmp 및 리세스 플로우를 위한 갭필 필름 수정

Country Status (6)

Country Link
US (1) US10096512B2 (ko)
JP (1) JP6955489B2 (ko)
KR (1) KR20180061389A (ko)
CN (1) CN108352357B (ko)
TW (1) TWI706463B (ko)
WO (1) WO2017069923A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366900B2 (en) * 2016-03-25 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10177026B2 (en) * 2016-11-29 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and fabrication method therefor
US12015059B2 (en) * 2022-04-18 2024-06-18 Winbond Electronics Corp. Semiconductor structure and method of forming the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3015717B2 (ja) * 1994-09-14 2000-03-06 三洋電機株式会社 半導体装置の製造方法および半導体装置
JP3015763B2 (ja) * 1996-08-30 2000-03-06 三洋電機株式会社 半導体装置の製造方法
JP3063705B2 (ja) * 1997-10-14 2000-07-12 日本電気株式会社 半導体装置の製造方法
JP2001196373A (ja) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
KR100345064B1 (ko) * 2000-06-30 2002-07-20 주식회사 하이닉스반도체 디싱을 방지하기 위한 쉘로우트렌치분리 형성방법
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20050054182A1 (en) * 2003-09-08 2005-03-10 Macronix International Co., Ltd. Method for suppressing boron penetration by implantation in P+ MOSFETS
JP4730581B2 (ja) * 2004-06-17 2011-07-20 信越半導体株式会社 貼り合わせウェーハの製造方法
JP2006278745A (ja) * 2005-03-29 2006-10-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7456080B2 (en) * 2005-12-19 2008-11-25 Corning Incorporated Semiconductor on glass insulator made using improved ion implantation process
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
JP5486781B2 (ja) * 2007-07-19 2014-05-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7767583B2 (en) 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
JP2011018760A (ja) * 2009-07-08 2011-01-27 Yamaha Corp 半導体装置の製造方法
CN102789974B (zh) * 2011-05-16 2015-10-21 中国科学院微电子研究所 提高浅沟槽隔离化学机械平坦化均匀性的方法
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9159810B2 (en) * 2012-08-22 2015-10-13 Advanced Ion Beam Technology, Inc. Doping a non-planar semiconductor device
US9190498B2 (en) * 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
JP2014093478A (ja) * 2012-11-06 2014-05-19 Ps4 Luxco S A R L 半導体装置およびその製造方法
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
CN105225937B (zh) * 2014-06-30 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104269376B (zh) * 2014-09-24 2017-03-15 上海华力微电子有限公司 浅沟槽隔离的制造方法
CN105870019A (zh) * 2015-01-22 2016-08-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9634141B1 (en) * 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024019440A1 (ko) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US10096512B2 (en) 2018-10-09
CN108352357B (zh) 2023-02-17
CN108352357A (zh) 2018-07-31
WO2017069923A1 (en) 2017-04-27
JP2018531518A (ja) 2018-10-25
US20170117157A1 (en) 2017-04-27
JP6955489B2 (ja) 2021-10-27
TWI706463B (zh) 2020-10-01
TW201727742A (zh) 2017-08-01

Similar Documents

Publication Publication Date Title
KR102438577B1 (ko) 고 품질 fcvd 막들을 위한 진보된 프로세스 플로우
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
TWI363385B (en) Boron derived materials deposition method
KR101081632B1 (ko) 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법
KR101032817B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
US10041167B2 (en) Cyclic sequential processes for forming high quality thin films
KR100950623B1 (ko) Pecvd 실리콘 질화물 막들의 압축 스트레스를증가시키는 방법
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
KR102591569B1 (ko) 주입을 사용한 유동 가능한 필름 특성들 튜닝
KR102311036B1 (ko) 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
TWI400755B (zh) 用於由下而上間隙充填的介電質沈積與回蝕處理
US10096512B2 (en) Gapfill film modification for advanced CMP and recess flow
KR20070118140A (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
JP2018531518A6 (ja) 先進cmp及び凹部流れのための間隙充填膜の修正
JP2004134753A (ja) 多重の誘電率と多重の厚さを有するゲート絶縁体層を形成する方法
US9406544B1 (en) Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
KR20240036685A (ko) 산화물 층들을 치밀화하기 위한 플라즈마 처리 프로세스
TWI443744B (zh) 具有經改善絕緣特性之介電層之製造方法及具有經改善絕緣特性之介電層之半導體結構之製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal