TWI498941B - 微細圖形之形成方法及成膜裝置 - Google Patents

微細圖形之形成方法及成膜裝置 Download PDF

Info

Publication number
TWI498941B
TWI498941B TW100122285A TW100122285A TWI498941B TW I498941 B TWI498941 B TW I498941B TW 100122285 A TW100122285 A TW 100122285A TW 100122285 A TW100122285 A TW 100122285A TW I498941 B TWI498941 B TW I498941B
Authority
TW
Taiwan
Prior art keywords
film
forming
gas
oxygen
decane
Prior art date
Application number
TW100122285A
Other languages
English (en)
Other versions
TW201145355A (en
Inventor
Shigeru Nakajima
Kazuhide Hasebe
Pao Hwa Chou
Mitsuaki Iwashita
Reiji Niino
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201145355A publication Critical patent/TW201145355A/zh
Application granted granted Critical
Publication of TWI498941B publication Critical patent/TWI498941B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)

Description

微細圖形之形成方法及成膜裝置
本發明係關於一種微細圖形之形成方法,用在半導體製程中,形成曝光裝置之解析極限以下的圖形。又,本發明還有關使用於該微細圖形之形成方法的成膜裝置。
伴隨著半導體裝置之高整合化,於製造製程中要求之配線寬度或分離寬度也愈益微細化。一般而言,微細圖形係使用光抗蝕劑技術形成抗蝕劑圖形,並藉由將該抗蝕劑圖形用在蝕刻之遮罩而將基底之各種薄膜予以蝕刻而形成。
為了形成微細圖形,光微影技術為重要,但是最近半導體裝置之微細化,要求達到光微影技術之解析極限以下之尺寸。
形成解析極限以下之圖形技術,例如,記載於專利文獻1。
專利文獻1係形成第1感光膜圖形(以下稱為第1抗蝕劑圖形),並將該第1抗蝕劑圖形烘烤後,在該第1抗蝕劑圖形上形成氧化膜。之後,在第1抗蝕劑圖形彼此之中間形成第2感光膜圖形(以下稱為第2抗蝕劑圖形),並將第1抗蝕劑圖形及第2抗蝕劑圖形用於蝕刻之遮罩,將基底之薄膜蝕刻而形成微細圖形。
依照專利文獻1,由於利用2個曝光遮罩形成微細圖形,因此,相較於利用1個曝光遮罩形成微細圖形之情形,能得到2倍以上之解像力。因此,能形成解析極限以下之微細圖形。
又,在抗蝕劑圖形上形成氧化膜之技術,例如,記載於專利文獻2。
專利文獻2雖未記載形成解析極限以下之微細圖形之方法,但是記載以下技術:藉由預先在抗蝕劑圖形上形成氧化膜,能防止抗蝕劑圖形之薄化現象,能防止所形成之微細圖形發生條痕或擺動線。
【專利文獻1】日本專利第2757983號公報
【專利文獻2】日本特開2004-80033號公報
依照專利文獻1,能形成解析極限以下之微細圖形。然而,對於微細圖形之臨界尺寸(CD)均勻性之要求日益嚴格。例如,若形成在第1抗蝕劑圖形上之氧化膜之膜厚均勻性不良,則難以對於微細圖形進行良好的CD控制。
專利文獻2例如於段落0010記載,希望以不損傷抗蝕劑圖形之方式,於常溫~400℃間之溫度形成氧化膜,就實現此種氧化膜之手法,揭示原子層沉積法(ALD)。
然而,專利文獻2關於相較於ALD在更低溫且形成具均勻膜厚之氧化膜的技術,未有任何揭示。
本發明之目的在於提供一種微細圖形之形成方法,能使具解析極限以下之間距的微細圖形的CD均勻性更為良好。
為了解決上述課題,本發明第1態樣之微細圖形之形成方法,包含下列步驟:於基板上形成第1膜的步驟;於該第1膜上,形成第1抗蝕劑膜的步驟;將該第1抗蝕劑膜利用光微影,加工為具既定間距之第1抗蝕劑圖形的步驟;將含有機矽之第1氣體及含經活化之氧物質的第2氣體交替地對於該基板供給,而於該第1抗蝕劑圖形及該第1膜之上,形成氧化矽膜的步驟;於該氧化矽膜上形成第2抗蝕劑膜的步驟;將該第2抗蝕劑膜利用光微影加工為具既定間距之第2抗蝕劑圖形的步驟;將該第1抗蝕劑圖形及該第2抗蝕劑圖形用於遮罩,而加工該第1膜的步驟。
又,本發明第2態樣之微細圖形之形成方法,包含下列步驟:於基板上形成第1膜的步驟;於該第1膜上,形成與該第1膜以不同材料構成之硬遮罩膜的步驟;於該硬遮罩膜上形成第1抗蝕劑膜的步驟;將該第1抗蝕劑膜利用光微影加工為具既定間距之第1抗蝕劑圖形的步驟;將含有機矽之第1氣體及含經活化氧物質之第2氣體對於該基板交替地供給,於該第1抗蝕劑圖形及該硬遮罩膜上形成氧化矽膜的步驟;於該氧化矽膜上形成第2抗蝕劑膜的步驟;將該第2抗蝕劑膜利用光微影加工為具既定間距之第2抗蝕劑圖形的步驟;將該第1抗蝕劑圖形及該第2抗蝕劑圖形用於遮罩,而加工該硬遮罩膜的步驟;及將該加工之硬遮罩膜用於遮罩,而加工該第1膜的步驟。
依照本發明,能提供一種微細圖形之形成方法,能將具解析極限以下之間距的微細圖形的CD均勻性更為良好。
[實施發明之最佳形態]
以下,參照添附圖式具體說明本發明之實施形態。
(第1實施形態)
圖1至圖6,為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
第1實施形態係例示本發明微細圖形之形成方法的基本處理流程。
首先,如圖1所示,在半導體基板101上形成薄膜102。本案說明書之中,半導體基板101不僅代表半導體例如矽基板,亦包含在半導體基板內、或半導體基板上所形成之半導體元件或積體電路圖形所對應之導電膜、形成有將此等予以絕緣之層間絕緣膜之構造體。薄膜102為之後加工為微細圖形之膜,可為SiN或SiO2 之絕緣膜,亦可為如導電性多晶矽之導電膜。本例中,舉一例而言,薄膜102係定為SiN。接著,於薄膜102上塗布抗反射材料,並形成抗反射膜(BARC)200。
其次,如圖2所示,在抗反射膜200上塗布光抗蝕劑,形成光抗蝕劑膜。其次,使用光微影技術,將光抗蝕劑膜加工為具既定間距p1之抗蝕劑圖形103。本例中,抗蝕劑圖形103具線與間隔(line and space)圖形,既定間距p1,定為曝光裝置之解析極限程度。
其次,如圖3所示,修整抗蝕劑圖形103,得到經修整之抗蝕劑圖形103’(本說明書中稱為第1次修整處理)。修整處理,例如於含有氧自由基或臭氧氣體之氛圍中,於室溫~100℃之溫度實施。
其次如圖4所示,於經修整之抗蝕劑圖形103’及抗反射膜200上,形成與薄膜102、抗反射膜200、及抗蝕劑圖形103’不同之氧化矽膜104。氧化矽膜104係為了保護抗蝕劑圖形103’受到之後實施之第2次光微影步驟而形成。本案說明書中,此種氧化矽膜104之形成稱為硬化處理。本實施形態中,硬化處理係一面交替地供給含有機矽之來源氣體及由電漿所活化(激發)之含有氧自由基等氧物質之氣體,一面在經修整之抗蝕劑圖形103’及抗反射膜200上形成氧化矽膜104。
其次如圖5所示,在氧化矽膜104上塗布光抗蝕劑,形成光抗蝕劑膜。接著,使用光微影技術,將光抗蝕劑膜加工為具既定間距p2之抗蝕劑圖形105。本例中,抗蝕劑圖形105,與經修整之抗蝕劑圖形103’同樣地,具有線與間隔(line and space)圖形。又,抗蝕劑圖形105之既定間距p2,定為曝光裝置之解析極限程度。再者,本例之抗蝕劑圖形105,配置在經修整之抗蝕劑圖形103’之間,並且抗蝕劑圖形103’與抗蝕劑圖形105交替地配置。
其次如圖6所示,修整抗蝕劑圖形105,得到經修整之抗蝕劑圖形105’(本案說明書稱為第2次修整處理)。修整處理,可於例如含有氧自由基或臭氧氣體之氛圍中,於室溫~100℃之溫度實施。藉由第2次修整處理,形成由抗蝕劑圖形103’及105’所構成之抗蝕劑圖形。由於此抗蝕劑圖形,為圖形103’與105’交替配置而成之圖形,因此其間距p3,成為較間距p1及p2為窄之間距,本例中,為間距p1及p2之約1/2間距。依此方式,藉由將各別形成之抗蝕劑圖形103’與105’交替配置,能形成具解析極限以下之間距的抗蝕劑圖形。
其次如圖7所示,將抗蝕劑圖形103’及105’用於蝕刻之遮罩,將抗反射膜200、氧化矽膜104及薄膜102蝕刻,將薄膜102加工為所望微細圖形。經加工之薄膜102之間距p4,與抗蝕劑圖形103’及105’之間距p3成為大致相同,因此由薄膜102所構成之微細圖形之間距p4,能為解析極限以下。依此方式,第1實施形態中,能形成具解析極限以下間距之微細圖形。
再者,第1實施形態中,於硬化處理時藉由交替地供給含有機矽之來源氣體及由電漿所激發之含有氧自由基等氧物質之氣體,在抗反射膜200及經修整之抗蝕劑圖形103’上形成氧化矽膜104,能使具解析極限以下之間距之微細圖形的CD均勻性更為良好。關於此成膜以下詳細說明。
圖8為氧化矽膜104成膜所使用之成膜裝置一例的縱剖面圖,圖9為圖8之成膜裝置的橫剖面圖,圖10為本實施形態之中,氣體供給時序的時序表。又,圖9之中,省略加熱裝置。
如圖8及圖9所示,成膜裝置80,具有下端開口之具頂蓋的圓筒體狀處理容器1。此處理容器1全體,例如由石英形成,此處理容器1內之頂蓋,設有石英製頂蓋板2而封閉。又,於此處理容器1之下端開口部,例如由不銹鋼成形為圓筒體狀之歧管3,通過O形環等密封構件4而連結。
上述歧管3支持著處理容器1之下端,從此歧管3之下方,可***石英製晶圓舟5於處理容器1內,石英製晶圓舟5可以多段載置作為被處理體之多數片例如50~100片半導體晶圓W。此晶圓舟5具有3支的支柱6(參照圖9),由形成在支柱6之溝支持多數片晶圓W。
此晶圓舟5,載置於石英製保溫筒7上,保溫筒7載置於檯8上。檯8支持於旋轉軸10上,該旋轉軸10貫通將歧管3之下端開口部開閉之例如不銹鋼製蓋部9。
並且,此旋轉軸10之貫通部,設有例如磁性流體密封件11,可一面將旋轉軸10氣密密封一面可旋轉地支持旋轉軸10。又,於蓋部9之周邊部與歧管3之下端部之間,介設著例如由O形環構成之密封構件12,藉此,保持處理容器1內之密封性。
上述旋轉軸10,安裝在例如舟升降機等升降機構(未圖示)所支持之臂13的前端,晶圓舟5及蓋部9等,一體地上升並***於處理容器1內,並且下降而從處理容器1被取出。又,亦可將上述檯8固定設置在上述蓋部9側,不使晶圓舟5旋轉地進行晶圓W處理。
又,成膜裝置80,具有:對於處理容器1內供給含氧氣體,例如O2 氣體之含氧氣體供給機構14;對於處理容器1內供給Si來源氣體之Si來源氣體供給機構15;及,對於處理容器1內供給作為沖洗氣體之鈍性氣體,例如N2 氣體之沖洗氣體供給機構16。
本實施形態之中,就用以將氧化矽膜104成膜之Si來源氣體而言,使用含有機矽之Si來源氣體。含有機矽之Si來源氣體之例,例如胺基矽烷系前驅體。胺基矽烷系前驅體之例,有1價或2價之胺基矽烷系前驅體。1價或2價之胺基矽烷系前驅體之具體例,例如:BTBAS(雙第三丁基胺基矽烷)、BDMAS(雙二甲基胺基矽烷)、BDEAS(雙二乙基胺基矽烷)、DMAS(二甲基胺基矽烷)、DEAS(二乙基胺基矽烷)、DPAS(二丙基胺基矽烷)、BAS(丁基胺基矽烷)、DIPAS(二異丙基胺基矽烷)及BEMAS(雙乙基甲基胺基矽烷)。又,含有機矽之Si來源氣體,可使用以上列舉之前驅體其中之一,亦可將此等2種以上組合使用。
又,胺基矽烷系前驅體,可以使用3價之胺基矽烷系前驅體。3價之胺基矽烷系前驅體例,有TDMAS(三-二甲基胺基矽烷)。
又,含有機矽之Si來源氣體,除了胺基矽烷系前驅體以外,亦可使用乙氧基矽烷系前驅體。乙氧基矽烷系前驅體之例,例如:TEOS(四乙氧基矽烷)。
含氧氣體供給機構14,具有:含氧氣體供給源17;含氧氣體配管18,從含氧氣體供給源17導入含氧氣體;含氧氣體分散噴嘴19,由與此含氧氣體配管18連接,將歧管3之側壁往內側貫通並往上方向彎曲而垂直延伸之石英管所構成。此含氧氣體分散噴嘴19之垂直部分,有多數氣體噴吐孔19a隔著既定間距形成,從各氣體噴吐孔19a能以水平方向,朝向處理容器1以大致均勻地噴吐含氧氣體,例如O2 氣體。
又,Si來源氣體供給機構15,具有:Si來源氣體供給源20;Si來源氣體配管21,從該Si來源氣體供給源20引導Si來源氣體;Si來源氣體分散噴嘴22,由與此Si來源氣體配管21連接,將歧管3之側壁往內側貫通而向上方向彎曲並垂直延伸之石英管所構成。在此,Si來源氣體分散噴嘴22設有2支(參照圖9),各Si來源氣體分散噴嘴22,沿著其長度方向有多數氣體噴吐孔22a隔著既定間距形成。從各氣體噴吐孔22a以水平方向,能對於處理容器1內以大致均勻地,噴吐含有機矽之Si來源氣體。又,Si來源氣體分散噴嘴22亦可僅有1支。
再者,沖洗氣體供給機構16,具有:沖洗氣體供給源23;沖洗氣體配管24,從沖洗氣體供給源23引導沖洗氣體;及沖洗氣體噴嘴25,與此沖洗氣體配管24連接,貫通歧管3之側壁而設置。沖洗氣體,可適用鈍性氣體,例如N2 氣體。
含氧氣體配管18、Si來源氣體配管21、沖洗氣體配管24,各設有開閉閥18a、21a、24a及如質量流控制器的流量控制器18b、21b、24b,可一面控制流量一面供給含氧氣體、Si來源氣體及沖洗氣體。
此電漿產生機構30,具電漿區隔壁32。電漿區隔壁32,係以藉由將處理容器1側壁中切出具既定寬度之縱長部分缺口所形成之細長開口31覆蓋外側之方式,氣密地安裝在處理容器1之外壁。又,電漿區隔壁32,成為剖面凹部狀,上下細長地形成,例如以石英形成。又,電漿產生機構30具有:一對細長的電漿電極33,在此電漿區隔壁32之兩側壁外面,沿著上下方向彼此相對而配置;及,高頻電源35,對於此電漿電極33通過供電線34而連接,供給高頻電力。並且,藉由對於上述電漿電極33從高頻電源35施加例如13.56MHz之高頻電壓,可以產生含氧氣體的電漿。又,此高頻電壓之頻率不限13.56MHz,亦可使用其他頻率,例如400kHz等。
藉由形成像上述電漿區隔壁32,處理容器1之一部分側壁呈凹部狀地往外側凹陷,電漿區隔壁32之內部空間與處理容器1之內部空間成一體地連通。又,開口31,具有對應於晶圓舟5中保持晶圓W之區域縱方向全體的高度。
上述含氧氣體分散噴嘴19,在處理容器1內往上方向延伸的中途,往處理容器1之半徑方向外方彎曲,並沿著上述電漿區隔壁32內最後方部分(最遠離處理容器1之中心的部分)向上方升高。因此,從氣體噴吐孔19a噴吐之含氧氣體,會由於高頻電源35導通而產生在電極33間之高頻電場而電漿化,並且流入處理容器1之內部空間。
電漿區隔壁32之外側,以包覆電漿區隔壁32之方式,安裝有例如由石英所構成之絕緣保護套36。又,此絕緣保護套36之內側部分,設有未圖示之冷媒通路,藉由例如流通經冷卻之氮氣,能將上述電漿電極33冷卻。
2支Si來源氣體分散噴嘴22,升高使得在處理容器1內,位於開口31之兩側,藉由形成在此Si來源氣體分散噴嘴22之多數氣體噴射孔22a,能夠朝著處理容器1之內部空間,噴吐作為Si來源氣體之1分子內具1個或2個胺基的胺基矽烷氣體。
另一方面,於處理容器1之開口31之相反側,設有用以將處理容器1內進行真空排氣之排氣口37。此排氣口37藉由將處理容器1之一部分側壁切出縱長缺口而形成。處理容器1之對應於此排氣口37之部分,以熔接安裝以覆蓋排氣口37之方式成形為剖面凹部狀之排氣口蓋部構件38。此排氣口蓋部構件38,沿著處理容器1之側壁往上方延伸,於處理容器1之上方規定氣體出口39。並且,從此氣體出口39藉由未圖示之含真空泵浦等之真空排氣機構進行真空吸引。並且,以包圍此處理容器1之外周的方式,設有用以將此處理容器1及其內部之晶圓W加熱之筒體狀加熱裝置40。
成膜裝置80之各構成部控制,例如閥18a、21a、24a之開閉使各氣體供給、停止、利用質量流控制器18b、21b、24b控制氣體流量,及利用高頻電源35之導通‧斷開控制、加熱裝置40之控制等,係藉由例如微處理器(電腦)構成之控制器50實施。控制器50中,連接著程序管理者為了管理成膜裝置80而進行指令輸入操作等之鍵盤,或顯示成膜裝置80之運作狀況之顯示器等構成的使用者介面51。
又,於控制器50,連接著用以將成膜裝置80中執行之各種處理以控制器50之控制實現的控制程式,或用以依照處理條件在成膜裝置80之各構成部執行處理之程式,亦即存放有配方之記憶部52。控制程式或配方可以記載在記憶媒體52a,從記憶媒體52a存放到記憶部52。記憶媒體52a,可為硬碟或半導體記憶體,亦可為CD-ROM、DVD、快閃記憶體等可攜性者。又,控制程式或配方,亦可從其他裝置透過例如專線下載,而記憶在記憶部52中。
並且,視需要,藉由從使用者介面51之指示等將任意配方自記憶部52讀取而使控制器50執行,能在控制器50之控制下,以成膜裝置80執行所望處理。
其次,將使用如以上構成之成膜裝置實施氧化矽膜104之成膜方法的一例,參照圖10說明。
例如,將裝載著50~100片之晶圓W之狀態的晶圓舟5,在預先控制為既定溫度之處理容器1內,從下方往上升而載入,以蓋部9關閉歧管3之下端開口部,以使得處理容器1內成為密閉空間。晶圓W,可以具有例如直徑300mm。
並且,將處理容器1內維持在既定處理壓力,同時控制對於加熱裝置40供給之電力,使晶圓溫度上升並維持在處理溫度,於使晶圓舟5旋轉之狀態,開始成膜處理。
此時成膜處理之一例,如圖10所示。本例中,就含有機矽之Si來源氣體而言,使用胺基矽烷氣體,例如BTBAS之情形,但使用其他胺基矽烷氣體之情形,及使用環氧矽烷氣體之情形,均可同樣地實施。
如圖10所示,於成膜處理之一例之中,交替地反複實施下列步驟:步驟S1,將含有機矽之Si來源氣體流到處理容器1內並使Si來源吸附;及步驟S2,將藉由激發含氧氣體所形成之含有氧自由基等氧物質之氣體,供給予處理容器1並使Si來源氣體氧化。再者,本例之中,在此等步驟S1及步驟S2之間,實施從處理容器1內將殘留於處理容器1內之氣體排氣的步驟S3。
具體而言,本例之中,步驟S1中,於T1期間,從Si來源氣體供給機構15之Si來源氣體供給源20,將作為Si來源氣體之1分子內具2個胺基之胺基矽烷氣體,例如BTBAS,通過Si來源氣體配管21及Si來源氣體分散噴嘴22,從氣體噴吐孔22a對於處理容器1內供給。藉此,使晶圓W吸附Si來源。此時期間T1,例如1~60sec。又,例示Si來源氣體之流量為10~500mL/min(sccm)。又此時處理容器1內之壓力,例示為13.3~665Pa。
於供給由電漿所產生之含有氧自由基等氧物質之氣體的步驟S2之中,從含氧氣體供給機構14之含氧氣體供給源17,將作為含氧氣體之例如O2 氣體通過含氧氣體配管18及含氧氣體分散噴嘴19,從氣體噴吐孔19a噴吐,並且此時電漿產生機構30之高頻電源35成為導通並形成高頻電場,利用此高頻電場使含氧氣體,例如O2 氣體電漿化。並且,將依此方式電漿化之含氧氣體對於處理容器1內供給。藉此,吸附於晶圓W之Si來源氧化,並形成SiO2 。此處理期間T2例示為5~300sec之範圍。又,含氧氣體之流量視保持在晶圓舟5之晶圓W片數不同,例示為100~20000mL/min(sccm)。又,高頻電源35之頻率可為13.56MHz,功率可為5~1000W。又,此時處理容器1內之壓力可為13.3~665Pa。
於此情形,含氧氣體除了O2 氣體以外,例如:NO氣體、N2 O氣體、H2 O氣體、O3 氣體,將此等利用高頻電場電漿化而作為氧化劑使用。氧化劑不限上述含氧氣體之電漿,亦可為其他含氧氣體之電漿,其中較佳為O2 電漿。就氧化劑而言,藉由使用尤其O2 氣體電漿中之含有氧自由基之氧物質於SiO2 膜之成膜,可於300℃以下,再者100℃以下,理想地於室溫亦能成膜。又,含氧氣體可使用例示之含氧氣體其中之一,亦可使用2種以上。
又,步驟S1與步驟S2之間實施的步驟S3,係將步驟S1之後及步驟S2之後將處理容器1內殘留之氣體排氣,而使後續步驟中產生所望反應的步驟。步驟S3,一面將處理容器1內排氣一面從沖洗氣體供給機構16之沖洗氣體供給源23,經由沖洗氣體配管24及沖洗氣體噴嘴25,供給作為沖洗氣體之鈍性氣體例如N2 氣體以實施。此步驟S3之期間T3,例示1~60sec。又,沖洗氣體流量,例示50~5000mL/min(sccm)。又,此步驟S3若能將殘留於處理容器1內之氣體排氣,則可不供給沖洗氣體而停止所有氣體供給,而持續真空吸引。惟,藉由沖洗氣體供給,能於短時間將處理容器1內之殘留氣體排氣。又,此時處理容器1內之壓力亦可為13.3~665Pa。
依此方式,在步驟S1與步驟S2之間,藉由隔著從處理容器1內除去氣體的步驟S3,交替間歇地反複供給Si來源氣體及含有氧自由基等氧物質之O2 電漿,能將SiO2 膜之薄膜逐層反複地疊層成為既定厚度。
此時反應例如圖11所示。圖11顯示反應例的示意圖。本反應例,舉一例而言,例示Si來源氣體使用BTBAS之例。
如圖11(a)所示,在已經沉積之SiO2 膜之表面存在有OH基,並且,供給例如BTBAS作為Si來源。並且,於吸附Si來源的步驟(步驟S1)之中,如圖11(b)所示,BTBAS之Si與表面OH基之氧原子鍵結而使三甲基胺基脫離。此時,具2個胺基之胺基矽烷BTBAS與OH之反應性高,又,由於胺基不易成為像此種Si與OH基之反應的構造阻礙,因此,Si之吸附反應迅速進行。此時,脫離之三甲基胺基由步驟S3從處理容器1排氣。並且,於其次之氧化步驟(步驟S2)之中,如圖11(c)所示,三甲基胺基脫離後之Si化合物,藉由O2 氣體電漿中之含有氧自由基之氧物質而氧化,成為SiO2 (惟,表面吸附H而形成OH基)。依此方式,使用了O2 氣體電漿中之含有氧自由基之氧物質的氧化反應,與純粹之化學反應不同,不需高溫,因此可在低溫反應。
BTBAS,為作為Si來源氣體使用之1分子內具2個胺基之胺基矽烷氣體。像此種胺基矽烷氣體,除了BTBAS以外,例如:BDEAS(雙二乙基胺基矽烷)、BDMAS(雙二甲基胺基矽烷)。
又,Si來源氣體,亦可使用1分子內具3個以上胺基之胺基矽烷氣體,也可使用1分子內具1個胺基之胺基矽烷氣體。
第1實施形態,Si來源使用含有機矽之來源氣體,於氧化處理使用可在不使反應溫度上升而進行之O2 氣體電漿中所含含有氧自由基之氧物質,並將此等交替供給,因此,能將良好膜質之SiO2 膜於100℃以下,再者於室溫之以往未曾考慮的低溫及高成膜速率進行成膜。
依此方式,本實施形態原理上能於100℃以下之極低溫進行成膜,但亦能於較此為高之溫度進行成膜。惟,若考慮隨著成膜溫度上升,膜厚差異變大,及對於抗蝕劑圖形103’造成之熱影響,最佳是成膜溫度為100℃以下。
其次,對於依據第1實施形態實際成膜之結果進行說明。
首先,對於氧化劑進行實驗。Si來源氣體使用BTBAS,氧化處理使用O2 氣體電漿,並藉由將此等交替供給,將SiO2 膜成膜。在此,於處理容器內***300mm晶圓100片,將成膜溫度定為100℃,將BTBAS之供給量定為30mL/min(sccm)、壓力定為53Pa,進行步驟S1 30sec,將O2 氣體之供給量定為2000mL/min(sccm)、壓力定為665Pa、13.56MHz之高頻功率定為50W,進行40sec步驟S2,將此等反複42週期,進行SiO2 膜之成膜。又,為了沖洗處理容器內,於步驟S1前一面將處理容器內進行真空吸引,一面以3500mL/min(sccm)之流量作為沖洗氣體,供給7sec N2 氣體,於步驟S2之前,一面將處理容器內進行真空吸引,一面以3500mL/min(sccm)之流量將N2 氣體作為沖洗氣體供給6sec。
為了比較,步驟S2之氧化處理時之氧化劑,除了不將O3 氣體電漿化而以250g/Nm3 之流量供給以外,與上述條件同樣地進行SiO2 膜之成膜。
其結果,依據本發明實施形態,確認了:氧化劑使用O2 氣體電漿中之含有氧自由基之氧物質之情形,相較於使用不將氧自由基化之O3 氣體之情形,可得到5倍程度之成膜速率(速度)。又,於使用O2 氣體電漿之情形,亦確認了膜厚面向之差異極小。
其次,關於成膜溫度進行實驗。
在此,Si來源氣體使用BTBAS,氧化處理使用O2 氣體電漿,且除成膜溫度以外,與上述實驗同樣地進行成膜。溫度,於室溫(25℃)、75℃、100℃、200℃、300℃變化並進行實驗。
其結果,確認了即使於100℃以下之低溫亦能以高成膜速率進行成膜,即使於室溫亦能充分實用的成膜。又,確認了於100℃以下,尤其25℃(室溫)~75℃之低溫區,亦能以高成膜速率,得到高均勻性之膜厚。又,若成膜溫度超過300℃,則膜厚差異會變大,確認成膜溫度以300℃以下較佳。尤其,於抗蝕劑圖形之上進行成膜時,同前述以100℃以下為佳。
其次,測定硬化處理時之雜質濃度。
在此,使用BTBAS作為Si來源氣體,並比較於氧化處理使用O2 氣體電漿進行成膜之情形與使用O3 氣體進行成膜之情形,作為雜質之碳C、及氮N之濃度。測定使用次級離子質量分析(SIMS)實施。
其結果如圖12所示。如此圖所示,得到當將使用O2 氣體電漿進行SiO2 膜成膜時,碳C濃度及氮N濃度分別規格化為”1”時,使用O3 氣體進行成膜時,碳C之濃度為20倍、氮N之濃度為8倍之測定結果。從此結果,確認了硬化處理時,使用O2 氣體電漿成膜,有效減少雜質。光抗蝕劑一般而言不耐氨,氨之產生為光抗蝕劑中毒之原因之一。為了抑制氨發生,抑制氮N發生為有效。若使用O2 氣體電漿進行氧化處理,可以抑制氮N發生量為少量,因此能抑制光抗蝕劑中毒。此對於硬化處理為有利。
依此方式,依照第1實施形態,能形成具解析極限以下之間距之微細圖形。伴隨於此,藉由將含有機矽之來源氣體及由電漿所產生之含有氧自由基等氧物質之氣體交替地供給,能於抗蝕劑圖形103’之耐熱溫度以下之極低溫度且良好地控制膜厚控制性而形成氧化矽膜104,。再者,由於能抑制氮N產生,因此能抑制光抗蝕劑中毒。其結果,能在分子層級控制氧化矽膜104之膜厚,CD控制變得容易,能良好地確保CD均勻性。
依此方式形成之薄膜102之微細圖形,可利用在像例如電晶體之閘電極或半導體記憶體之位元線這類高密度配置之積體電路圖形。
(第2實施形態)
圖13為本發明第2實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
如圖13所示,第2實施形態,係將第1實施形態中所說明之第1次修整處理與硬化處理在相同成膜裝置之中進行連續處理者(原位處理)。
修整處理使用蝕刻裝置或灰化裝置等實施,相對於此,硬化處理使用成膜裝置實施。因此,一般而言,結束修整處理後之半導體基板(晶圓)一度從灰化裝置被導出後,往成膜裝置輸送。
依此方式,由於修整處理後,晶圓從裝置被導出,因此,抗蝕劑圖形103’之表面可能會附著塵埃等。抗蝕劑圖形103’之表面若附著塵埃等,則形成於抗蝕劑圖形103’上之氧化矽膜104中,缺陷密度會增加,又,其膜厚均勻性容易喪失。
相對於此,依照第2實施形態,於成膜裝置中實施修整處理,並且,將修整處理與硬化處理在相同成膜裝置之中連續實施。藉此,可帶來以下優點:維持抗蝕劑圖形103’之表面為清潔地,進行氧化矽膜104成膜,能使缺陷密度減低,同時提高膜厚均勻性。
再者,藉由使2個處理在相同裝置內連續實施,尚有以下效果:能減少輸送或待機時間(Queuing-time),使生產效率提高,降低成本。
此第2實施形態之微細圖形之形成方法所使用的成膜裝置例,如圖14所示。
如圖14所示,第2實施形態之微細圖形之形成方法所使用的成膜裝置80’之基本構成,與圖8所示成膜裝置80相同,尤其,由於包含了含氧氣體供給機構14、Si來源氣體供給機構15,及電漿產生機構30,因此能與第1實施形態中所說明之氧化矽膜104成膜,進行同樣成膜。
再者,成膜裝置80’,包含含臭氧氣體供給機構14’。含臭氧氣體供給機構14’,包含含臭氧氣體供給源17’,此含臭氧氣體供給源17’通過流量控制器18d及開閉閥18c,而與例如含氧氣體配管18連接。藉此,能將含臭氧氣體對於處理容器1內供給。
於修整處理時,使用含臭氧氣體,例如臭氧氣體。使用臭氧氣體進行修整處理時,亦可不產生電漿。因此,含臭氧氣體只要在例如電漿產生機構30中,於對於電漿電極33不施加高頻電壓之狀態,通過分散噴嘴19對於處理容器1內供給即可。
依照圖14所示成膜裝置80’,由於除了含氧氣體供給機構14、Si來源氣體供給機構15及電漿產生機構30,尚包含含臭氧氣體供給機構14’,因此,於處理容器1內使用含臭氧氣體將抗蝕劑圖形103’進行修整處理後,能於相同處理容器1內,一面交替供給含有機矽之來源氣體及由電漿所產生之含有氧自由基等氧物質之氣體,一面進行氧化矽膜104成膜。因此,能維持抗蝕劑圖形103’之表面為清潔之狀態,以第1實施形態中已說明之方法進行氧化矽膜104之成膜,相較於第1實施形態,具有以下優點:能降低氧化矽膜104缺陷密度,同時能提高膜厚均勻性。
(第3實施形態)
圖15至圖23為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
第3實施形態,顯示將本發明微細圖形之形成方法理想地應用在實際半導體製程的一例。
首先,如圖15所示,與第1實施形態同樣地,在半導體基板101上形成薄膜102後,在薄膜102上形成硬遮罩膜106。硬遮罩膜106由與薄膜102不同之膜所構成,且由對於薄膜102能實現蝕刻選擇比之膜所構成。例如,於薄膜102為導電性矽膜之情形,硬遮罩膜106選擇例如氮化矽膜等。接著,於硬遮罩膜106上形成抗反射膜200。
其次,如圖16所示,在抗反射膜200上塗布光抗蝕劑,並形成光抗蝕劑膜。接著,使用光微影技術將光抗蝕劑膜加工為具既定間距p1之抗蝕劑圖形103。本例中,與第1實施形態同樣地,抗蝕劑圖形103具有例如線與間隔圖形,既定間距p1定為曝光裝置之解析極限程度。
其次如圖17所示,將抗蝕劑圖形103進行修整,得到經修整之抗蝕劑圖形103’(第1次修整處理)。修整處理之條件,可為與第1實施形態同樣條件。
其次如圖18所示,於經修整之抗蝕劑圖形103’及抗反射膜200上形成氧化矽膜104(硬化處理)。於第2實施形態中,氧化矽膜104之形成與第1實施形態同樣地,係於交替供給含有機矽之來源氣體及由電漿所產生之含有氧自由基等氧物質之氣體之狀態形成。
其次如圖19所示,於氧化矽膜104上形成抗反射膜201。接著,於抗反射膜201上塗布光抗蝕劑,並形成光抗蝕劑膜。接著,使用光微影技術,將光抗蝕劑膜加工為具既定間距p2之抗蝕劑圖形105。本例中,抗蝕劑圖形105與經修整之抗蝕劑圖形103,同樣地,具有線與間隔圖形。又,抗蝕劑圖形105之既定間距p2,定為曝光裝置之解析極限程度。再者,本例之抗蝕劑圖形105,配置於經修整之抗蝕劑圖形103’之間,且抗蝕劑圖形103’與抗蝕劑圖形105交替配置。
其次如圖20所示,將抗蝕劑圖形105修整,得到經修整之抗蝕劑圖形105’(第2次修整處理)。修整處理之條件,可為與第1實施形態同樣的條件。藉由第2次修整處理,形成由抗蝕劑圖形103’及105’所構成之抗蝕劑圖形,與第1實施形態同樣地,形成具解析極限以下之間距的抗蝕劑圖形。
其次如圖21所示,將抗蝕劑圖形103’及105’用於蝕刻之遮罩,將抗反射膜201、200及氧化矽膜104予以蝕刻,再者,將圖22所示硬遮罩膜106蝕刻。
其次如圖23所示,除去抗反射膜201、200、氧化矽膜104、抗蝕劑圖形103’及105’後,將硬遮罩膜106用於蝕刻之遮罩而將薄膜102蝕刻,將薄膜102加工為所望微細圖形。硬遮罩膜106之間距p3’,與抗蝕劑圖形103’及105’之間距p3大約相同,為解析極限以下。藉此,將硬遮罩膜106用於蝕刻之遮罩所蝕刻之薄膜102之間距p4,成為解析極限以下。依此方式,於第3實施形態,能形成具解析極限以下間距之微細圖形。
由於第3實施形態中亦為,於硬化處理時,交替地供給含有機矽之來源氣體及由電漿所激發含有氧自由基等氧物質之氣體,形成氧化矽膜104,因此,與第1實施形態同樣地,能使具解析極限以下間距之微細圖形之CD均勻性更為良好。
再者,依照第3實施形態,由於將硬遮罩膜106,用於蝕刻之遮罩進行薄膜102蝕刻,因此,相較於以抗蝕劑圖形103’及105’用於蝕刻之遮罩進行蝕刻之情形,能帶來能加工膜厚為厚的薄膜102的優點。
又,第3實施形態可以與第2實施形態組合,第1次修整處理(參照圖17)與硬化處理(參照圖18),可以於相同成膜裝置之中進行連續處理。於此情形中,與第2實施形態同樣地,能維持抗蝕劑圖形103’表面為清潔地進行氧化矽膜104之成膜,因此能使氧化矽膜104之膜厚均勻性更提高。
(第4實施形態)
圖24A至圖24I,為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
首先,如圖24A所示,與第1實施形態同樣地,在半導體基板101上形成薄膜102。本案說明書中,半導體基板101不僅代表半導體例如矽基板,亦包含在半導體基板內、或半導體基板上所形成之半導體元件或積體電路圖形所對應之導電膜、形成有將此等予以絕緣之層間絕緣膜之構造體。薄膜102為之後加工為微細圖形之膜,可為SiN或SiO2 之絕緣膜,亦可為如導電性多晶矽之導電膜。本例中,舉一例而言,薄膜102係定為SiN。接著,於薄膜102上塗布抗反射材料,並形成抗反射膜(BARC)200。
其次如圖24B所示,在抗反射膜200上塗布光抗蝕劑,形成光抗蝕劑膜,並使用光微影技術加工光抗蝕劑膜,得到具既定間距p1之抗蝕劑圖形103。本例中,抗蝕劑圖形103具線與間隔圖形,既定間距p1,定為曝光裝置之解析極限程度。
其次如圖24C所示,修整抗蝕劑圖形103,得到經修整之抗蝕劑圖形103’(本說明書中稱為第1次修整處理)。修整處理,例如於含有氧自由基或臭氧氣體之氛圍中,於室溫~100℃之溫度實施。
其次如圖24D所示,於經修整之抗蝕劑圖形103’及抗反射膜200上,形成與薄膜102、抗反射膜200、及抗蝕劑圖形103’不同之氧化矽膜104(硬化處理)。氧化矽膜104,與先前的實施形態同樣地,可使用參照圖8至圖10說明之成膜裝置80或參照圖14說明之成膜裝置80’而形成。
其次如圖24E所示,在氧化矽膜104上塗布抗反射材料,並形成抗反射膜(BARC)201。抗反射膜201之厚度,為其表面成為大致平坦之程度,藉此,使由於抗蝕劑圖形103’所產生之高低差充分地減低。
接著,於抗反射膜201上塗布光抗蝕劑,並形成光抗蝕劑膜。之後如圖24F所示,利用光微影技術將光抗蝕劑膜加工,形成具既定間距p2之抗蝕劑圖形105。本例中,抗蝕劑圖形105,與經修整之抗蝕劑圖形103’同樣地具有線與間隔圖形。又,抗蝕劑圖形105之既定間距p2,定為曝光裝置之解析極限程度。再者,本例之抗蝕劑圖形105,配置於經修整之抗蝕劑圖形103’之間,且抗蝕劑圖形103’與抗蝕劑圖形105交替配置。
其次,進行第2次修整處理。亦即,如圖24G所示,將抗蝕劑圖形105修整,得到經修整之抗蝕劑圖形105’(圖24H)。此修整處理,可於例如含有氧自由基或臭氧氣體之氛圍中,於室溫~100℃之溫度實施。依照至此為止所說明之步驟,形成抗蝕劑圖形103’與抗蝕劑圖形105’2個抗蝕劑圖形。此等2個抗蝕劑圖形103’、105’,如後所述,實質上功能為1個抗蝕劑圖形。此抗蝕劑圖形,由於係將圖形103’與105’交替配置之圖形,因此其間距p3為較間距p1及p2為窄的間距,本例中,成為間距p1及p2之大約1/2之間距。依此方式,藉由將各別形成之抗蝕劑圖形103’與105’交替配置,能形成具解析極限以下之間距的抗蝕劑圖形。
其次如圖24I所示,將抗蝕劑圖形103’及105’使用為蝕刻之遮罩,將抗反射膜201、200、氧化矽膜104及薄膜102蝕刻,並將薄膜102加工為所望微細圖形。經加工之薄膜102之間距p4,由於與抗蝕劑圖形103’及105’之間距p3大約相同,因此由薄膜102所構成之微細圖形之間距p4,能為解析極限以下。依此方式,第4實施形態中,能形成具解析極限以下間距之微細圖形。
又,若依照第4實施形態之微細圖形形成方法,由於在作為抗蝕劑圖形103’之保護膜的氧化矽膜104上,形成具使表面成為平坦之程度厚度的抗反射膜201,因此可於抗反射膜201上,形成輪廓更為明確之抗蝕劑圖形105(105’)。因此,能使將抗蝕劑圖形103’及105’作為遮罩層之薄膜102於蝕刻中的蝕刻精度全體提升。
又,本發明不限於上述實施形態,可進行各種變形。例如,上述實施形態中,係顯示將本發明應用於搭載多數半導體晶圓而進行一次成膜之批式成膜裝置之例,但不限於此,亦可應用在對於逐片晶圓進行成膜之單片式成膜裝置。又,乙氧基矽烷氣體及胺基矽烷氣體,不限於上述實施形態所示者。又,氧化劑已顯示藉由將各種含氧氣體予以電漿化所產生之氧自由基等,但不限於此等,只要能夠產生氧自由基等氧物質,則可利用任意氣體。再者,上述氧物質,不限於電漿,可為對於各種含氧氣體照射雷射光或紫外區光(波長:約350nm以下)而將氧分子等活化所產生者,又,亦可為將含氧氣體以熱活化所產生者。
又,上述實施形態之中,係完全交替地供給Si來源氣體及由電漿激發之含氧物質氣體,但是,供給Si來源氣體時,亦能供給藉由電漿等活化(激發)之含氧物質之氣體。
又,上述實施形態所說明之微細圖形之形成方法,可接續實施以下製程,而發展成製造半導體裝置的方法。亦即,於蝕刻對象薄膜102係以氧化矽、氧氮化矽、氮化矽等等絕緣性材料形成之情形,於進行將薄膜102蝕刻而得到微細圖形之步驟後(參照圖7、圖23,圖24I),進行將在此蝕刻中作為蝕刻之遮罩使用之抗蝕劑圖形103’、105’除去之步驟,則如圖25A所示,在半導體基板101上僅會殘留微細圖形(以下為求簡便,記載為絕緣膜102a)。接著,實施將膜102a之間隙以半導體例如矽填埋之步驟及使經填埋之半導體平坦化而露出絕緣膜102a之頂面之步驟,則如圖25B所示,交替地排列形成絕緣膜102a及矽部110a。若依此方式,能製造以矽部110a作為元件並以絕緣膜102a作為元件分離區域之半導體裝置。又,於將絕緣膜102a之間隙以半導體填埋時,亦可在半導體中添加既定雜質而進行傳導控制(傳導型及/或傳導度之控制)。又,亦可不進行抗蝕劑圖形103’、105’之除去步驟,而進行以半導體填埋之步驟。原因為,依此方式,亦能在平坦化步驟中將抗蝕劑圖形103’、105’除去。惟,將抗蝕劑圖形103’、105’除去之方式,於能將絕緣膜102a之間隙以半導體填埋時之高寬比減小之觀點,為較佳。
又,蝕刻對象薄膜102係以半導體,例如矽形成之情形,於實施將薄膜102蝕刻而得到微細圖形之步驟後(參照圖7、圖23、圖24I),實施將此蝕刻中作為蝕刻之遮罩使用之抗蝕劑圖形103’、105’除去之步驟,則僅有微細圖形(以下為求簡便,記載為矽膜102b)會殘留在半導體基板101上。接著,實施將矽膜102b之間隙以氧化矽、氧氮化矽、氮化矽等絕緣性材料填埋之步驟,及將經填埋之絕緣性材料平坦化而使矽膜102b之頂面露出之步驟,則如圖26B所示,交替地形成矽膜102b及絕膜110b。依此方式,能製造以矽膜102b作為元件並以絕緣部110b作為元件分離區域之半導體裝置。又,於薄膜102以半導體形成之情形,亦可藉由預先以離子佈植在薄膜102中添加既定雜質而進行傳導控制(傳導型及/或傳導度之控制)。又,於將薄膜102以CVD等形成之情形,亦可使用既定攙雜氣體添加雜質,並實施傳導控制。再者,亦可不實施將抗蝕劑圖形103’、105’除去之步驟,而以絕緣性材料實施填埋步驟。原因在於依此方式,亦能在平坦化步驟中,將抗蝕劑圖形103’、105’除去。惟,將抗蝕劑圖形103’、105’除去之方式,於能將絕緣膜102b之間隙以絕緣性材料填埋時之高寬比減小之觀點,為較佳。
再者,第1至3實施形態之微細圖形之形成方法,舉一例而言,亦能利用在圖27所示半導體的製造。圖27為所謂Fin型電場效電晶體(FET)之剖面圖,包含:薄膜102,由半導體形成,依照第1至第3實施形態其中之一的微細圖形之形成方法形成;絕緣層GOX,以夾持此薄膜102之方式形成;及電極G,與絕緣層GOX相接。依照本發明實施形態的微細圖形之形成方法,由於能夠形成具有較光微影可利用之曝光裝置之解析極限尺寸更窄之寬度的薄膜102,因此能使Fin型FET微細化,可提升密度。
再者,上述實施形態之中,係以形成電漿之機構一體地包括在處理容器為例説明,但不限定於此,亦可使用與處理容器分別設置,在處理容器之外,預先進行電漿化而導入處理容器之遠端電漿裝置。
又,成膜裝置80、80’之晶圓舟5,係以能搭載50~100片晶圓W進行了説明,但是搭載晶圓數不限於此範圍,例如成膜裝置80、80’,亦可具有能搭載較少數晶圓例如,2~15片左右之晶圓舟5。再者,成膜裝置80、80’亦可為目標在於將2~15片程度之較少數晶圓一次處理之所謂半批式(迷你批式)型之成膜裝置。
再者,被處理體不限於半導體晶圓,本發明亦可應用在LCD玻璃基板等其他基板。
再者,形成光抗蝕劑膜之前,雖形成了抗反射膜200、201,但是視需要,亦可形成抗反射膜200、201。
再者,抗蝕劑圖形103及105之修整,亦視需要實施即可。
本申請案依據2007年6月8日提申之日本專利申請案2007-153184號主張優先權,2007-153184號之全部內容在此援用。
GOX...絕緣層
G...電極
W...晶圓
1...處理容器
2...頂蓋板
3...歧管
4...密封構件
5...晶圓舟
6...支柱
7...保溫筒
8...檯
9...蓋部
10...旋轉軸
11...流體密封件
12...密封構件
13...臂
14...含氧氣體供給機構
14’...含臭氧氣體供給機構
15...Si來源氣體供給機構
16...沖洗氣體供給機構
17...含氧氣體供給源
17’...含臭氧氣體供給源
18...含氧氣體配管
18a、21a、24a...開閉閥
18b、21b、24b...流量控制器
18c...開閉閥
18d...流量控制器
19...含氧氣體分散噴嘴
19a...氣體噴吐孔
20...Si來源氣體供給源
21...Si來源氣體配管
22...Si來源氣體分散噴嘴
22a...氣體噴吐孔
23...沖洗氣體供給源
24...沖洗氣體配管
25...沖洗氣體噴嘴
30...電漿產生機構
31...開口
32...電漿區隔壁
33...電漿電極
34...供電線
35...高頻電源
36...絕緣保護套
37...排氣口
38...排氣口蓋部構件
39...氣體出口
40...加熱裝置
50...控制器
51...使用者介面
52...記憶部
52a...記憶媒體
80...成膜裝置
80’...成膜裝置
101...半導體基板
102...薄膜
102a...絕緣膜
102b...矽膜
103...抗蝕劑圖形
103...經修整之抗蝕劑圖形
104...氧化矽膜
105...抗蝕劑圖形
105’...經修整之抗蝕劑圖形
106...硬遮罩膜
110a...矽部
110b...絕緣膜
200...抗反射膜(BARC)
201...抗反射膜
圖1為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖2為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖3為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖4為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖5為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖6為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖7為本發明第1實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖8為本發明第1實施形態之微細圖形之形成方法所使用成膜裝置的縱剖面圖。
圖9為用於形成氧化矽膜104之成膜裝置一例橫剖面圖。
圖10為用於形成氧化矽膜104之成膜方法中,氣體供給時序的時序表。
圖11為用以說明實施氧化矽膜之成膜方法時之反應的示意圖。
圖12為比較使用O2 氣體電漿成膜時與使用O3 氣體成膜時之雜質量之圖。
圖13為本發明第2實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖14為本發明第2實施形態之微細圖形之形成方法所使用成膜裝置例的縱剖面圖。
圖15為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖16為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖17為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖18為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖19為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖20為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖21為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖22為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖23為本發明第3實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24A為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24B為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24C為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24D為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24E為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24F為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24G為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24H為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖24I為本發明第4實施形態之微細圖形之形成方法依照各主要製造步驟顯示的剖面圖。
圖25A為說明能接續第1至第3實施形態之微細圖形之形成方法實施的半導體裝置之製造方法的剖面圖。
圖25B為說明能接續第1至第3實施形態之微細圖形之形成方法實施的半導體裝置之製造方法的剖面圖。
圖26A為說明能接續第1至第3實施形態之微細圖形之形成方法實施的半導體裝置之製造方法的剖面圖。
圖26B為說明能接續第1至第3實施形態之微細圖形之形成方法實施的半導體裝置之製造方法的剖面圖。
圖27為說明能接續第1至第3實施形態之微細圖形之形成方法實施的半導體裝置之製造方法的剖面圖。
W...晶圓
1...處理容器
2...頂蓋板
3...歧管
4...密封構件
5...晶圓舟
6...支柱
7...保溫筒
8...檯
9...蓋部
10...旋轉軸
11...流體密封件
12...密封構件
13...臂
14...含氧氣體供給機構
15...Si來源氣體供給機構
16...沖洗氣體供給機構
17...含氧氣體供給源
18...含氧氣體配管
18a、21a、24a...開閉閥
18b、21b、24b...流量控制器
19...含氧氣體分散噴嘴
19a...氣體噴吐孔
20...Si來源氣體供給源
21...Si來源氣體配管
22...Si來源氣體分散噴嘴
22a...氣體噴吐孔
23...沖洗氣體供給源
24...沖洗氣體配管
25...沖洗氣體噴嘴
30...電漿產生機構
31...開口
32...電漿區隔壁
33...電漿電極
34...供電線
35...高頻電源
36...絕緣保護套
37...排氣口
38...排氣口蓋部構件
39...氣體出口
40...加熱裝置
50...控制器
51...使用者介面
52...記憶部
52a...記憶媒體
80...成膜裝置

Claims (20)

  1. 一種微細圖形之形成方法,包含:薄膜形成步驟,於基板上形成薄膜;遮罩膜形成步驟,加工該薄膜時,形成作為遮罩的膜;圖形加工步驟,將該作為遮罩的膜,加工為包含具既定間距之線的圖形;修整步驟,修整該包含線的圖形;成膜步驟,交替地供給來源氣體及經活化的氧物質,於該包含線的圖形及該薄膜上進行氧化膜成膜;其特徵在於:將該修整步驟與該成膜步驟,於將該氧化膜成膜的成膜裝置內連續進行。
  2. 如申請專利範圍第1項之微細圖形之形成方法,其中,該作為遮罩的膜係使用抗蝕劑膜。
  3. 如申請專利範圍第1項之微細圖形之形成方法,其中,該圖形加工步驟係利用光微影技術,加工為該包含具既定間距之線的圖形。
  4. 如申請專利範圍第1項之微細圖形之形成方法,其中,該修整步驟係使用含氧氣體電漿或含臭氧氣體進行修整。
  5. 如申請專利範圍第1項之微細圖形之形成方法,其中,該來源氣體係使用胺基矽烷系前驅體。
  6. 如申請專利範圍第1項之微細圖形之形成方法,其中,該經活化的氧物質係使用由電漿所激發的含有氧自由基。
  7. 如申請專利範圍第5項之微細圖形之形成方法,其中,該胺基矽烷系前驅體為1價或2價之胺基矽烷系前驅體。
  8. 如申請專利範圍第7項之微細圖形之形成方法,其中,該1價或2價之胺基矽烷系前驅體擇自於BTBAS(雙第三丁基胺基矽烷)、BDMAS(雙二甲基胺基矽烷)、 BDEAS(雙二乙基胺基矽烷)、DMAS(二甲基胺基矽烷)、DEAS(二乙基胺基矽烷)、DPAS(二丙基胺基矽烷)、BAS(丁基胺基矽烷)、DIPAS(二異丙基胺基矽烷),及BEMAS(雙乙基甲基胺基矽烷)至少其中之一。
  9. 如申請專利範圍第6項之微細圖形之形成方法,其中,該由電漿所激發的含有氧自由基,係將擇自於O2 氣體、NO氣體、N2 O氣體、H2 O氣體、O3 氣體之中至少1種電漿化而得。
  10. 一種微細圖形之形成方法,包含:薄膜形成步驟,於基板上形成薄膜;第1遮罩膜形成步驟,加工該薄膜時,形成作為第1遮罩的膜;第1圖形加工步驟,將該作為第1遮罩的膜,加工為包含具既定間距之線的第1圖形;第1修整步驟,於進行氧化膜成膜的成膜裝置內,修整該第1圖形;成膜步驟,以與該第1修整步驟連續進行方式,交替地供給來源氣體與經活化的氧物質至該成膜裝置內,於藉由該第1修整步驟所修整的該第1圖形及該薄膜上,進行氧化膜成膜;第2遮罩膜形成步驟,於該氧化膜上,形成作為第2遮罩的膜;第2圖形加工步驟,將該作為第2遮罩的膜,加工為包含具既定間距之線的第2圖形;第2修整步驟,修整該第2圖形;其特徵在於:以形成有該氧化膜之該第1圖形及經修整之該第2圖形作為遮罩,進行該薄膜的加工。
  11. 如申請專利範圍第10項之微細圖形之形成方法,其中,該作為第1遮罩的膜及該作為第2遮罩的膜係使用抗蝕劑膜。
  12. 如申請專利範圍第10項之微細圖形之形成方法,其中,該第1圖形加工步驟係利用光微影技術,加工為該包含具既定間距之線的第1圖形,該第2圖形加工步驟係利用光微影技術,加工為該包含具既定間距之線的第2圖形。
  13. 如申請專利範圍第10項之微細圖形之形成方法,其中,該第1修整步驟及該第2修整步驟係使用含氧氣體電漿或含臭氧氣體進行修整。
  14. 如申請專利範圍第10項之微細圖形之形成方法,其中,該來源氣體係使用胺基矽烷系前驅體。
  15. 如申請專利範圍第10項之微細圖形之形成方法,其中,該經活化的氧物質係使用由電漿所激發的含有氧自由基。
  16. 如申請專利範圍第14項之微細圖形之形成方法,其中,該胺基矽烷系前驅體為1價或2價之胺基矽烷系前驅體。
  17. 如申請專利範圍第16項之微細圖形之形成方法,其中,該1價或2價之胺基矽烷系前驅體擇自於BTBAS(雙第三丁基胺基矽烷)、BDMAS(雙二甲基胺基矽烷)、BDEAS(雙二乙基胺基矽烷)、DMAS(二甲基胺基矽烷)、DEAS(二乙基胺基矽烷)、DPAS(二丙基胺基矽烷)、BAS(丁基胺基矽烷)、DIPAS(二異丙基胺基矽烷),及BEMAS(雙乙基甲基胺基矽烷)至少其中之一。
  18. 如申請專利範圍第15項之微細圖形之形成方法,其中,該由電漿所激發的含有氧自由基,係將擇自於O2 氣體、NO氣體、 N2 O氣體、H2 O氣體、O3 氣體之中至少1種電漿化而得。
  19. 一種成膜裝置,具備:處理容器,用以處理包含具既定間距之線的圖形之基板;來源氣體供給機構,用以對該處理容器內供給來源氣體;含氧氣體供給機構,用以對該處理容器內供給含氧氣體;及電漿產生機構,用以形成由該含氧氣體所激發的含有氧自由基;其特徵在於包含:控制機構,控制該來源氣體供給機構、該含氧氣體供給機構、與該電漿產生機構,藉由對該處理容器內供給含有氧自由基,來修整該包含具既定間距之線的圖形,藉由對處理容器內交替地供給來源氣體與含有氧自由基,而在該包含具既定間距之線的圖形上進行氧化膜成膜,並使得該修整與該氧化膜成膜於該處理容器內連續進行。
  20. 一種成膜裝置,具備:處理容器,用以處理包含具既定間距之線的圖形之基板;來源氣體供給機構,用以對該處理容器內供給來源氣體;含氧氣體供給機構,用以對該處理容器內供給含氧氣體;含臭氧氣體供給機構,用以對該處理容器內供給含臭氧氣體;及電漿產生機構,用以形成由該含氧氣體所激發的含有氧自由基;其特徵在於包含:控制機構,控制該來源氣體供給機構、該含氧氣體供給機構、該含臭氧氣體供給機構與該電漿產生機構,藉由對處理容器內供給含臭氧氣體,來修整包含具既定間距之線的圖形,藉由對處理容器內交替地供給來源氣體及含有氧自由基,而在該包含具既定間距之線的圖形上進行氧化膜成膜,並使得該修整與該氧化膜成 膜於該處理容器內連續進行。
TW100122285A 2007-06-08 2008-06-09 微細圖形之形成方法及成膜裝置 TWI498941B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007153184 2007-06-08

Publications (2)

Publication Number Publication Date
TW201145355A TW201145355A (en) 2011-12-16
TWI498941B true TWI498941B (zh) 2015-09-01

Family

ID=40093792

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100122285A TWI498941B (zh) 2007-06-08 2008-06-09 微細圖形之形成方法及成膜裝置
TW097121381A TW200917335A (en) 2007-06-08 2008-06-09 Patterning method

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW097121381A TW200917335A (en) 2007-06-08 2008-06-09 Patterning method

Country Status (5)

Country Link
US (2) US7989354B2 (zh)
JP (2) JP4659856B2 (zh)
KR (3) KR101217778B1 (zh)
TW (2) TWI498941B (zh)
WO (1) WO2008149988A1 (zh)

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
KR101217778B1 (ko) * 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8004045B2 (en) * 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP2010161162A (ja) * 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5275093B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP5363856B2 (ja) * 2009-03-30 2013-12-11 富士フイルム株式会社 パターン形成方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5385001B2 (ja) * 2009-05-08 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5023128B2 (ja) 2009-10-07 2012-09-12 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
EP2378543B1 (en) * 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
JP5192016B2 (ja) * 2010-05-07 2013-05-08 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI471669B (zh) * 2012-11-15 2015-02-01 Chunghwa Picture Tubes Ltd 窄間距線路之形成方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5519059B2 (ja) * 2013-05-23 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9760008B2 (en) * 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9165765B1 (en) 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6559430B2 (ja) 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
JP6249970B2 (ja) * 2015-01-30 2017-12-20 東京エレクトロン株式会社 半導体装置の製造方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6788400B2 (ja) * 2016-07-08 2020-11-25 東京エレクトロン株式会社 被処理体を処理する方法
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6895352B2 (ja) 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW388079B (en) * 1996-11-29 2000-04-21 Canon Sales Co Inc Film growth pretreatment method and manufacture of semiconductor device
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
TW200535277A (en) * 2004-03-30 2005-11-01 Tokyo Electron Ltd Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
TW200721307A (en) * 2005-08-02 2007-06-01 Tokyo Electron Ltd Method and apparatus for forming silicon-containing insulating film

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62259445A (ja) * 1986-05-06 1987-11-11 Hitachi Ltd パタ−ン形成方法
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
JPH11135628A (ja) * 1997-10-31 1999-05-21 Nippon Steel Corp 半導体装置の製造方法
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
KR100343144B1 (ko) * 1999-10-06 2002-07-05 윤종용 원자층 증착법을 이용한 박막 형성 방법
US6365428B1 (en) * 2000-06-15 2002-04-02 Sandia Corporation Embedded high-contrast distributed grating structures
KR20030003906A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 반도체 소자의 콘택 형성방법 및 그에 따라 제조된 반도체메모리 소자
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20070003336A (ko) * 2005-07-01 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4193866B2 (ja) * 2006-04-27 2008-12-10 住友電気工業株式会社 半導体発光素子の製造方法
JP2008240077A (ja) * 2007-03-28 2008-10-09 Canon Anelva Corp Ald装置及びこれを用いた成膜方法
KR101217778B1 (ko) * 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW388079B (en) * 1996-11-29 2000-04-21 Canon Sales Co Inc Film growth pretreatment method and manufacture of semiconductor device
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
TW200535277A (en) * 2004-03-30 2005-11-01 Tokyo Electron Ltd Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
TW200721307A (en) * 2005-08-02 2007-06-01 Tokyo Electron Ltd Method and apparatus for forming silicon-containing insulating film

Also Published As

Publication number Publication date
JP5156086B2 (ja) 2013-03-06
TW201145355A (en) 2011-12-16
KR20110117226A (ko) 2011-10-26
JP2009016815A (ja) 2009-01-22
KR101101785B1 (ko) 2012-01-05
US20110237082A1 (en) 2011-09-29
TW200917335A (en) 2009-04-16
KR20090096408A (ko) 2009-09-10
US8383522B2 (en) 2013-02-26
JP4659856B2 (ja) 2011-03-30
WO2008149988A1 (ja) 2008-12-11
TWI364783B (zh) 2012-05-21
KR101073858B1 (ko) 2011-10-14
KR20110036129A (ko) 2011-04-06
US20100130015A1 (en) 2010-05-27
US7989354B2 (en) 2011-08-02
KR101217778B1 (ko) 2013-01-02
JP2011082560A (ja) 2011-04-21

Similar Documents

Publication Publication Date Title
TWI498941B (zh) 微細圖形之形成方法及成膜裝置
KR101011490B1 (ko) 패터닝 방법
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
KR101131709B1 (ko) 반도체 처리용 성막 방법, 반도체 처리용 성막 장치, 및컴퓨터로 판독 가능한 매체
TWI618135B (zh) 用於鹵化物驅氣的處理系統及方法
TWI462179B (zh) 用以形成氧化矽膜之成膜方法與裝置
JP5661523B2 (ja) 成膜方法及び成膜装置
TWI409858B (zh) 膜形成方法
TWI516631B (zh) 半導體處理用之批次化學氣相沉積方法及設備
TWI422995B (zh) A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus
JP5258229B2 (ja) 成膜方法および成膜装置
KR20160084313A (ko) 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭
JP5425404B2 (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
TW201417170A (zh) 圖案形成方法及基板處理系統
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
US20110039389A1 (en) Manufacturing method of semiconductor device
US20110065280A1 (en) Mask pattern forming method and semiconductor device manufacturing method
TW201903885A (zh) 含矽間隔物的選擇性形成
JP2019029619A (ja) 被処理体を処理する方法