TWI409858B - 膜形成方法 - Google Patents

膜形成方法 Download PDF

Info

Publication number
TWI409858B
TWI409858B TW096101617A TW96101617A TWI409858B TW I409858 B TWI409858 B TW I409858B TW 096101617 A TW096101617 A TW 096101617A TW 96101617 A TW96101617 A TW 96101617A TW I409858 B TWI409858 B TW I409858B
Authority
TW
Taiwan
Prior art keywords
gas
supply
domain
process gas
film
Prior art date
Application number
TW096101617A
Other languages
English (en)
Other versions
TW200739691A (en
Inventor
Pao Hwa Chou
Kazuhide Hasebe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200739691A publication Critical patent/TW200739691A/zh
Application granted granted Critical
Publication of TWI409858B publication Critical patent/TWI409858B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

膜形成方法
本發明係關於一種膜形成方法及用於在諸如半導體晶圓之目標基板上形成絕緣膜的半導體製程用裝置。本文所使用之術語"半導體製程"包括經執行以藉由在諸如一半導體晶圓或用於FPD(平板顯示器)(例如,LCD(液晶顯示器))之玻璃基板之目標基板上以預定圖案來形成半導體層、絕緣層及導電層而製造一半導體設備或製造一在該目標基板上具有連接至一半導體設備之布線層、電極及其類似物的結構的各種製程。
在製造用於構成半導體積體電路之半導體設備中,諸如半導體晶圓(例如由矽製成)之目標基板經受諸如膜形成、蝕刻、氧化、擴散、重新形成、退火及自然氧化膜移除的各種製程。US 2003/0224618 A1揭示一種在垂直熱處理裝置(所謂成批類型)中執行的此種半導體處理方法。根據此方法,半導體晶圓首先自晶圓盒傳送至垂直晶舟上且在垂直方向上以一定間隔支撐於晶舟上。晶圓盒可儲存(例如)25個晶圓,而晶舟可支撐30至150個晶圓。接著,將晶舟自下載入一製程容器中,且該製程容器為氣密地封閉的。接著,執行一預定加熱製程,同時控制諸如製程氣體流率、製程壓力及製程溫度之製程條件。
為了改良半導體積體電路之效能,改良用於半導體設備中之絕緣膜的性質為重要的。半導體設備包括由諸如SiO2 、PSG(磷矽玻璃)、P-SiO(藉由電漿CVD形成)、P-SiN(藉由電漿CVD形成)、SOG(旋塗式玻璃)及Si3 N4 (氮化矽)之材料製成的絕緣膜。特定言之,廣泛使用氮化矽膜,因為與氧化矽膜相比,氮化矽膜具有更好的絕緣性質,且氮化矽膜可充分用作蝕刻擋止膜或層間絕緣膜。
已知用於藉由熱CVD(化學氣相沈積)來在半導體晶圓之表面上形成氮化矽膜的若干種方法。在此熱CVD中,將諸如單矽烷(SiH4 )、二氯矽烷(DCS:SiH2 Cl2 )、六氯-二矽烷(HCD:Si2 Cl6 ),或雙第三丁基胺基矽烷(BTBAS:SiH2 (NH(C4 H9 )2 ))之矽烷族氣體用作矽源氣體。舉例而言,氮化矽膜係使用SiH2 Cl2 +NH3 (見日本專利申請KOKAI公開案第11-87341號)或Si2 Cl6 +NH3 之氣體組合藉由熱CVD來形成。
近年來,由於半導體積體電路之增長的小型化以及整合的需求,需要緩和半導體設備在製造步驟中的熱歷程,藉此改良設備之特性。對於垂直處理裝置而言,亦需要根據上述需求來改良半導體處理方法。舉例而言,存在一種用於膜形成製程之CVD(化學氣相沈積)方法,該方法在間歇地供應源氣體時執行膜形成,及以同樣的方式繼續一個接著一個或幾個接著幾個地(例如,日本專利申請公開案第6-45256號及第11-87341號)重複形成各自具有原子級或分子級厚度之層。一般而言,此膜形成方法被稱為ALD(原子層沈積),其允許在晶圓未暴露於非常高之溫度下時執行預定製程。
舉例而言,在將二氯矽烷(DCS)及NH3 分別作為矽烷族氣體及氮化氣體來供應以形成氮化矽膜(SiN)之情況下,如下執行製程。特定言之,將DCS及NH3 氣體交替地及間歇地供應至製程容器中,期間穿插有沖洗期間。當供應NH3 氣體時,施加RF(射頻)以在製程容器內產生電漿以促進氮化反應。更特定言之,當將DCS供應至製程容器中時,具有一分子或更大之厚度的DCS層被吸附於晶圓之表面上。在沖洗期間當中移除多餘的DCS。接著,供應NH3 ,且電漿產生,藉此執行低溫氮化以形成氮化矽膜。重複此等順序步驟以完成具有預定厚度之膜。
當形成如上所述之彼等中之一者的絕緣膜且接著在絕緣膜上形成另一薄膜時,諸如有機物質及微粒之污染物可能已黏著至絕緣膜之表面。因此,必要時,執行清潔製程以移除污染物。在此清潔製程中,將半導體晶圓浸入諸如稀氫氟酸之清潔溶液中以對絕緣膜之表面執行蝕刻。因此,將絕緣膜之表面蝕刻非常少的量,藉此移除污染物。
在此絕緣膜係在(例如)約760℃之較高製程溫度下藉由CVD來形成的情況下,在清潔製程期間絕緣膜之蝕刻率非常小。因此,絕緣膜不被清潔過度地蝕刻,且因此清潔製程係在膜厚度具有高可控性之情況下執行的。然而,在存在作為下部層之具有低耐熱性之薄膜之情況下,高溫下之熱CVD製程為不適合的。
另一方面,在此絕緣膜係在(例如)約400℃之較低製程溫度下藉由ALD膜形成來形成之情況下,在清潔製程期間絕緣膜之蝕刻率相對大。因此,絕緣膜可能會被清潔製程過度蝕刻,且因此清潔製程必定使膜厚度之可控性降低。
此外,氮化矽膜可用作一蝕刻擋止膜或層間絕緣膜。在此種情況下,氮化矽膜之蝕刻率必須非常低。然而,習知膜形成方法不可滿足此要求。
本發明之一目標為提供一種形成一絕緣膜之方法及裝置,該方法及裝置在膜形成中可使用相對低的製程溫度,且在清潔製程期間使該膜之蝕刻率降低,使得清潔製程可在膜厚度具有高可控性之情況下經執行,同時允許該膜充分用作一蝕刻擋止膜或層間絕緣膜。應注意,本發明為揭示於日本專利申請公開案第2003-282566號(2003年10月3日)及US 2006/0205231 A1(2006年9月14日)中之發明的修改。
根據本發明之第一態樣,提供一種半導體製程用之膜形成方法,其用於在一經組態以選擇性供應有含有矽烷族氣體之第一製程氣體、含有氮化氣體之第二製程氣體及含有碳氫化物氣體之第三製程氣體的製程域中,藉由CVD在目標基板上形成絕緣膜,該方法包含重複執行:第一製程氣體對該製程域之供應;第二製程氣體對該製程域之供應;及第三製程氣體對該製程域之供應,其中該第三製程氣體之供應包含一在以激發機構來激發該第三製程氣體的同時將第三製程氣體供應至該製程域之激發期間。
根據第一態樣之方法可交替地包含:第一步驟,其執行該第一製程氣體對該製程域之供應;第二步驟,其停止第一、第二及第三製程氣體對該製程域之供應;第三步驟,其在停止該第一及第二製程氣體對該製程域之供應時,執行該第三製程氣體對該製程域之供應,該第三步驟包含該激發期間;第四步驟,其停止該第一、第二及第三製程氣體對該製程域之供應;第五步驟,其在停止該第一及第三製程氣體對該製程域之供應時,執行該第二製程氣體對該製程域之供應;及第六步驟,其停止該第一、第二及第三製程氣體對該製程域之供應。
或者,根據第一態樣之方法可交替包含:第一步驟,其在停止該第三製程氣體對該製程域之供應時,執行該第一及第二製程氣體對該製程域之供應;第二步驟,其停止該第一、第二及第三製程氣體對該製程域之供應;第三步驟,其在停止該第一及第二製程氣體對該製程域之供應時,執行該第三製程氣體對該製程域之供應,該第三步驟包含該激發期間;及第四步驟,其停止該第一、第二及第三製程氣體對該製程域之供應。
或者,根據第一態樣之方法可交替包含:第一步驟,其執行該第一氣體對該製程域之供應;第二步驟,其停止該第一、第二及第三製程氣體對該製程域之供應;第三步驟,其在停止該第一製程氣體對該製程域之供應時,執行該第二及第三製程氣體對該製程域之供應,該第三步驟包含該激發期間;及第四步驟,其停止該第一、第二及第三製程氣體對該製程域之供應。
或者,根據第一態樣之方法可交替包含:第一步驟,其執行該第一製程氣體對該製程域之供應;第二步驟,其停止該第一、第二及第三製程氣體對該製程域之供應;第三步驟,其在停止該第一及第二製程氣體對該製程域之供應時,執行該第三製程氣體對該製程域之供應,第三步驟包含該激發期間;第四步驟,其在停止該第一及第三製程氣體對該製程域之供應時,執行該第二製程氣體對該製程域之供應,該第四步驟包含在以活化機構來激發該第二製程氣體的同時,將第二製程氣體供應至該製程域的活化期間;及第五步驟,其停止該第一、第二及第三製程氣體對該製程域之供應。
根據本發明之第二態樣,提供一種半導體製程用膜形成裝置,該裝置包含:一製程容器,其具有一經組態以容納一目標基板之製程域;一支撐部件,其經組態以支撐該製程域內部之該目標基板;一加熱器,其經組態以加熱該製程域內部之該目標基板;一排氣系統,其經組態以排放該製程域內部之氣體;一第一製程氣體供應迴路,其經組態以將含有矽烷族氣體之第一製程氣體供應至該製程域;一第二製程氣體供應迴路,其經組態以將含有氮化氣體之第二製程氣體供應至該製程域;一第三製程氣體供應迴路,其經組態以將含有碳氫化物氣體之第三製程氣體供應至該製程域;及一控制部,其經組態以控制該裝置之運作,其中,為了藉由CVD來在目標基板上形成絕緣膜,該控制部重複執行:第一製程氣體對該製程域之供應;第二製程氣體對該製程域之供應;及第三製程氣體對該製程域之供應,其中第三製程氣體之供應包含在以激發機構來激發該第三製程氣體的同時,將該第三製程氣體供應至該製程域的激發期間。
根據本發明之第三態樣,提供一種含有用以在處理器上執行之程式指令的電腦可讀媒體,該媒體用於在一經組態以選擇性供應有含有矽烷族氣體之第一製程氣體、含有氮化氣體之第二製程氣體及含有碳氫化物氣體之第三製程氣體的製程域中,藉由CVD來在目標基板上形成絕緣膜的半導體製程用膜形成裝置,其中該等程式指令在由處理器執行時使膜形成裝置重複執行:該第一製程氣體對該製程域之供應;該第二製程氣體對該製程域之供應;及該第三製程氣體對該製程域之供應,其中該第三製程氣體之供應包含在以激發機構來激發該第三製程氣體的同時,將第三製程氣體供應至製程域的激發期間。
本發明之額外目標及優勢將陳述於以下描述中,且部分地自描述顯而易見,或可藉由本發明之實踐而獲知。本發明之目標及優勢可藉由在下文中特定指出之手段及組合來實現及獲得。
現將參看附圖來描述本發明之實施例。在以下描述中,具有大體上相同之功能及配置的構成元件由相同的參考數字表示,且僅在需要時進行重複描述。
圖1為展示根據本發明之一實施例之膜形成裝置(垂直CVD裝置)的截面正視圖。圖2為展示圖1所示之裝置之部分的截面平面圖。膜形成裝置2具有一經組態以選擇性供應有含有作為矽烷族氣體之二氯矽烷(DCS)的第一製程氣體、含有作為氮化氣體之氨(NH3 )氣的第二製程氣體,及含有作為碳氫化物氣體之C2 H4 氣體(乙烯氣體)的第三製程氣體的製程域。膜形成裝置2經組態以在藉由電漿活化乙烯氣體時在製程域中藉由CVD來在目標基板上形成係含有碳之絕緣膜的SiCN膜。應注意,必要時,亦可活化氨氣。
裝置2包括一具有一頂及一開放底部的形狀為圓柱形的製程容器4,在製程容器4中界定一製程域5以容納及處理在垂直方向上以一定間隔堆疊之複數個半導體晶圓(目標基板)。製程容器4完全由(例如)石英製成。製程容器4之頂部具備一石英頂板6以氣密地密封頂部。製程容器4之底部經由一諸如O形環之密封部件10來連接至圓柱形歧管8。製程容器可完全由圓柱形石英柱形成,而無需獨立形成歧管8。
歧管8由(例如)不銹鋼製成且支撐製程容器4之底部。一由石英製成之晶舟12上下移動通過歧管8之底部埠,使得將晶舟12載入製程容器4或自製程容器4卸載。許多目標基板或半導體晶圓W堆疊於晶舟12上。舉例而言,在此實施例中,晶舟12具有可支撐在垂直方向上以大體上規則的間隔排列之具有300 mm直徑的(例如)約50至100個晶圓的支柱12A。
晶舟12經由石英製成之熱絕緣圓柱14來置放於台16上。台16由一旋轉軸20支撐,旋轉軸20穿透由(例如)不銹鋼製成之蓋18且用於打開/關閉歧管8之底部埠。
蓋18之被旋轉軸20穿透之部分具備(例如)磁性流體密封部分22,使得旋轉軸20以氣密地密封狀態來旋轉地被支撐。諸如O形環之密封部件24***於蓋18之周邊與歧管8之底部之間,使得製程容器4之內部可保持為密封的。
旋轉軸20附著於由諸如晶舟升降機之升降機構25支撐的臂26之遠端處。升降機構25將晶舟12及蓋18整體地上下移動。台16可固定至蓋18,使得在晶舟12並未旋轉之情況下處理晶圓W。
一氣體供應部分連接至歧管8之側以將預定之製程氣體供應至製程容器4內之製程域5。特定言之,氣體供應部分包括第一製程氣體供應迴路30、第二製程氣體供應迴路32、第三製程氣體供應迴路28及沖洗氣體供應迴路36。第一製程氣體供應迴路30經配置以供應含有諸如DCS(二氯矽烷)氣體之矽烷族氣體的第一製程氣體。第二製程氣體供應迴路32經配置以供應含有諸如氨(NH3 )氣之氮化氣體的第二製程氣體。第三製程氣體供應迴路28經配置以供應含有諸如C2 H4 氣體(乙烯氣體)之碳氫化物氣體的第三製程氣體。沖洗氣體供應迴路36經配置以供應作為沖洗氣體之諸如N2 氣體的非活性氣體。必要時,將第一至第三製程氣體中之每一者與適合量之載運氣體混合。然而,為解釋之簡單性,在下文中將不提及此載運氣體。
更特定言之,第三、第一及第二製程氣體供應迴路28、30及32分別包括氣體分佈噴嘴38、40及42,每一噴嘴由自外部穿透歧管8之側壁且接著轉向且向上延伸的石英管形成(見圖1)。氣體分佈噴嘴38、40及42分別具有複數個氣體噴射孔38A、40A及42A,每一組孔在縱向(垂直方向)上以預定間隔來形成於晶舟12上之所有晶圓W上方。氣體噴射孔38A、40A及42A中之每一者在水平方向上幾乎均一地輸送相應製程氣體,以形成與晶舟12上之晶圓W平行的氣流。沖洗氣體供應迴路36包括一自外部穿透歧管8之側壁的短氣體噴嘴46。
噴嘴38、40、42及46分別經由氣體供應管線(氣體通道)48、50、52及56來分別連接至C2 H4 氣體、DCS氣體、NH3 氣體及N2 氣體之氣體源28S、30S、32S及36S。氣體供應管線48、50、52及56分別具備開關閥48A、50A、52A及56A及諸如大流量控制器之流率控制器48B、50B、52B及56B。在此配置之情況下,可以受控之流率來供應C2 H4 氣體、DCS氣體、NH3 氣體及N2 氣體。
一氣體激發部分(活化部分)66在垂直方向上形成於製程容器4之側壁處。在製程容器4的與氣體激發部分66相對的側上,一用於真空排盡內部大氣的長且細之排氣埠68係藉由在(例如)垂直方向上切割製程容器4之側壁來形成的。
特定言之,氣體激發部分66具有一藉由在垂直方向上切割製程容器4之一預定寬度之側壁來形成的垂直的長且細之開口70。開口70覆蓋有藉由焊接而氣密地連接至製程容器4之外表面的石英覆蓋層72。覆蓋層72具有一具凹入橫截面之垂直的長且細之形狀,使得其自製程容器4向外突出。
在此配置之情況下,氣體激發部分66形成,使得其自製程容器4之側壁向外突出且在另一側上向製程容器4之內部開放。換言之,氣體激發部分66之內部空間與製程容器4內之製程域5連通。開口70具有一在垂直方向上足以覆蓋晶舟12上之所有晶圓W的垂直長度。
一對長且細之電極74安置於覆蓋層72之相對外表面上且在縱向(垂直方向)上延伸時朝向彼此。電極74經由饋線78來連接至用於電漿產生之RF(射頻)電源76。將(例如)13.56 MHz之RF電壓施加至電極74以在電極74之間形成用於激發電漿之RF電場。RF電壓之頻率不限於13.56 MHz,且其可設定為另一頻率,例如,400 kHz。
第三製程氣體之氣體分佈噴嘴38在低於晶舟12上之最低晶圓W的位置處在製程容器4之徑向上向外彎曲。接著,氣體分佈噴嘴38在氣體激發部分66中之最深位置(距製程容器4之中央最遠之位置)處垂直延伸。亦如圖2所示,氣體分佈噴嘴38自夾於該對電極74之間的區域(RF電場最強的位置),亦即,實際上產生主要電漿之電漿產生區域PS向外分離。含有C2 H4 氣體之第三製程氣體自氣體分佈噴嘴38之氣體噴射孔38A朝著電漿產生區域PS噴射。接著,在電漿產生區域PS中激發(分解或活化)第三製程氣體,且將第三製程氣體以此狀態供應至晶舟12上之晶圓W上。
一由(例如)石英製成之絕緣保護覆蓋層80附著於覆蓋層72之外表面上且覆蓋覆蓋層72之外表面。一冷卻機構(未圖示)安置於絕緣保護覆蓋層80中且包含分別面朝電極74之冷卻劑通道。冷卻劑通道供應有諸如冷卻氮氣的冷卻劑以冷卻電極74。絕緣保護覆蓋層80覆蓋有安置於外表面上以防止RF洩漏的屏蔽罩(未圖示)。
在氣體激發部分66之開口70附近及外部的位置處,安置第一及第二製程氣體之氣體分佈噴嘴40及42。特定言之,氣體分佈噴嘴40在開口70之外部的一側上(在製程容器4中)向上延伸,且氣體分佈噴嘴42在與氣體分佈噴嘴40相對之另一側上向上延伸。含有DCS氣體之第一製程氣體及含有NH3 氣體之第二製程氣體分別自氣體分佈噴嘴40及42之氣體噴射孔40A及42A朝著製程容器4之中央噴射。
另一方面,與氣體激發部分66相對地形成之排氣埠68覆蓋有一排氣埠覆蓋部件82。排氣埠覆蓋部件82由具有U形橫截面之石英製成,且藉由焊接來附著。排氣埠覆蓋部件82沿著製程容器4之側壁向上延伸,且在製程容器4之頂部處具有一出氣口84。出氣口84連接至包括一真空泵等等之真空排氣系統GE。
製程容器4由用於加熱製程容器4內之大氣及晶圓W的加熱器86圍繞。一熱電偶(末圖示)安置於製程容器4中排氣埠68附近以控制加熱器86。
膜形成裝置2進一步包括一由(例如)電腦形成之主控制部60,以控制整個裝置。主控制部60可根據預先儲存於其記憶體212中之關於(例如)待形成之膜的膜厚度及組份的膜形成製程之製程配方來控制下文所描述之膜形成製程。在記憶體212中,亦預先將製程氣體流率與膜之厚度及組份之間的關係儲存為控制資料。因此,主控制部60可基於所儲存之製程配方及控制資料來控制升降機構25、氣體供應迴路28、30、32及36、排氣系統GE、氣體激發部分66、加熱器86等等。
圖3為展示圖1所示之裝置之修改的部分的截面平面圖。在使用圖3所示之結構時,本發明不僅包括一利用含有諸如C2 H4 氣體之碳氫化物氣體的第三製程氣體的活化的製程,且亦包括一利用含有諸如NH3 氣體之氮化氣體的第二製程氣體的活化的製程。為達成此目的,圖3所示之修改經配置,使得第二製程氣體之氣體分佈噴嘴42'與第三製程氣體之氣體分佈噴嘴38相鄰而定位。特定言之,第二製程氣體之氣體分佈噴嘴42'在低於晶舟12上之最低晶圓W的位置處在製程容器4之徑向上向外彎曲。接著,氣體分佈噴嘴42'在氣體激發部分66中之最深位置處(距製程容器4之中央最遠的位置)垂直延伸。亦如圖3所示,氣體分佈噴嘴42'自夾於該對電極74之間的一區域(RF電場最強的位置),亦即,實際上產生主電漿之電漿產生區域PS向外分離。含有NH3 氣體之第二製程氣體自氣體分佈噴嘴42'之氣體噴射孔42A朝著電漿產生區域PS噴射。接著,在電漿產生區域PS中激發(分解或活化)第二製程氣體,且將第二製程氣體以此狀態供應於晶舟12上之晶圓W上。
接下來,將給出在圖1所示之裝置中執行之膜形成方法(所謂ALD(原子層沈積)膜形成)的解釋。
<第一實施例>
在根據第一實施例之膜形成方法中,一SiCN(矽碳氮化物)絕緣膜藉由CVD形成於半導體晶圓上。為了達成此目的,將含有作為矽烷族氣體之二氯矽烷(DCS)氣體之第一製程氣體、含有作為氮化氣體之氨氣(NH3 )之第二製程氣體及含有作為碳氫化物氣體之C2 H4 氣體(乙烯氣體)之第三製程氣體選擇性地供應至容納晶圓W之製程域5中。
首先,將在室溫下支撐具有300 mm之直徑的許多(例如,50至100個)晶圓的晶舟12載入在預定溫度下加熱之製程容器4中,且氣密地關閉製程容器4。接著,製程容器4之內部經真空排盡且保持於預定製程壓力下,且晶圓溫度增加至用於膜形成之製程溫度。此時,裝置處於等待狀態直至溫度變為穩定為止。接著,在使晶舟12旋轉時,以受控之流率自各別氣體分佈噴嘴40、42及38來間歇地供應第一至第三製程氣體。
特定言之,含有DCS氣體之第一製程氣體自氣體分佈噴嘴40之氣體噴射孔40A供應以形成與晶舟12上之晶圓W平行之氣流。在供應DCS氣體時,DCS氣體之分子及由其分解而產生之分解產物的分子及原子吸附於晶圓W上。含有NH3 氣體之第二製程氣體自氣體分佈噴嘴42之氣體噴射孔42A供應以形成與晶舟12上之晶圓W平行的氣流。在供應NH3 氣體時,NH3 氣體之分子及由其分解而產生之分解產物的分子及原子與吸附於晶圓W之表面上之DCS氣體之分子等等起反應,使得一氮化矽單元層形成於晶圓W上。或者,當DCS氣體流動至上面吸附有得自NH3 氣體之物質的晶圓W之表面上時,引起相同的反應,因此一氮化矽單元層形成於晶圓W上。
另一方面,含有C2 H4 氣體之第三製程氣體自氣體分佈噴嘴38之氣體噴射孔38A供應以形成與晶舟12上之晶圓W平行的氣流。第三製程氣體經選擇性地激發且當其通過該對電極74之間的電漿產生區域PS時部分變成電漿。此時,C2 H4 氣體經活化且藉此提供碳等等之自由基,該等自由基接著自氣體激發部分66之開口70朝著製程容器4之中央流出,且以層流狀態來供應入晶圓W之間的間隙中。此時,碳組份用於氮化矽單元層中,所以形成一含碳氮化矽單元層(SiCN)。
重複用於形成此單元層之製程,使得藉由各別循環而形成之薄單元層經層壓以形成一具有目標厚度之含碳氮化矽膜。由於第三製程氣體變為電漿,所以促進C2 H4 氣體之反應(分解)且因此產生許多(例如)碳之自由基。因此,引入氮化矽膜中之碳組份的數目可增加。
圖4為根據本發明之第一實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖。如圖4所示,根據此實施例之膜形成方法經配置以交替地重複第一步驟T1至第六步驟T6。包含第一步驟T1至第六步驟T6之循環重複許多次,且將藉由各別循環形成之SiCN薄膜(單元層)層壓,藉此達成具有目標厚度之SiCN膜。
特定言之,第一步驟T1經配置以在停止第二製程氣體(在圖4中表示為NH3 )及第三製程氣體(在圖4中表示為C2 H4 )至製程域5之供應時執行第一製程氣體(在圖4中表示為DCS)至製程域5的供應。第二步驟T2經配置以停止第一、第二及第三製程氣體至製程域5之供應。第三步驟T3經配置以在停止第一及第二製程氣體至製程域5之供應時執行第三製程氣體至製程域5之供應。此外,在第三步驟T3中途,將RF電源76設定為開啟狀態以藉由氣體激發部分66來將第三製程氣體變為電漿,以在子步驟T3b期間將第三製程氣體以活化狀態供應至製程域5。第四步驟T4經配置以停止第一、第二及第三製程氣體至製程域5之供應。第五步驟T5經配置以在停止第一及第三製程氣體至製程域5之供應時執行第二製程氣體至製程域5之供應。第六步驟T6經配置以停止第一、第二及第三製程氣體至製程域5之供應。
在第三步驟T3中,在預定時間△t時間過去後開啟RF電源76,以藉由氣體激發部分66來將第三製程氣體變為電漿,以在子步驟T3b期間將C2 H4 氣體以活化狀態供應至製程域5中。將預定時間△t定義為使第三製程氣體之流率穩定所需的預流動時間,此時間設定為(例如)約5秒。然而,第三製程氣體可在供應第三製程氣體之整個期間內藉由氣體激發部分66來變為電漿。由於RF電源係在第三製程氣體之流率穩定後開啟以產生電漿的,所以在晶圓W中之自由基濃度的均一性(垂直方向上的均一性)經改良。
在使用圖3所示之結構的情況下,第五步驟T5可經配置以藉由氣體激發部分66來將第二製程氣體變為電漿,以將NH3 氣體以活化狀態供應至製程域5。然而,在此種情況下,NH3 氣體之自由基富集,且可勝於黏附或沈積於晶圓之表面上的碳組份,藉此減小待形成之膜的碳含量。因此,第五步驟T5較佳經配置以不將第二製程氣體變為電漿。
將第二、第四及第六步驟T2、T4及T6中之每一者用作一沖洗步驟以移除製程容器4內之殘氣。術語"沖洗"意謂在將諸如N2 氣體之非活性氣體供應進製程容器4中時藉由真空排盡製程容器4之內部或在停止所有氣體之供應時藉由真空排盡製程容器4之內部來移除製程容器4內之殘氣。在此方面,第二、第四及第六步驟T2、T4及T6可經配置,使得上半時僅利用真空排氣且後半時利用真空排氣及非活性氣體供應。此外,第一、第三及第五步驟T1、T3及T5可經配置以在供應第一至第三製程氣體中之每一者時停止真空排盡製程容器4。然而,在供應第一至第三製程氣體中之每一者與真空排盡製程容器4一起執行的情況下,可在第一至第六步驟T1至T6的整個過程中不斷地真空排盡製程容器4之內部。
在圖4中,第一步驟T1設定為約0.5至10秒之範圍內,且,例如為約4秒。第二步驟T2設定為約0.5至10秒之範圍內,且,例如為約5秒。第三步驟T3設定為約1.5至20秒之範圍內,且,例如為約6秒。子步驟T3b設定為約1至7秒之範圍內,且,例如為約5秒。第四步驟T4設定為約0.5至10秒之範圍內,且,例如為約5秒。第五步驟T5設定為約0.5至50秒之範圍內,且,例如約30秒。第六步驟T6設定為約0.5至10秒之範圍內,且,例如為約5秒。一般而言,藉由第一至第六步驟T1至T6之一循環獲得之膜厚度為約0.048至0.13 nm。因此,舉例而言,在目標膜厚度為70 nm之情況下,該循環重複約600次。然而,此等時間值及厚度值僅為實例且因此並非限制性的。
如上所述,供應含有DCS氣體之第一製程氣體的步驟T1、供應含有C2 H4 氣體之第三製程氣體且包括一藉由電漿激發氣體之期間的步驟T3,及供應含有NH3 氣體之第二製程氣體的步驟T5與分別***於上述步驟之間的沖洗步驟T2、T4及T6交替地執行。此使得有可能極大地減小待形成之SiCN膜的介電常數,且極大改良膜在乾式蝕刻中之耐蝕刻性。認為此情況係由以下原因引起的。
特定言之,在第三製程氣體變為電漿之情況下,促進C2 H4 氣體之反應(分解)且因此產生許多碳自由基。因此,甚至在膜形成製程係在低溫下執行之情況下,可在氮化矽膜中形成大量的Si-C鍵。由於因而形成於膜中之Si-C鍵數目增加,膜之耐蝕刻性得以顯著改良。更特定言之,甚至在膜形成溫度設定為(例如)550℃(其低於(例如)約760℃之習知膜形成溫度)之情況下,相對於用於對膜之表面執行的清潔製程或蝕刻製程中的稀氫氟酸,有可能減小膜之蝕刻率。結果,膜不被清潔過度地蝕刻,且因此清潔製程係在膜厚度具有高可控性之情況下執行的。此外,膜可充分用作一蝕刻擋止膜或層間絕緣膜。
另外,接近每一循環之末尾的第五步驟T5經配置以執行含有NH3 氣體之第二製程氣體的供應,此有助於在下一循環之第一步驟T1中DCS氣體在晶圓W上之吸附。因此,在膜中,Si-H鍵之數目減小而具有高的耐蝕刻性之Si-N鍵之數目增加。
此外,如上所述,在執行製程氣體之供應的第一、第三與第五步驟之間停止製程氣體之供應的第二、第四及第六步驟T2、T4及T6用作重新形成膜品質之期間。在此等期間中之每一者之前立即形成的SiCN膜之表面在此期間中經重新形成,藉此改良膜品質。因此,SiCN膜之蝕刻率進一步減小。認為原子級的重新形成製程之效應如下。特定言之,當含有碳原子之SiCN膜形成時,得自DCS氣體之Cl原子中的一些不經還原而以活性狀態鍵結至此薄膜之最上表面。在停止製程氣體之供應的步驟T2、T4及T6期間,得自C2 H4 氣體及NH3 氣體之C原子及N原子替代薄膜之最上表面上的Cl原子,且減少膜中之Cl組份,藉此減小蝕刻率。特定言之,在使用C2 H4 氣體的情況下,膜中之C原子的數目增加,藉此進一步減小蝕刻率。
膜形成製程之製程條件如下。DCS氣體之流率設定為500至5,000 sccm之範圍內,例如為1,000 sccm(1 slm)。NH3 氣體之流率設定為100至10,000 sccm之範圍內,例如為1,000 sccm。C2 H4 氣體之流率設定為100至2,000 sccm之範圍內,例如為500 sccm。C2 H4 氣體之流率設定為不超過DCS氣體之流率的三倍。此係因為,若用作碳氫化物氣體之C2 H4 氣體的流率過高,則膜品質不良地急劇降低。
製程溫度低於普通CVD製程,且設定為300℃至700℃之範圍內,且較佳為550℃至650℃之範圍內,例如為630℃。若製程溫度低於300℃,則大體上無膜沈積,因為幾乎未引起任何反應。若製程溫度高於700℃,則沈積低品質的CVD膜,且現存的膜(諸如金屬膜)遭受熱損傷。
製程壓力設定為13 Pa(0.1托)至1,330 Pa(10托)之範圍內,且較佳為40 Pa(0.3托)至266 Pa(2托)之範圍內。舉例而言,製程壓力在第一步驟(吸附步驟)T1及第五步驟(氮化步驟)T5期間設定為1托,且在第三步驟(使用電漿之步驟)T3期間設定為0.3托。若製程壓力低於13 Pa,則膜形成速率變得低於實際位準。在製程壓力不超過1,330 Pa之情況下,晶圓W上之反應模式主要為吸附反應,且因此可以高的膜形成速率來穩定地沈積一高品質之薄膜,藉此獲得一良好結果。然而,若製程壓力超過1,330 Pa,則反應模式自吸附反應變為氣相反應,氣相反應接著變成晶圓W上的主要反應。此係不良的,因為膜之基板間均一性及平面均一性惡化,且歸因於氣相反應,微粒的數目突然增加。
<第一實施例之修改1>
圖5為根據本發明之第一實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖5所示,第一實施例之修改1與第一實施例相同,除了第一步驟T1經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體之第二製程氣體的供應。
第一實施例之修改1展現與第一實施例之操作及效應相同的操作及效應。此外,當DCS及NH3 一起被供應時,稍微地引起CVD反應,且產生富含矽之SiN膜。在此種情況下,當在下一步驟中供應C2 H4 時,碳原子易於吸附於SiN膜之氮原子上。因此,最終獲得之SiCN膜的碳含量增加。此外,由於DCS與NH3 一起被供應,所以膜形成速率增加。
此修改之製程條件(諸如,氣體流率、製程壓力及製程溫度)可基本上設定為與第一實施例之製程條件相同。然而,DCS氣體之流率較佳增加為在NH3 氣體之流率的5至10倍的範圍內。此係因為,若NH3 過多,則容易產生副產物NH4 Cl。
<第一實施例之修改2>
圖6為根據本發明之第一實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖6所示,第一實施例之修改2與第一實施例相同,除了第一步驟T1經配置以執行除了含有DCS氣體之第一製程氣體之外含有C2 H4 氣體之第三製程氣體的供應。
第一實施例之修改2展現與第一實施例之操作及效應相同的操作及效應。此外,當DCS及C2 H4 一起被供應時,C2 H4 稍微吸附於晶圓表面上。因此,最終獲得之SiCN膜的碳含量增加。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本設定為與第一實施例之製程條件相同。
<第一實施例之修改3>
圖7為根據本發明之第一實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖7所示,第一實施例之修改3與第一實施例相同,除了第一步驟T1經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體的第二製程氣體及含有C2 H4 氣體的第三製程氣體的供應。
第一實施例之修改3展現與第一實施例之操作及效應相同的操作及效應。此外,期望第一實施例之修改3提供第一實施例之修改1及修改2之協同效應。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第一實施例之製程條件相同。然而,如第一實施例之修改1所描述,DCS氣體之流率較佳增加為在NH3 氣體之流率的5至10倍的範圍內。
<第二實施例>
圖8為根據本發明之第二實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖。如圖8所示,根據此實施例之膜形成方法經配置以交替地重複第一至第四步驟T11至T14。一包含第一至第四步驟T11至T14之循環重複許多次,且將藉由各別循環形成之SiCN薄膜(單元層)層壓,藉此達成具有目標厚度之SiCN膜。
特定言之,第一步驟T11經配置以在停止第三製程氣體(在圖8中表示為C2 H4 )至製程域5之供應時執行第一製程氣體(在圖8中表示為DCS)及第二製程氣體(在圖8中表示為NH3 )至製程域5的供應。第二步驟T12經配置以停止第一、第二及第三製程氣體至製程域5之供應。第三步驟T13經配置以在停止第一及第二製程氣體至製程域5之供應時執行第三製程氣體至製程域5的供應。此外,在第三步驟T13中途,將RF電源76設定為開啟狀態以藉由氣體激發部分66將第三製程氣體變為電漿,以在子步驟T13b期間將第三製程氣體以活化狀態供應至製程域5。第四步驟T14經配置以停止第一、第二及第三製程氣體至製程域5之供應。
換言之,第二實施例對應於一經配置以藉由省略第一實施例之第五及第六步驟T5及T6來縮短第一實施例之修改1(見圖5)之每一循環的形式。第二實施例展現與第一實施例之操作及效應相同的操作及效應。此外,由於省略了步驟T5及T6,所以可大大改良產量。
第二實施例之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第一實施例之製程條件相同。然而,省略了在每一循環末尾的第五及第六步驟T5及T6,且NH3 氣體與DCS氣體一起被供應。在此種情況下,應將NH3 氣體之流率設定為高於第一實施例或第一實施例之修改1的NH3 氣體流率以增強NH3 氣體在晶圓表面上的沈積。特定言之,DCS氣體與NH3 氣體之流率較佳設定為一比一。
<第二實施例之修改1>
圖9為根據本發明之第二實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖9所示,第二實施例之修改1與第二實施例相同,除了第一步驟T11經配置以在含有DCS氣體之第一製程氣體的供應之前開始含有NH3 氣體之第二製程氣體的供應。換言之,第一步驟T11包括單獨供應第二製程氣體之預流動期間T11f。
第二實施例之修改1展現與第二實施例之操作及效應相同的操作及效應。此外,在此種情況下,由於供應第二製程氣體之時間較長,所以得自NH3 的氮大量地吸附於晶圓表面上,此有助於碳組份之吸附。第二實施例之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第二實施例之製程條件相同。此外,在第二實施例之修改1中,如由虛線96所指示,第一步驟T11可經配置以連續地以先第二製程氣體後第一製程氣體之次序來執行含有NH3 氣體之第二製程氣體的供應及含有DCS氣體之第一製程氣體的供應。
<第三實施例>
圖10為根據本發明之第三實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖。如圖10所示,根據此實施例之膜形成方法經配置以交替地重複第一至第四步驟T21至T24。一包含第一至第四步驟T21至T24之循環重複許多次,且將藉由各別循環形成之SiCN薄膜(單元層)層壓,藉此達成具有目標厚度之SiCN膜。
特定言之,第一步驟T21經配置以在停止第二製程氣體(在圖10中表示為NH3 )及第三製程氣體(在圖10中表示為C2 H4 )至製程域5的供應時執行第一製程氣體(在圖10中表示為DCS)至製程域5的供應。第二步驟T22經配置以停止第一、第二及第三製程氣體至製程域5的供應。第三步驟T23經配置以在停止第一製程氣體至製程域5之供應時執行第二及第三製程氣體至製程域5的供應。在此種情況下,第三步驟T23經配置以在第三製程氣體之供應之前開始第二製程氣體的供應,亦即,第三步驟T23包括一單獨供應第二製程氣體之預流動期間T23f。此外,在第三步驟T23中供應第三製程氣體之隨後期間T23b當中,將RF電源76設定為開啟狀態以藉由氣體激發部分66將第三製程氣體變為電漿,以將第三製程氣體以活化狀態供應至製程域5。第四步驟T24經配置以停止第一、第二及第三製程氣體至製程域5的供應。
第三實施例展現與第一實施例之操作及效應相同的操作及效應。此外,由於省略了第一實施例之步驟T5及T6,所以可大大改良產量。第三實施例之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第一實施例之製程條件相同。
<第三實施例之修改1>
圖11為根據本發明之第三實施例之修改1的膜形成方法的氣體供應及RF(射頻)施加的時序圖。如圖11所示,第三實施例之修改1與第三實施例相同,除了第一步驟T21經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體之第二製程氣體的供應。
第三實施例之修改1展現與第三實施例之操作及效應相同的操作及效應。此外,由於第一步驟T21經配置以一起供應DCS及NH3 ,所以此提供一如第一實施例之修改1中描述的額外優勢。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第三實施例之製程條件相同。然而,較佳如第一實施例之修改1中描述而調整該等條件。
<第三實施例之修改2>
圖12為根據本發明之第三實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖12所示,第三實施例之修改2與第三實施例相同,除了第一步驟T21經配置以執行除了含有DCS氣體之第一製程氣體之外含有C2 H4 氣體之第三製程氣體的供應。
第三實施例之修改2展現與第三實施例之操作及效應相同的操作及效應。此外,由於第一步驟T21經配置以一起供應DCS及C2 H4 ,所以此提供一如第一實施例之修改2中描述的額外優勢。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第三實施例之製程條件相同。
<第三實施例之修改3>
圖13為根據本發明之第三實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖13所示,第三實施例之修改3與第三實施例相同,除了第一步驟T21經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體之第二製程氣體及含有C2 H4 氣體之第三製程氣體的供應。
第三實施例之修改3展現與第三實施例之操作及效應相同的操作及效應。此外,期望第三實施例之修改3提供第三實施例之修改1及修改2的協同效應。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本設定為與第三實施例之製程條件相同。然而,較佳如第一實施例之修改1中描述而調整該等條件。
<第四實施例>
圖14為根據本發明之第四實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖。如圖14所示,根據此實施例之膜形成方法經配置以交替地重複第一至第五步驟T31至T35。一包含第一至第五步驟T31至T35的循環重複許多次,且將藉由各別循環形成之SiCN薄膜(單元層)層壓,藉此達成具有目標厚度之SiCN膜。第四實施例利用圖3所示之結構以藉由氣體激發部分66來將第二製程氣體變為電漿,以將NH3 氣體以活化狀態供應至製程域5。
特定言之,第一步驟T31經配置以在停止第二製程氣體(在圖14中表示為NH3 )及第三製程氣體(在圖14中表示為C2 H4 )至製程域5之供應時執行第一製程氣體(在圖14中表示為DCS)至製程域5的供應。第二步驟T32經配置以停止第一、第二及第三製程氣體至製程域5的供應。第三步驟T33經配置以在停止第一及第二製程氣體至製程域5之供應時執行第三製程氣體至製程域5的供應。此外,在第三步驟T33中途,將RF電源76設定為開啟狀態以藉由氣體激發部分66來將第三製程氣體變為電漿,以在子步驟T33b期間將第三製程氣體以活性狀態供應至製程域5。第四步驟T34經配置以在停止第一及第三製程氣體至製程域5之供應時執行第二製程氣體至製程域5之供應。此外,在第四步驟T34中途,將RF電源76設定為開啟狀態以藉由氣體激發部分66來將第二製程氣體變為電漿,以在子步驟T34b期間將第二製程氣體以活性狀態供應至製程域5。第五步驟T35經配置以停止第一、第二及第三製程氣體至製程域5的供應。
換言之,第四實施例對應於一經配置來以單獨供應第二製程氣體之預流動期間T34f來替代第一實施例之第四步驟(沖洗步驟)T4(見圖4)的形式。此外,在接著預流動步驟T34f之子步驟T34b中,第二製程氣體藉由氣體激發部分66而變為電漿以將NH3 氣體以活化狀態供應至製程域5。由於NH3 氣體經活化,所以SiCN膜之氮化製程可在較短時間內更完全地被執行。第四實施例之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本上設定為與第一實施例之製程條件相同。
<第四實施例之修改1>
圖15為根據本發明之第四實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖15所示,第四實施例之修改1與第四實施例相同,除了第一步驟T31經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體之第二製程氣體的供應。
第四實施例之修改1展現與第四實施例之操作及效應相同的操作及效應。此外,由於第一步驟T31經配置以一起供應DCS及NH3 ,所以此提供一如第一實施例之修改1中描述的額外優勢。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本設定為與第四實施例之製程條件相同。然而,較佳如第一實施例之修改1中描述而調整該等條件。
<第四實施例之修改2>
圖16為根據本發明之第四實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖16所示,第四實施例之修改2與第四實施例相同,除了第一步驟T31經配置以執行除了含有DCS氣體之第一製程氣體之外含有C2 H4 氣體之第三製程氣體的供應。
第四實施例之修改2展現與第四實施例之操作及效應相同的操作及效應。此外,由於第一步驟T31經配置以一起供應DCS及C2 H4 ,所以此提供一如第一實施例之修改2中描述的額外優勢。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本設定為與第四實施例之製程條件相同。
<第四實施例之修改3>
圖17為根據本發明之第四實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖。如圖17所示,第四實施例之修改3與第四實施例相同,除了第一步驟T31經配置以執行除了含有DCS氣體之第一製程氣體之外含有NH3 氣體之第二製程氣體及含有C2 H4 氣體之第三製程氣體的供應。
第四實施例之修改3展現與第四實施例之操作及效應相同的操作及效應。此外,期望第四實施例之修改3提供第四實施例之修改1及修改2的協同效應。此修改之製程條件(諸如氣體流率、製程壓力及製程溫度)可基本設定為與第四實施例之製程條件相同。然而,較佳如第一實施例之修改1中描述而調整該等條件。
<實驗1>
使用圖1所示之裝置,根據依據本發明之實施例(包括修改)中之一些的膜形成方法中之每一者來形成一絕緣膜,且接著檢查因而形成之膜。此外,作為比較實例CE,根據一經配置以不將含有C2 H4 氣體之第三製程氣體變為電漿而將含有NH3 氣體之第二製程氣體變為電漿的膜形成方法(揭示於US 2006/0205231 A1中)來形成一絕緣膜,且接著檢查因而形成之膜。圖18為根據此比較實例CE之膜形成方法的氣體供應及RF(射頻)施加的時序圖。
用作本發明之現有實例的是根據圖5所示之第一實施例的修改1的現有實例PE1-1、根據圖6所示之第一實施例的修改2的現有實例PE1-2、根據圖13所示之第三實施例的修改3的現有實例PE3-3,及根據圖16所示之第四實施例的修改2的現有實例PE4-2。如參考第一至第四實施例所描述而設定此等四個現有實例之製程條件。將比較實例CE之製程條件設定為與本發明之四個現有實例之製程條件相同,除了氣體供應時序之外。
圖19A為展示關於根據比較實例CE及現有實例PE1-1、PE1-2、PE3-3及PE4-2之SiCN膜的碳含量Nc(1x1019 atoms/cc)之實驗結果的圖。如圖19A所示,在SiCN膜之碳含量Nc方面,比較實例CE呈現相對低的值9.5x1019 atoms/cc。另一方面,在SiCN膜之碳含量Nc方面,現有實例PE1-1、PE1-2、PE3-3及PE4-2分別呈現高得多的值738x1019 atoms/cc、2,240x1019 atoms/cc、603x1019 atoms/cc及2,830x1019 atoms/cc。因此證實,根據本發明之實施例的方法可極大改良SiCN膜之碳含量。
圖19B為展示關於根據比較實例CE及現有實例PE1-1、PE1-2、PE3-3及PE4-2之SiCN膜之DHF(稀氫氟酸)蝕刻率ER(1x10-10 m/min)之實驗結果的圖。如圖19B所示,在SiCN膜之蝕刻率ER方面,比較實例CE呈現相對高的值4.6x10-10 m/min。另一方面,在SiCN膜之蝕刻率ER方面,現有實例PE1-1、PE1-2、PE3-3及PE4-2分別呈現低得多之值0.55x10-10 m/min、0.15x10-10 m/min、1.59x10-10 m/min及0.88x10-10 m/min。因此證實,根據本發明之實施例的方法可極大減小SiCN膜之DHF蝕刻率。
<第一至第四實施例之共同問題>
如上所述,根據第一至第四實施例之方法中的每一者係根據處理程式在主控制部60的控制下執行的。圖20為示意性展示主控制部60之結構的方塊圖。主控制部60包括一連接至儲存部分212、輸入部分214及輸出部分216之CPU 210。儲存部分212儲存處理程式及製程配方。輸入部分214包括與操作員相互作用的輸入設備如鍵盤、指標設備及儲存媒體驅動器。輸出部分216輸出用於控制處理裝置之組件的控制信號。圖20亦展示以可移除狀態來附著至電腦的儲存媒體218。
可將根據第一至第四實施例之方法中的每一者作為在處理器上執行之程式指令而寫入電腦可讀儲存媒體中以供應用於一半導體處理裝置。或者,此種程式指令可藉由一通信媒體來傳輸且藉此應用於一半導體處理裝置。儲存媒體之實例為磁碟(可撓性碟片、硬碟(其之代表為包括於儲存部分212中之硬碟)等等)、光碟(CD、DVD等等)、磁光碟(MO等等)及半導體記憶體。一用於控制半導體處理裝置之操作的電腦讀取儲存於儲存媒體中之程式指令,且在一處理器上執行該等程式指令,藉此執行一相應方法(如上所述)。
在上述實施例中,舉例而言,第一製程氣體含有作為矽烷族氣體之DCS氣體。在此方面,矽烷族氣體可為選自由二氯矽烷(DCS)、六氯二矽烷(HCD)、單矽烷(SiH4 )、二矽烷(Si2 Cl6 )、六甲基-二矽氮烷(HMDS)、四氯矽烷(TCS)、二矽烷基胺(DSA)、三矽烷基胺(TSA)、雙第三丁基胺基矽烷(BTBAS)組成之群中的一或多種氣體。在上述實施例中,第二製程氣體含有作為氮化氣體之NH3 氣體。I在此方面,氮化氣體可為N2 氣體。在上述實施例中,第三製程氣體含有作為碳氫化物氣體之乙烯氣體。在此方面,碳氫化物氣體可為選自由乙炔、乙烯、甲烷、乙烷、丙烷及丁烷組成之群中的一或多種氣體。此外,目標基板不限於半導體晶圓,且其可為另一基板,諸如LCD基板或玻璃基板。
熟習此項技術者將易於發現額外優勢及修改。因此,本發明在其較廣態樣中不限於本文所展示及描述之特定細節及代表性實施例。因此,在不脫離如由所附申請專利範圍及其等效物界定之一般發明性概念的精神或範疇的情況下可進行各種修改。
2...膜形成裝置
4...製程容器
5...製程域
6...石英頂板
8...歧管
10...密封部件
12...晶舟
12A...支柱
14...熱絕緣圓柱
16...台
18...蓋
20...旋轉軸
22...磁性流體密封部分
24...密封部件
25...升降機構
26...臂
28...第三製程氣體供應迴路
28S...氣體源
30...第一製程氣體供應迴路
30S...氣體源
32...第二製程氣體供應迴路
32S...氣體源
36...沖洗氣體供應迴路
36S...氣體源
38...氣體分佈噴嘴
38A...氣體噴射孔
40...氣體分佈噴嘴
40A...氣體噴射孔
42...氣體分佈噴嘴
42A...氣體噴射孔
46...氣體噴嘴
48...氣體供應管線
48A...開關閥
48B...流率控制器
50...氣體供應管線
50A...開關閥
50B...流率控制器
52...氣體供應管線
52A...開關閥
52B...流率控制器
56...氣體供應管線
56A...開關閥
56B...流率控制器
60...主控制部
66...氣體激發部分
68...排氣埠
70...開口
72...覆蓋層
74...電極
76...RF(射頻)電源
78...饋線
80...絕緣保護覆蓋層
82...排氣埠覆蓋部件
84...出氣口
86...加熱器
96...虛線
210...CPU
212...儲存部分/記憶體
214...輸入部分
216...輸出部分
218...儲存媒體
GE...排氣系統
PS...電漿產生區域
T1...第一步驟
T2...第二步驟
T3...第三步驟
T4...第四步驟
T5...第五步驟
T6...第六步驟
T11...第一步驟
T11f...預流動期間
T12...第二步驟
T13b...子步驟
T13...第三步驟
T14...第四步驟
T21...第一步驟
T22...第二步驟
T23...第三步驟
T23b...隨後期間
T23f...預流動期間
T24...第四步驟
T31...第一步驟
T32...第二步驟
T33...第三步驟
T33b...子步驟
T34...第四步驟
T34b...子步驟
T34f...預流動期間
T35...第五步驟
T3b...子步驟
W...晶圓
△t...預定時間
圖1為展示根據本發明之一實施例之膜形成裝置(垂直CVD裝置)的截面正視圖;圖2為展示圖1所示之裝置之部分的截面平面圖;圖3為展示圖1所示之裝置之修改的部分的截面平面圖;圖4為根據本發明之第一實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖;圖5為根據本發明之第一實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖6為根據本發明之第一實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖7為根據本發明之第一實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖8為根據本發明之第二實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖;圖9為根據本發明之第二實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖10為根據本發明之第三實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖;圖11為根據本發明之第三實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖12為根據本發明之第三實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖13為根據本發明之第三實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖14為根據本發明之第四實施例之膜形成方法的氣體供應及RF(射頻)施加的時序圖;圖15為根據本發明之第四實施例之修改1的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖16為根據本發明之第四實施例之修改2的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖17為根據本發明之第四實施例之修改3的膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖18為根據一比較實例之膜形成方法之氣體供應及RF(射頻)施加的時序圖;圖19A為展示關於根據比較實例CE及現有實例PE1-1、PE1-2、PE3-3及PE4-2的SiCN膜之碳含量Nc(1x1019 atoms/cc)之實驗結果的圖;圖19B為展示關於根據比較實例CE及現有實例PE1-1、PE1-2、PE3-3及PE4-2的SiCN膜之DHF(稀氫氟酸)蝕刻率ER(1x10-10 m/min)之實驗結果的圖;及圖20為示意性展示主控制部之結構的方塊圖。
T1...第一步驟
T2...第二步驟
T3...第三步驟
T3b...子步驟
T4...第四步驟
T5...第五步驟
T6...第六步驟
△t...預定時間

Claims (10)

  1. 一種用於半導體製程之膜形成方法,其係用於在一經組態以選擇性地供應有一含有矽烷族氣體之第一製程氣體、一含有氮化氣體之第二製程氣體及一含有碳氫化物氣體之第三製程氣體的製程域中,藉由CVD在一目標基板上形成一絕緣膜者,其包含重複且交替地執行:第一步驟,其執行該第一製程氣體對該製程域之供應;第二步驟,其停止該第一、第二及第三製程氣體對該製程域之供應;第三步驟,其在停止該第一及第二製程氣體對該製程域之供應的同時,執行該第三製程氣體對該製程域之供應,該第三步驟包含一激發期間,其在以一激發機構來激發該第三製程氣體的同時,將該第三製程氣體供應至該製程域;第四步驟,其在停止該第一及第三製程氣體對該製程域之供應的同時,執行該第二製程氣體對該製程域之供應,該第四步驟包含一活化期間,其係在以一活化機構來激發該第二製程氣體的同時,將該第二製程氣體供應至該製程域;及第五步驟,其停止該第一、第二及第三製程氣體對該製程域之供應。
  2. 如請求項1之方法,其中該第四步驟在該活化期間之前,進而包含一將該第二製程氣體供應至該製程域但不 以該活化機構來激發該第二製程氣體的期間。
  3. 如請求項1之方法,其中該第一步驟被安排以在停止該第二及第三製程氣體對該製程域之供應的同時,執行該第一製程氣體對該製程域的供應。
  4. 如請求項1之方法,其中該第一步驟被安排以在停止該第三製程氣體對該製程域之供應的同時,執行該第一及第二製程氣體對該製程域之供應。
  5. 如請求項1之方法,其中該第一步驟被安排以在停止該第二製程氣體對該製程域之供應的同時,執行該第一及第三製程氣體對該製程域之供應。
  6. 如請求項1之方法,其中該第一步驟被安排以執行該第一、第二及第三製程氣體對該製程域之供應。
  7. 如請求項1之方法,其中該第二及第五步驟各包含一將沖洗氣體供應至該製程域的期間。
  8. 如請求項1之方法,其中自該第一步驟至該第五步驟持續排出該製程域內部之氣體。
  9. 如請求項1之方法,其中該第三步驟在該激發期間之前,進一步包含一將該第三製程氣體供應至該製程域但不以該激發機構來激發該第三製程氣體的期間。
  10. 如請求項1之方法,其中該第一製程氣體含有選自由二氯矽烷、六氯二矽烷、單矽烷、二矽烷、六甲基二矽氮烷、四氯矽烷、二矽烷基胺、三矽烷基胺及雙第三丁基胺基矽烷所組成之群中的至少一氣體;該第二製程氣體含有選自由氨及氮所組成之群中的至少一氣體;且該第 三製程氣體含有選自由乙炔、乙烯、甲烷、乙烷、丙烷及丁烷所組成之群中的至少一氣體。
TW096101617A 2006-01-16 2007-01-16 膜形成方法 TWI409858B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006007951A JP4434149B2 (ja) 2006-01-16 2006-01-16 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
TW200739691A TW200739691A (en) 2007-10-16
TWI409858B true TWI409858B (zh) 2013-09-21

Family

ID=38263787

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096101617A TWI409858B (zh) 2006-01-16 2007-01-16 膜形成方法

Country Status (5)

Country Link
US (1) US7507676B2 (zh)
JP (1) JP4434149B2 (zh)
KR (1) KR101141870B1 (zh)
CN (1) CN101005029B (zh)
TW (1) TWI409858B (zh)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5064296B2 (ja) * 2008-05-21 2012-10-31 東京エレクトロン株式会社 シリコン炭窒化膜の形成方法および形成装置
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
WO2010038885A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
WO2010038888A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5651451B2 (ja) * 2010-03-16 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5841222B2 (ja) * 2010-04-12 2016-01-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP5872141B2 (ja) * 2010-05-20 2016-03-01 東京エレクトロン株式会社 基板処理装置、その制御装置およびその制御方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20120106453A (ko) * 2011-03-18 2012-09-26 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发***公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6022276B2 (ja) * 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2015045164A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
JP6340251B2 (ja) 2014-05-30 2018-06-06 東京エレクトロン株式会社 SiCN膜の成膜方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR20170091090A (ko) * 2014-12-02 2017-08-08 우베 고산 가부시키가이샤 금속 탄질화막 또는 반금속 탄질화막의 제조 방법, 금속 탄질화막 또는 반금속 탄질화막, 및 금속 탄질화막 또는 반금속 탄질화막의 제조 장치
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6529956B2 (ja) 2016-12-28 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH1187341A (ja) * 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
US20030224618A1 (en) * 2000-05-02 2003-12-04 Shoichi Sato Oxidizing method and oxidation system
US20050095770A1 (en) * 2002-01-15 2005-05-05 Takeshi Kumagai Cvd method and device for forming silicon-containing insulation film

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH1187341A (ja) * 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
US20030224618A1 (en) * 2000-05-02 2003-12-04 Shoichi Sato Oxidizing method and oxidation system
US20050095770A1 (en) * 2002-01-15 2005-05-05 Takeshi Kumagai Cvd method and device for forming silicon-containing insulation film

Also Published As

Publication number Publication date
KR101141870B1 (ko) 2012-05-08
TW200739691A (en) 2007-10-16
CN101005029B (zh) 2013-01-02
JP2007189173A (ja) 2007-07-26
JP4434149B2 (ja) 2010-03-17
US20070167028A1 (en) 2007-07-19
US7507676B2 (en) 2009-03-24
KR20070076478A (ko) 2007-07-24
CN101005029A (zh) 2007-07-25

Similar Documents

Publication Publication Date Title
TWI409858B (zh) 膜形成方法
TWI518780B (zh) 半導體製程用薄膜形成方法與裝置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
TWI552225B (zh) SiCN膜之形成方法及裝置
TWI478238B (zh) 成膜方法及半導體製程用裝置
TWI420596B (zh) 半導體製程用之膜形成方法及設備
TWI461567B (zh) 於直立式批次薄膜形成設備中之薄膜形成方法
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR101141913B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
TWI516631B (zh) 半導體處理用之批次化學氣相沉積方法及設備
JP5258229B2 (ja) 成膜方法および成膜装置
KR20060048790A (ko) 반도체 처리용 성막 방법, 성막 장치 및 기억 매체
WO2004066377A1 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
KR100983452B1 (ko) 실리콘 질화막의 형성 방법
KR20090012113A (ko) 반도체 처리용 성막 방법, 반도체 처리용 성막 장치, 및컴퓨터로 판독 가능한 매체
TWI407509B (zh) 垂直電漿加工裝置及使用其之方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees