KR101011490B1 - 패터닝 방법 - Google Patents

패터닝 방법 Download PDF

Info

Publication number
KR101011490B1
KR101011490B1 KR1020097004487A KR20097004487A KR101011490B1 KR 101011490 B1 KR101011490 B1 KR 101011490B1 KR 1020097004487 A KR1020097004487 A KR 1020097004487A KR 20097004487 A KR20097004487 A KR 20097004487A KR 101011490 B1 KR101011490 B1 KR 101011490B1
Authority
KR
South Korea
Prior art keywords
film
gas
processing container
forming
silicon oxide
Prior art date
Application number
KR1020097004487A
Other languages
English (en)
Other versions
KR20090051186A (ko
Inventor
시게루 나카지마
카즈히데 하세베
파오화 초우
미츠아키 이와시타
레이지 니이노
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090051186A publication Critical patent/KR20090051186A/ko
Application granted granted Critical
Publication of KR101011490B1 publication Critical patent/KR101011490B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Abstract

여기에 개시되는 패터닝 방법은, 기판 상에 제 1 막을 형성하는 공정과, 제 1 막 상에 레지스트막을 포함하는 다층막을 형성하는 공정과, 레지스트막을 포토리소그래피에 의해 패터닝하여, 소정의 패턴을 갖는 패턴화 레지스트막을 형성하는 공정과, 유기 실리콘을 포함하는 제 1 가스와 활성화된 산소종을 포함하는 제 2 가스를 해당 기판에 교대로 공급하여, 패턴화 레지스트막 및 제 1 막의 위에, 제 1 막과 다른 산화 실리콘막을 형성하는 공정과, 패턴화 레지스트막의 측벽에 측벽 스페이서가 형성되도록 산화 실리콘막을 에칭하는 공정과, 패턴화 레지스트막을 제거하는 공정과, 측벽 스페이서를 마스크로서 이용하여, 제 1 막을 가공하는 공정을 구비한다.

Description

패터닝 방법{PATTERNING METHOD}
본 발명은, 반도체 프로세스에 이용되고, 노광 장치의 해상 한계 이하의 패턴을 형성하는 패터닝 방법에 관한 것이다.
반도체 디바이스의 고집적도화에 수반하여, 제조 프로세스에 요구되는 배선폭 또는 분리폭은 점점 축소화되어 오고 있다. 일반적으로, 미세 패턴은, 포토리소그래피 기술을 이용하여 레지스트 패턴을 형성하고, 그 레지스트 패턴을 에칭의 마스크로 이용하여 하지(下地)의 각종 박막을 에칭함으로써 형성된다.
미세 패턴을 형성하기 위해서는 포토리소그래피 기술이 중요하지만, 최근 의 반도체 디바이스의 미세화는, 포토리소그래피 기술의 해상 한계 이하의 치수를 요구하기에까지 이르고 있다.
해상 한계 이하의 패턴을 형성하는 기술로서는, 예를 들면, 특허 문헌 1에 기재되어 있다. 특허 문헌 1에서는, 기본적으로, 하지막 상에 해상 한계의 간격으로 실리콘 질화막(이하, 본 명세서에서는 희생막이라 함)을 형성하고, 해당 희생막의 측벽 상에 측벽 실리콘 산화막(이하, 본 명세서에서는 측벽 스페이서라고 함)을 형성하고, 상기 희생막을 제거하고 또한 측벽 스페이서를 남기며, 남은 측벽 스페이서를 에칭의 마스크로 이용하여 하지막을 에칭한다.
이와 같은 기술에 의하면, 측벽 스페이서의 폭을 희생막의 폭보다도 가늘게 할 수 있으므로, 이 측벽 스페이서를 에칭의 마스크로 이용함으로써, 해상 한계 이하의 폭을 갖는 하지막의 패턴을 형성할 수 있다.
특허 문헌1 : 일본특허공개공보 제2000-173979호
발명이 해결하고자 하는 과제
포토리소그래피 기술의 해상 한계 이하의 폭을 갖는 미세 패턴을 형성하는 하나의 방법으로서, 특허 문헌 1에 기재된 바와 같이, 희생막을 이용한 미세 패턴의 형성 방법이 있다.
그러나, 측벽 스페이서를 형성하기 위한 희생막은, 일면 형태의 희생막 상에 레지스트막을 형성하고, 포토리소그래피 기술을 이용하여, 레지스트막을 소정의 간격을 갖는 패턴으로 가공하고, 가공된 레지스트막을 에칭의 마스크로 이용하여 에칭을 함으로써 형성된다. 이 때문에 제조 공정이 많아진다고 하는 사정이 있다.
이 발명은, 해상 한계 이하의 폭을 갖는 미세 패턴을 적은 제조 공정으로 형성할 수 있는 미세 패턴의 형성 방법을 제공하는 것을 목적으로 한다.
과제를 해결하기 위한 수단
상기 과제를 해결하기 위해, 본 발명의 제 1 태양에 따른 패터닝 방법은, 기판 상에 제 1 막을 형성하는 공정과, 제 1 막 상에, 레지스트막을 포함하는 다층막을 형성하는 공정과, 레지스트막을 포토리소그래피에 의해 패터닝하여, 소정의 패턴을 갖는 패턴화 레지스트막을 형성하는 공정과, 유기 실리콘을 포함하는 제 1 가스와 플라즈마화 된 산소종을 포함하는 제 2 가스를 해당 기판에 교대로 공급하여, 패턴화 레지스트막 및 제 1 막 상에 제 1 막과 다른 산화 실리콘막을 형성하는 공정과, 패턴화 레지스트막의 측벽에 측벽 스페이서가 형성되도록 산화 실리콘막을 에칭하는 공정과, 패턴화 레지스트막을 제거하는 공정과, 측벽 스페이서를 마스크로서 이용하여 제 1 막을 가공하는 공정을 구비한다. 상기의 다층막은, 레지스트막을 노광할 수 있도록 포함하고, 예를 들면 하부 반사 방지막(BARC), 상부 반사 방지막(TARC), 레지스트막을 서포트하는 하지막으로서의 산화 실리콘막 등을 포함하지만, 이들에 한정되지는 않는다.
또한, 본 발명의 제 2 태양에 따른 패터닝 방법은, 기판 상에 제 1 막을 형성하는 공정과, 제 1 막 상에 해당 제 1 막의 재료와 다른 재료의 제 2 막을 형성하는 공정과, 제 2 막 상에 해당 제 2 막의 재료와 다른 재료의 하드 마스크막을 형성하는 공정과, 하드 마스크막 상에 레지스트막을 형성하는 공정과, 레지스트막을 포토리소그래피에 의해 패터닝하여, 소정의 패턴을 갖는 패턴화 레지스트막을 형성하는 공정과, 유기 실리콘을 포함하는 제 1 가스와 플라즈마화된 산소종을 포함하는 제 2 가스를 해당 기판에 교대로 공급하여, 패턴화 레지스트막 및 하드 마스크막 상에 하드 마스크막과 다른 산화 실리콘막을 형성하는 공정과, 패턴화 레지스트막의 측벽에 측벽 스페이서가 형성되도록 산화 실리콘막을 에칭하는 공정과, 패턴화 레지스트막을 제거하는 공정과, 측벽 스페이서를 마스크로서 이용하여 하드 마스크막을 가공하는 공정과, 가공된 하드 마스크막을 마스크로서 이용하여 제 2 막을 가공하는 공정을 구비한다.
발명의 효과
본 발명에 의하면, 해상 한계 이하의 폭을 갖는 미세 패턴을 적은 제조 공정으로 형성할 수 있는 미세 패턴의 형성 방법을 제공할 수 있다.
도 1은 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 2는 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 3은 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 4는 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 5는 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 6은 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 7은 실리콘 산화막(105)을 형성하기 위한 성막 장치의 일례를 도시한 종단면도이다.
도 8은 실리콘 산화막(105)을 형성하기 위한 성막 장치의 일례를 도시한 횡단면도이다.
도 9는 실리콘 산화막(105)을 형성하기 위한 성막 방법에서의 가스 공급의 타이밍을 도시하는 타이밍차트이다.
도 10은 실리콘 산화막(105)의 성막 방법을 실시할 때의 반응을 설명하기 위한 모식도이다.
도 11은 O2 가스 플라즈마를 이용하여 성막한 경우와, O3 가스를 이용하여 성막한 경우에 불순물량을 비교한 도면이다.
도 12는 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 13은 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 14는 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 15는 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 16은 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 17은 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 18은 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 19는 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 20은 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 21은 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 22는 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 23은 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 24는 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
도 25A는 제 1 내지 제 3 실시예에 따른 패터닝 방법에 이어서 실시할 수 있는 반도체 장치의 제조 방법을 설명하기 위한 단면도이다.
도 25B는 제 1 내지 제 3 실시예에 따른 패터닝 방법에 이어서 실시할 수 있는 반도체 장치의 제조 방법을 설명하기 위한 단면도이다.
도 26A는 제 1 내지 제 3 실시예에 따른 패터닝 방법에 이어서 실시할 수 있는 반도체 장치의 제조 방법을 설명하기 위한 단면도이다.
도 26B는 제 1 내지 제 3 실시예에 따른 패터닝 방법에 이어서 실시할 수 있 는 반도체 장치의 제조 방법을 설명하기 위한 단면도이다.
도 27은 제 1 내지 제 3 실시예에 따른 패터닝 방법을 이용하여 제조할 수 있는 반도체 장치의 일례를 도시한 단면도이다.
*도면의 주요 부분에 대한 부호의 설명*
101:반도체 기판 102:박막
103:포토레지스트막 103':레지스트 패턴
105:실리콘 산화막 105':측벽 스페이서
106:에칭스토퍼막 107:하드 마스크막
이하, 첨부 도면을 참조하여 본 발명의 실시예에 대해 구체적으로 설명한다.
(제 1 실시예)
도 1 내지 도 6은, 본 발명의 제 1 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
제 1 실시예는, 본 발명에 따른 패터닝 방법의 기본적인 프로세스 순서를 예시하는 것이다.
먼저, 도 1에 도시한 바와 같이, 반도체 기판(101) 상에 박막(102)을 형성한다. 본 명세서에서는, 반도체 기판(101)은 반도체, 예를 들면, 실리콘 기판만을 의미하는 것은 아니며, 반도체 기판 내, 또는 반도체 기판 상에 형성된 반도체 소자 또는 집적 회로 패턴에 대응되는 도전막, 이들을 절연하는 층간 절연막이 형성된 구조체를 포함한다. 박막(102)은 나중에 미세 패턴으로 가공된다. 박막(102)은, 예 를 들면, 나중에 형성되는 측벽 스페이서와 다른 절연막이어도 되고, 도전막이어도 된다. 본 예에서는, 일례로서, 박막(102)을 도전성 폴리실리콘으로 한다. 이어서, 박막(102) 상에 포토레지스트를 도포하고 포토레지스트막(103)을 형성한다.
이어서, 도 2에 도시한 바와 같이, 포토리소그래피 기술을 이용하여 포토레지스트막(103)을 소정의 간격을 갖는 레지스트 패턴(103')으로 가공한다. 본 예에서는, 레지스트 패턴(103')은 라인·앤드·스페이스 패턴을 갖고, 라인의 간격으로서는 노광 장치의 해상 한계 정도로 한다. 예를 들면, 본 예에서는, 레지스트 패턴(103')의 평면 방향에 따른 라인폭(Wr)이 해상 한계이다.
이어서, 도 3에 도시한 바와 같이, 레지스트 패턴(103') 및 박막(102) 상에, 레지스트 패턴(103') 및 박막(102)과는 다른 실리콘 산화막(105)을 형성한다. 본 예의 실리콘 산화막(105)은, 유기 실리콘을 포함하는 소스 가스와, 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급함으로써 레지스트 패턴(103') 및 박막(102) 상에 형성된다. 유기 실리콘을 포함하는 소스 가스와 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급하면서, 실리콘 산화막(105)을 원자층 레벨 또는 분자층 레벨로 순차적으로 형성해 감으로써, 실리콘 산화막(105)을 매우 낮은 온도, 예를 들면, 포토레지스트막(103)(레지스트 패턴(103'))의 내열 온도 이하의 온도에서 형성할 수 있다.
이어서, 도 4에 도시한 바와 같이, 도 3에 도시한 실리콘 산화막(105)을 에칭백함으로써, 레지스트 패턴(103') 및 박막(102) 상의 실리콘 산화막(105)을 제거하여, 레지스트 패턴(103')의 측벽에 측벽 스페이서(105')를 형성한다. 이 에 칭백은 이방성 에칭이며, 그 일례는 RIE법 등이다. 측벽 스페이서(105')의 평면 방향에 따른 폭(WSiO2)은 레지스트 패턴(103')의 폭(Wr)보다도 좁게 할 수 있으므로, 폭(WSiO2)은 노광 장치의 해상 한계 이하로 할 수 있다.
이어서, 도 5에 도시한 바와 같이, 측벽 스페이서(105') 및 박막(102)을 마스크로 이용하여 레지스트 패턴(103')을 제거한다. 레지스트 패턴(103')의 제거에는, 일례로서 애싱을 이용할 수 있다.
이어서, 도 6에 도시한 바와 같이, 측벽 스페이서(105')를 에칭의 마스크로 이용하여 박막(102)을 에칭하고, 박막(102)을 소정의 간격을 갖는 패턴으로 가공한다. 가공된 박막(102)의 평면 방향에 따른 폭(Wt)은 측벽 스페이서(105')의 폭(WSiO2)과 동등하거나 또는 거의 동등해지므로, 폭(Wt)은 해상 한계 이하로 할 수 있다.
이와 같이 하여, 제 1 실시예에 따르면, 해상 한계 이하의 폭(Wt)을 갖는 박막(102)의 미세 패턴을 형성할 수 있다.
또한, 제 1 실시예에서는, 유기 실리콘을 포함하는 소스 가스와, O2 가스 플라즈마에 포함되는 산소 래디컬 등의 산소종을 교대로 공급하여 박막(102) 및 레지스트 패턴(103') 상에 실리콘 산화막(105)을 형성한다. 이에 의해, 실리콘 산화막(105)을 매우 낮은 온도, 예를 들면, 포토레지스트막(103)(레지스트 패턴(103'))의 내열 온도 이하의 온도에서 형성할 수 있다. 이 성막에 대하여, 이하에서 상세하게 설명한다.
도 7은 실리콘 산화막(105)의 성막에 사용되는 성막 장치의 일례를 도시한 종단면도, 도 8은 도 7의 성막 장치를 도시한 횡단면도, 도 9는 본 실시예에서의 가스 공급의 타이밍차트이다. 또한, 도 8에서는 가열 장치를 생략하고 있다.
도 7 및 도 8에 도시한 바와 같이, 성막 장치(80)는 하단이 개구된 천장을 구비한 원통체 형상의 처리 용기(1)를 가지고 있다. 이 처리 용기(1)의 전체는, 예를 들면 석영으로 형성되어 있으며, 이 처리 용기(1) 내의 천장에는, 석영제의 천장판(2)이 설치되어 밀봉되어 있다. 또한, 이 처리 용기(1)의 하단 개구부에는, 예를 들면 스테인레스 스틸에 의해 원통체 형상으로 성형된 매니폴드(3)가 O 링 등의 씰 부재(4)를 통하여 연결되어 있다.
상기 매니폴드(3)는 처리 용기(1)의 하단을 지지하고 있으며, 이 매니폴드(3)의 하부로부터 피처리체로서 다수 매, 예를 들면 50 ~ 100 매의 반도체 웨이퍼(W)를 다단으로 재치할 수 있는 석영제의 웨이퍼 보트(5)가 처리 용기(1) 내에 삽입할 수 있도록 되어 있다. 이 웨이퍼 보트(5)는 3 개의 지지 기둥(6)을 갖고(도 8 참조), 지지 기둥(6)에 형성된 홈에 의해 다수 매의 웨이퍼(W)가 지지된다.
이 웨이퍼 보트(5)는, 석영제의 보온통(7) 상에 재치되어 있으며, 보온통(7)은 테이블(8) 상에 재치되어 있다. 테이블(8)은 매니폴드(3)의 하단 개구부를 개폐하는, 예를 들면 스테인레스 스틸제의 덮개부(9)를 관통하는 회전축(10) 상에 지지된다.
그리고, 이 회전축(10)의 관통부에는, 예를 들면 자성 유체 씰(11)이 설치되어 있으며, 회전축(10)을 기밀하게 씰링하면서 회전 가능하게 지지하고 있다. 또 한, 덮개부(9)의 주변부와 매니폴드(3)의 하단부와의 사이에는, 예를 들면 O 링으로 이루어지는 씰 부재(12)가 개재 설치되어 있으며, 이에 의해 처리 용기(1) 내의 씰성을 유지하고 있다.
상기의 회전축(10)은, 예를 들면 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 암(13)의 선단에 장착되어 있다. 이에 의해, 웨이퍼 보트(5) 및 덮개부(9) 등은, 일체적으로 상승되어 처리 용기(1) 내에 삽입되고, 강하되어 처리 용기(1)로부터 추출된다. 또한, 상기 테이블(8)을 상기 덮개부(9) 측에 고정하여 설치하고, 웨이퍼 보트(5)를 회전시키지 않고 웨이퍼(W)의 처리를 행해도 된다.
또한, 성막 장치(80)는, 처리 용기(1) 내에 산소 함유 가스, 예를 들면 O2 가스를 공급하는 산소 함유 가스 공급 기구(14)와, 처리 용기(1) 내에 Si 소스 가스를 공급하는 Si 소스 가스 공급 기구(15)와, 처리 용기(1) 내에 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급하는 퍼지 가스 공급 기구(16)를 가지고 있다.
본 실시예에서는, 실리콘 산화막(105)을 성막하기 위한 Si 소스 가스로서 유기 실리콘을 포함하는 Si 소스 가스를 이용한다. 유기 실리콘을 포함하는 Si 소스 가스의 예는 아미노실란계 프리커서이다. 아미노실란계 프리커서의 예는, 1 가(價) 또는 2 가의 아미노실란계 프리커서이다. 1 가 또는 2 가의 아미노실란계 프리커서의 구체적인 예는, 예를 들면, BTBAS(비스터셔리부틸아미노실란), BDMAS(비스디메틸아미노실란), BDEAS(비스디에틸아미노실란), DMAS(디메틸아미노실란), DEAS(디에 틸아미노실란), DPAS(디프로필아미노실란), BAS(부틸아미노실란), DIPAS(디이소프로필아미노실란) 및 BEMAS(비스에틸메틸아미노실란)이다. 또한, 유기 실리콘을 포함하는 Si 소스 가스로서, 상기에 열거한 프리커서 중 1 개를 이용해도 되고, 이들 둘 이상을 조합하여 이용해도 된다.
또한, 아미노실란계 프리커서로서는, 3 가의 아미노실란계 프리커서를 이용할 수도 있다. 3 가의 아미노실란계 프리커서의 예는, TDMAS(트리메틸아미노실란)이다.
또한, 유기 실리콘을 포함하는 Si 소스 가스로서는, 아미노실란계 프리커서 이외에, 에톡시실란계 프리커서를 이용할 수도 있다. 에톡시실란계 프리커서의 예는, 예를 들면, TEOS(테트라에톡시실란)이다.
산소 함유 가스 공급 기구(14)는, 산소 함유 가스 공급원(17)과, 산소 함유 가스 공급원(17)으로부터 산소 함유 가스를 유도하는 산소 함유 가스 배관(18)과, 이 산소 함유 가스 배관(18)에 접속되어, 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어진 산소 함유 가스 분산 노즐(19)을 가지고 있다. 이 산소 함유 가스 분산 노즐(19)의 수직 부분에는, 복수의 가스 토출홀(19a)이 소정의 간격을 두고 형성되어 있으며, 각 가스 토출홀(19a)로부터 수평 방향으로 처리 용기(1)를 향해 대략 균일하게 산소 함유 가스, 예를 들면 O2 가스를 토출할 수 있다.
또한, Si 소스 가스 공급 기구(15)는, Si 소스 가스 공급원(20)과, 이 Si 소 스 가스 공급원(20)으로부터 Si 소스 가스를 유도하는 Si 소스 가스 배관(21)과, 이 Si 소스 가스 배관(21)에 접속되어, 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어진 Si 소스 가스 분산 노즐(22)을 가지고 있다. 여기에서는, Si 소스 가스 분산 노즐(22)은 2 개 설치되어 있고(도 8 참조), 각 Si 소스 가스 분산 노즐(22)에는, 그 길이 방향을 따라 복수의 가스 토출홀(22a)이 소정의 간격을 두고 형성되어 있다. 각 가스 토출홀(22a)로부터 수평 방향으로 처리 용기(1) 내에 대략 균일하게 유기 실리콘을 포함하는 Si 소스 가스를 토출할 수 있다. 또한, Si 소스 가스 분산 노즐(22)은 1 개뿐이어도 된다.
또한, 퍼지 가스 공급 기구(16)는 퍼지 가스 공급원(23)과, 퍼지 가스 공급원(23)으로부터 퍼지 가스를 유도하는 퍼지 가스 배관(24)과, 이 퍼지 가스 배관(24)에 접속되어, 매니폴드(3)의 측벽을 관통하여 설치된 퍼지 가스 노즐(25)을 가지고 있다. 퍼지 가스로서는 불활성 가스, 예를 들면 N2 가스를 바람직하게 이용할 수 있다.
산소 함유 가스 배관(18), Si 소스 가스 배관(21), 퍼지 가스 배관(24)에는 각각 개폐 밸브(18a, 21a, 24a) 및 매스플로우 컨트롤러와 같은 유량 제어기(18b, 21b, 24b)가 설치되어 있고, 산소 함유 가스, Si 소스 가스 및 퍼지 가스를 각각 유량 제어하면서 공급할 수 있다.
이 플라즈마 생성 기구(30)는 플라즈마 구획벽(32)을 가지고 있다. 플라즈마 구획벽(32)은, 처리 용기(1)의 측벽에 있어서의 소정의 폭을 가진 세로로 긴 부분을 제거함으로써 형성된 가늘고 긴 개구(31)를 외측부터 덮어 처리 용기(1)의 외벽에 기밀하게 장착되어 있다. 또한, 플라즈마 구획벽(32)은, 단면 오목부 형상을 이루어 상하로 가늘고 길게 형성되고, 예를 들면 석영으로 형성되어 있다. 또한, 플라즈마 생성 기구(30)는 이 플라즈마 구획벽(32)의 양측벽의 외면에 상하 방향을 따라 서로 대향하도록 배치된 가늘고 긴 한 쌍의 플라즈마 전극(33)과, 이 플라즈마 전극(33)에 급전 라인(34)를 통하여 접속되어 고주파 전력을 공급하는 고주파 전원(35)을 가지고 있다. 그리고, 상기 플라즈마 전극(33)에 고주파 전원(35)으로부터 예를 들면 13.56 MHz의 고주파 전압을 인가함으로써 산소 함유 가스의 플라즈마를 생성할 수 있다. 또한, 이 고주파 전압의 주파수는 13.56 MHz에 한정되는 것은 아니며, 그 밖의 주파수, 예를 들면 400 kHz 등을 이용해도 된다.
상기와 같은 플라즈마 구획벽(32)을 형성함으로써, 처리 용기(1)의 측벽의 일부가 오목부 형상으로 외측으로 오목하고, 플라즈마 구획벽(32)의 내부 공간이 처리 용기(1)의 내부 공간에 일체적으로 연통된다. 또한, 개구(31)는 웨이퍼 보트(5)에 있어서의 웨이퍼(W)가 유지되는 영역의 종방향의 전체에 대응한 높이를 가지고 있다.
상기 산소 함유 가스 분산 노즐(19)은, 처리 용기(1) 내를 상방향으로 연장해 가는 도중에 처리 용기(1)의 반경 방향 외측으로 굴곡되어, 상기 플라즈마 구획벽(32) 내의 가장 안쪽 부분(처리 용기(1)의 중심으로부터 가장 멀리 떨어진 부분)을 따라 상방을 향해 기립되어 있다. 이 때문에, 가스 토출홀(19a)로부터 토출된 산소 함유 가스는 고주파 전원(35)이 온되어 전극(33) 사이에 생성되는 고주파 전계에 의해 플라즈마화되어 처리 용기(1)의 내부 공간으로 흘러 들어간다.
플라즈마 구획벽(32)의 외측에는, 이를 덮고, 예를 들면, 석영으로 이루어진 절연 보호 커버(36)가 장착되어 있다. 또한, 이 절연 보호 커버(36)의 내측 부분에는 도시하지 않은 냉매 통로가 설치되어 있으며, 예를 들면 냉각된 질소 가스를 흐르게 함으로써 플라즈마 전극(33)을 냉각할 수 있다.
2 개의 Si 소스 가스 분산 노즐(22)은 처리 용기(1) 내에서 개구(31)의 양측에 위치하도록 기립하고 있으며, 이 Si 소스 가스 분산 노즐(22)에 형성된 복수의 가스 분사홀(22a)로부터 처리 용기(1)의 내부 공간을 향해 Si 소스 가스로서 1 분자 내에 1 개 또는 2 개의 아미노기를 갖는 아미노실란 가스를 토출할 수 있다.
한편, 처리 용기(1)의 개구(31)의 반대측에는, 처리 용기(1) 내를 진공 배기하기 위한 배기구(37)가 설치되어 있다. 이 배기구(37)는 처리 용기(1)의 측벽의 일부를 세로로 길게 제거함으로써 형성되어 있다. 처리 용기(1)의 이 배기구(37)에 대응하는 부분에는, 배기구(37)를 덮도록 단면 오목부 형상으로 성형된 배기구 커버 부재(38)가 용접에 의해 장착되어 있다. 이 배기구 커버 부재(38)는 처리 용기(1)의 측벽을 따라 상방으로 연장되어 있고, 처리 용기(1)의 상방에 가스 출구(39)를 규정하고 있다. 그리고, 이 가스 출구(39)로부터 도시하지 않은 진공 펌프 등을 포함하는 진공 배기 기구에 의해 진공 배기된다. 그리고, 이 처리 용기(1)의 외주를 둘러싸도록 이 처리 용기(1) 및 그 내부의 웨이퍼(W)를 가열하는 통체 형상의 가열 장치(40)가 설치되어 있다.
성막 장치(80)의 각 구성부의 제어, 예를 들면, 밸브(18a, 21a, 24a)의 개폐에 의한 각 가스의 공급·정지, 매스플로우 컨트롤러(18b, 21b, 24b)에 의한 가스 유량의 제어, 및 고주파 전원(35)의 온·오프 제어, 가열 장치(40)의 제어 등은, 예를 들면 마이크로 프로세서(컴퓨터)로 이루어진 컨트롤러(50)에 의해 행해진다. 컨트롤러(50)에는 공정 관리자가 성막 장치(80)를 관리하기 위해 커맨드의 입력 조작 등을 행하는 키보드, 또는 성막 장치(80)의 가동 상황을 표시하는 디스플레이 등으로 이루어진 유저 인터페이스(51)가 접속되어 있다.
또한, 컨트롤러(50)에는 성막 장치(80)에서 실행되는 각종 처리를 컨트롤러(50)의 제어로 실현하기 위한 제어 프로그램, 또는 처리 조건에 따라 성막 장치(80)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉, 레시피가 저장된 기억부(52)가 접속되어 있다. 제어 프로그램 또는 레시피는 기억 매체(52a)에 기억되어 있어도 좋고, 기억 매체(52a)로부터 기억부(52)로 저장된다. 기억 매체(52a)는 하드 디스크 또는 반도체 메모리이어도 좋고, CD-ROM, DVD, 플래시 메모리 등의 가반성의 것이어도 좋다. 또한, 제어 프로그램 또는 레시피는 그 밖의 장치로부터, 예를 들면 전용 회선을 통하여 다운로드되어 기억부(52)에 기억되어도 좋다.
그리고, 필요에 따라, 유저 인터페이스(51)로부터의 지시 등으로 임의의 레시피를 기억부(52)로부터 독출하여 컨트롤러(50)에 실행시킴으로써, 컨트롤러(50)의 제어 하에서, 성막 장치(80)에서의 원하는 처리가 행해진다.
이어서, 이상과 같이 구성된 성막 장치를 이용하여 행해지는 실리콘 산화막(105)의 성막 방법의 일례를 도 9를 참조하여 설명한다.
예를 들면, 50 ~ 100 매의 웨이퍼(W)가 탑재된 상태의 웨이퍼 보트(5)를 사전에 소정의 온도로 제어된 처리 용기(1) 내에 그 하방으로부터 상승시킴으로써 로드하고, 덮개부(9)로 매니폴드(3)의 하단 개구부를 닫음으로써 처리 용기(1) 내를 밀폐 공간으로 한다. 웨이퍼(W)는, 예를 들면 직경 300 mm를 가지고 있으면 된다.
그리고, 처리 용기(1) 내를 소정의 프로세스 압력으로 유지하고, 또한, 가열 장치(40)로의 공급 전력을 제어하여 웨이퍼 온도를 상승시켜 프로세스 온도로 유지하고, 웨이퍼 보트(5)를 회전시킨 상태에서 성막 처리를 개시한다.
이 때의 성막 처리의 일례를 도 9에 도시한다. 본 일례는, 유기 실리콘을 포함하는 Si 소스 가스로서, 아미노실란 가스, 예를 들면, BTBAS를 이용한 경우이지만, 그 밖의 아미노실란 가스를 이용한 경우 및 에톡시실란 가스를 이용한 경우에도 마찬가지로 실시할 수 있다.
도 9에 도시한 바와 같이, 성막 처리의 일례에서는, 유기 실리콘을 포함하는 Si 소스 가스를 처리 용기(1) 내에 흘려 Si 소스를 흡착시키는 공정 S1과, 산소 함유 가스를 여기함으로써 형성된 산소 래디컬 등의 산소종을 포함하는 가스를 처리 용기(1)에 공급하여 Si 소스 가스를 산화시키는 공정 S2을 교대로 반복한다. 또한, 본 일례에서는, 이들 공정 S1와 공정 S2와의 사이에서 처리 용기(1) 내로부터 처리 용기(1) 내에 잔류하는 가스를 배기하는 공정 S3을 실시한다.
구체적으로는, 본 일례에서는 공정 S1에서 Si 소스 가스 공급 기구(15)의 Si 소스 가스 공급원(20)으로부터 Si 소스 가스로서 1 분자 내에 2 개의 아미노기를 갖는 아미노실란 가스, 예를 들면 BTBAS를 Si 소스 가스 배관(21) 및 Si 소스 가스 분산 노즐(22)을 통하여 가스 토출홀(22a)로부터 처리 용기(1) 내에 T1 기간 공급한다. 이에 의해, 웨이퍼(W)에 Si 소스를 흡착시킨다. 이 때의 기간(T1)은 1 ~ 60 sec가 예시된다. 또한, Si 소스 가스의 유량은 10 ~ 500 mL/min(sccm)가 예시된다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa가 예시된다.
플라즈마에 의해 생성된 산소 래디컬 등의 산소종을 포함하는 가스를 공급하는 공정 S2에서는, 산소 함유 가스 공급 기구(14)의 산소 함유 가스 공급원(17)으로부터 산소 함유 가스로서, 예를 들면 O2 가스를 산소 함유 가스 배관(18) 및 산소 함유 가스 분산 노즐(19)을 통하여 가스 토출홀(19a)로부터 토출하고, 이 때, 플라즈마 생성 기구(30)의 고주파 전원(35)을 온으로 하여 고주파 전계를 형성하고, 이 고주파 전계에 의해 산소 함유 가스, 예를 들면 O2 가스를 플라즈마화 한다. 그리고, 이와 같이 플라즈마화 된 산소 함유 가스를 처리 용기(1) 내로 공급한다. 이에 의해, 웨이퍼(W)에 흡착된 Si 소스가 산화되어 SiO2가 형성된다. 이 처리 기간(T2)은 5 ~ 300 sec의 범위가 예시된다. 또한, 산소 함유 가스의 유량은 웨이퍼 보트(5)에 유지되는 웨이퍼(W)의 매수에 따라서도 다르지만, 100 ~ 20000 mL/min(sccm)가 예시된다. 또한, 고주파 전원(35)의 주파수는 13.56 MHz이어도 되고, 파워로서는 5 ~ 1000 W이어도 된다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa이어도 된다.
이 경우에, 산소 함유 가스로서는, O2 가스 외에, NO 가스, N2O 가스, H2O 가 스, O3 가스를 들 수 있으며, 이들을 고주파 전계에 의해 플라즈마화하여 산화제로서 이용한다. 산화제로서는, 상기의 산소 함유 가스의 플라즈마에 한정되지 않으며, 그 밖의 산소 함유 가스의 플라즈마여도 좋으나, 그 중에서도 O2 플라즈마가 바람직하다. 산화제로서, 특히 O2 가스 플라즈마 중의 산소 래디컬을 포함하는 산소종을 이용함으로써, SiO2막의 성막이 300℃ 이하, 더 나아가 100℃ 이하, 이상적으로는 실온에서도 성막이 가능하다. 또한, 산소 함유 가스로서, 예시한 산소 함유 가스 중 하나를 이용해도 되고, 둘 이상을 이용해도 된다.
또한, 공정 S1과 공정 S2와의 사이에서 행해지는 공정 S3은, 공정 S1 이후 및 공정 S2 이후에 처리 용기(1) 내에 잔류하는 가스를 배기하여 다음 공정에서 원하는 반응을 발생시키는 공정이다. 공정 S3은, 처리 용기(1) 내를 배기하면서 퍼지 가스 공급 기구(16)의 퍼지 가스 공급원(23)으로부터 퍼지 가스 배관(24) 및 퍼지 가스 노즐(25)을 통하여 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급함으로써 행해진다. 이 공정 S3의 기간(T3)으로서는 1 ~ 60 sec가 예시된다. 또한, 퍼지 가스 유량으로서는 50 ~ 5000 mL/min(sccm)가 예시된다. 또한, 이 공정 S3은 처리 용기(1) 내에 잔류하고 있는 가스를 배기할 수 있으면, 퍼지 가스를 공급하지 않고 모든 가스의 공급을 정지하여 진공 배기를 계속하게 해도 된다. 단, 퍼지 가스를 공급함으로써, 단시간에 처리 용기(1) 내의 잔류 가스를 배기할 수 있다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa이어도 된다.
이와 같이 하여, 공정 S1과 공정 S2의 사이에 처리 용기(1) 내로부터 가스를 제거하는 공정 S3을 삽입하여 교대로 간헐적으로 Si 소스 가스와, 산소 래디컬 등의 산소종을 포함하는 O2 플라즈마를 반복하여 공급함으로써, SiO2막의 얇은 막을 한 층씩 반복 적층하여 소정의 두께로 할 수 있다.
이 때의 반응예를 도 10에 도시한다. 도 10에는 반응예가 모식적으로 도시된다. 본 반응예에서는, 일례로서 Si 소스 가스로 BTBAS를 이용한 때를 예시한다.
도 10(a)에 도시한 바와 같이, 이미 퇴적된 SiO2막의 표면에는 OH기가 존재하고 있으며, 거기에 Si 소스로서 예를 들면, BTBAS가 공급된다. 그리고, Si 소스가 흡착되는 공정(공정 S1)에서는, 도 10(b)에 도시한 바와 같이, BTBAS의 Si가 표면의 OH기의 산소 원자와 결합하여 트리메틸아미노기를 이탈시킨다. 이 때, 2 개의 아미노기를 갖는 아미노실란인 BTBAS는 OH와의 반응성이 높고, 또한, 아미노기는 이와 같은 Si와 OH기와의 반응의 구조적인 장해물이 되기 어려우므로, Si의 흡착 반응이 신속하게 진행된다. 이 때 이탈된 트리메틸아미노기는 공정 S3에 의해 처리 용기(1)로부터 배기된다. 그리고, 다음의 산화 공정(공정 S2)에서는, 도 10(c)에 도시한 바와 같이, 트리메틸아미노기가 이탈한 후의 Si 화합물이 O2 가스 플라즈마 중의 산소 래디컬을 포함하는 산소종에 의해 산화되어 SiO2가 된다(단, 표면에는 H가 흡착되어 OH기가 형성되어 있다). 이와 같이, O2 가스 플라즈마 중의 산소 래디컬을 포함하는 산소종을 이용한 산화 반응은 순수한 화학적 반응과는 달리, 높은 온도가 불필요하므로 저온에서의 반응이 가능하다.
BTBAS는, Si 소스 가스로서 이용하는 1 분자 내에 2 개의 아미노기를 갖는 아미노실란 가스이다. 이와 같은 아미노실란 가스로서는, BTBAS 외에, BDEAS(비스 디에틸아미노실란), BDMAS(비스디메틸아미노실란)를 들 수 있다.
또한, Si 소스 가스로는, 1 분자 내에 3 개 이상의 아미노기를 갖는 아미노실란 가스를 이용하는 것도 가능하고, 1 분자 내에 1 개의 아미노기를 갖는 아미노실란 가스를 이용하는 것도 가능하다.
제 1 실시예는, Si 소스로서 유기 실리콘을 포함하는 소스 가스를 이용하고, 산화 처리에 있어서 반응이 온도를 상승시키지 않고 진행할 수 있는 O2 가스 플라즈마에 포함되는 산소 래디컬을 포함하는 산소종을 이용하여 이들을 교대로 공급하므로, 양호한 막질의 SiO2막을 100℃ 이하, 더 나아가 실온 등의 종래에서는 생각할 수 없는 저온이며 높은 성막률로 성막할 수 있다.
이와 같이, 본 실시예에서는, 원리적으로 100℃ 이하라는 극저온에서 성막할 수 있으나, 그보다도 높은 온도여도 성막이 가능하다. 단, 성막 온도가 상승함에 따라서 막 두께 불균일이 커지는 것과, 레지스트 패턴(103')에 주는 열적인 영향을 고려하면, 성막 온도는 100℃ 이하인 것이 가장 바람직하다.
이어서, 제 1 실시예에 기초하여 실제로 성막한 결과에 대해 설명한다.
우선, 산화제에 대해 실험을 행하였다. Si 소스 가스로서 BTBAS를 이용하고, 산화 처리에 O2 가스 플라즈마를 이용하고, 이들을 교대로 공급함으로써 SiO2막을 성막했다. 여기에서는, 처리 용기 내에 300 mm의 웨이퍼를 100 매 삽입하고, 성막 온도를 100℃로 하고, BTBAS의 공급량을 30 mL/min(sccm), 압력을 53Pa로 하여 공정 S1을 30 sec 행하고, O2 가스의 공급량을 2000 mL/min(sccm), 압력을 665 Pa, 13.56 MHz의 고주파 파워를 50 W로 하여 공정 S2를 40 sec 행하고, 이것을 42 사이클 반복하여 SiO2막을 성막했다. 또한, 처리 용기 내의 퍼지를 위해, 공정 S1 전에는 처리 용기 내의 진공 배기하면서 3500 mL/min(sccm)의 유량으로 퍼지 가스로서 N2 가스를 7 sec 동안 공급하고, 공정 S2의 전에는 처리 용기 내의 진공 배기하면서 3500 mL/min(sccm)의 유량으로 퍼지 가스로서 N2 가스를 6 sec 동안 공급했다.
비교를 위해, 공정 S2의 산화 처리 시의 산화제로서 O3 가스를 플라즈마화하지 않고 250 g/Nm3의 유량으로 공급한 것 이외에는 상기 조건과 동일하게 하여 SiO2막을 성막했다.
그 결과, 본 발명의 실시예에 기초하여, 산화제로서 O2 가스 플라즈마 중의 산소 래디컬을 포함하는 산소종을 이용한 경우에는, 산소를 래디컬화하지 않은 O3 가스를 이용한 경우보다도 5 배 정도의 성막률(속도)이 얻어지는 것이 확인되었다. 또한, O2 가스 플라즈마를 이용한 경우에는 막 두께의 면 내 불균일도 매우 작음이 확인되었다.
이어서, 성막 온도에 대해 실험을 행했다.
여기에서는, Si 소스 가스로서 BTBAS를 이용하고, 산화 처리에 O2 가스 플라즈마를 이용하고, 성막 온도 이외에는 상기 실험과 마찬가지로 성막을 행했다. 온도는 실온(25℃), 75℃, 100℃, 200℃, 300℃로 변화시켜 실험을 행했다.
그 결과, 100℃ 이하라는 저온에서도 높은 성막률로 성막할 수 있고, 실온에서도 충분히 실용적인 성막이 가능함이 확인되었다. 또한, 100℃ 이하, 특히 25℃(실온) ~ 75℃라는 저온 영역에서는, 높은 성막률로 균일성이 높은 막 두께가 얻어짐이 확인되었다. 또한, 성막 온도가 300℃를 초과하면 막 두께의 불균일이 커지게 되어, 성막 온도는 300℃ 이하가 바람직함이 확인되었다. 특히, 레지스트 패턴 상에 성막하는 경우에는 100℃ 이하가 바람직함은 전술한 바와 같다.
다음으로, 하드닝 처리 시의 불순물 농도를 측정했다.
여기에서는, Si 소스 가스로서 BTBAS를 이용하고, 산화 처리에 O2 가스 플라즈마를 이용하여 성막한 경우와, O3 가스를 이용하여 성막한 경우에, 불순물로서 탄소(C) 및 질소(N)의 농도를 비교해 보았다. 측정은 2차 이온 질량 분석(SIMS)을 이용하여 행했다.
그 결과를 도 11에 도시한다. 이 도면에 도시한 바와 같이, O2 가스 플라즈마를 이용하여 SiO2막을 성막한 경우의 탄소(C)의 농도 및 질소(N)의 농도를, 각각 “1”로서 규격화했을 때, O3 가스를 이용하여 성막한 경우에는 탄소(C)의 농도는 20 배, 질소(N)의 농도는 8 배라는 측정 결과가 얻어졌다. 이 결과로부터, 실리콘 산화막(105) 형성 시에, O2 가스 플라즈마를 이용하여 성막하는 것이, 불순물의 저감에 효과적임이 확인되었다. 포토레지스트막은 일반적으로 암모니아에 약하고, 암모니아의 발생이 포토레지스트 포이즈닝의 한 원인이 된다. 암모니아의 발생을 억제하기 위해서는, 질소(N)의 발생을 억제하는 것이 효과적이다. O2 가스 플라즈마를 이용하여 산화 처리하면, 질소(N)의 발생량을 적게 억제할 수 있으므로 포토레지스트 포이즈닝을 억제하는 것이 가능하게 된다. 이는, 레지스트 패턴(103')을 피복하는 실리콘 산화막(105)의 형성에 유리하다.
도 3에 도시한 실리콘 산화막(105)은, 상기 성막 장치(80)와, 상술한 성막 방법에 의해, 포토레지스트막(103)(레지스트 패턴(103'))의 내열 온도 이하의 매우 낮은 온도이고, 또한, 막 두께의 제어성도 좋게 형성할 수 있다. 따라서, 레지스트 패턴(103')을 측벽 스페이서(105')를 형성하기 위한 기체(基體)(희생막)로서 이용할 수 있다. 레지스트 패턴(103')을 희생막으로서 이용함으로써, 예를 들면, 특허 문헌 1에 기재된 미세 패턴의 형성 방법에 비교하여, 희생막(실리콘 질화막)을 패터닝하는 공정을 생략할 수 있다. 따라서, 제 1 실시예에 따르면, 해상 한계 이하의 폭을 갖는 미세 패턴을 적은 제조 공정으로 형성할 수 있다는 이점이 초래된다.
이와 같이 하여 형성된 박막(102)의 미세 패턴은, 예를 들면, 트랜지스터의 게이트 전극 또는 반도체 메모리의 비트선과 같이, 고밀도로 배치되는 집적 회로 패턴에 이용할 수 있다. 환언하면, 상기의 성막 방법은 집적 회로의 제조 프로세스 에서의 게이트 전극 형성 공정 또는 비트선 형성 공정에 바람직하게 적용할 수 있다.
(제 2 실시예)
도 12 내지 도 18은, 본 발명의 제 2 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
제 2 실시예는, 본 발명에 따른 패터닝 방법을 실제의 반도체 프로세스에, 보다 바람직하게 적용할 수 있는 일례를 도시한 것이다.
우선, 도 12에 도시한 바와 같이, 반도체 기판(101) 상에 에칭스토퍼막(106)을 형성한다. 에칭스토퍼막(106)은 다음에 형성되는 박막을 에칭했을 때, 그 에칭을 정지시키도록 기능한다. 본 예에서는, 일례로서 에칭스토퍼막(106)을 실리콘 질화막으로 한다. 이어서, 에칭스토퍼막(106) 상에 이 에칭스토퍼막(106)의 재료와 다른 재료의 박막(102)을 형성한다. 본 예에서는 일례로서 박막(102)을 제 1 실시예와 마찬가지로 도전성 폴리실리콘막으로 한다. 이어서, 박막(102) 상에 이 박막(102)의 재료와 다른 재료의 하드 마스크막(107)을 형성한다. 하드 마스크막(107)은 박막(102)을 에칭할 때의 에칭의 마스크가 되는 막이다. 본 예에서는, 일례로서 하드 마스크막(107)을 실리콘 질화막으로 한다. 이어서, 하드 마스크막(107) 상에 포토레지스트를 도포하고, 포토레지스트막(103)을 형성한다.
다음으로, 도 13에 도시한 바와 같이, 포토리소그래피 기술을 이용하여, 포토레지스트막(103)을 소정의 간격을 갖는 레지스트 패턴(103')으로 가공한다. 본 예에서도, 레지스트 패턴(103')은 제 1 실시예와 마찬가지로, 라인·앤드·스페이 스 패턴을 갖고, 라인의 간격은 노광 장치의 해상 한계 정도로 한다. 본 예에서도, 레지스트 패턴(103')의 평면 방향에 따른 폭(Wr)은 해상 한계 정도이다.
이어서, 도 14에 도시한 바와 같이, 레지스트 패턴(103'), 및 하드 마스크막(107) 상에, 레지스트 패턴(103') 및 박막(102)과는 다른 실리콘 산화막(105)을 형성한다. 본 예의 실리콘 산화막(105)도, 제 1 실시예와 마찬가지로, 유기 실리콘을 포함하는 소스 가스와, 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급함으로써 형성한다. 이에 의해, 실리콘 산화막(105)을, 예를 들면, 포토레지스트막(103)(레지스트 패턴(103'))의 내열 온도 이하의 온도에서 형성할 수 있다.
다음으로, 도 15에 도시한 바와 같이, 도 14에 도시한 실리콘 산화막(105)을 에칭백함으로써, 레지스트 패턴(103') 및 하드 마스크막(107) 상의 실리콘 산화막(105)을 제거하여, 레지스트 패턴(103')의 측벽에 측벽 스페이서(105')를 형성한다. 이 에칭백은 이방성 에칭이며, 그 일례로 RIE법 등이 있다. 측벽 스페이서(105')의 평면 방향에 따른 폭(WSiO2)은, 레지스트 패턴(103')의 폭(Wr)보다 좁게 할 수 있으므로, 폭(WSiO2)은 노광 장치의 해상 한계 이하로 할 수 있다.
다음으로, 도 16에 도시한 바와 같이, 측벽 스페이서(105') 및 하드 마스크막(107)을 마스크로 이용하여 레지스트 패턴(103')을 제거한다. 레지스트 패턴(103')의 제거에는, 일례로서 애싱을 이용할 수 있다.
이어서, 도 17에 도시한 바와 같이, 측벽 스페이서(105')를 에칭의 마스크로 이용하여 하드 마스크막(107)을 에칭하고, 하드 마스크막(107)을 소정의 간격을 갖는 패턴으로 가공한다. 가공된 하드 마스크막(107)의 평면 방향에 따른 폭(Wh)은 측벽 스페이서(105')의 폭(WSiO2)과 동등하거나, 또는 거의 동등해지므로, 폭(Wh)은 해상 한계 이하로 할 수 있다.
다음으로, 도 18에 도시한 바와 같이, 예를 들면, 측벽 스페이서(105')를 제거한 후, 가공된 하드 마스크막(107)을 마스크로 이용하여, 박막(102)을 소정의 간격을 갖는 패턴으로 가공한다. 가공된 박막(102)의 평면 방향에 따른 폭(Wt)은 하드 마스크막(107)의 폭(Wh)과 동등하거나, 또는 거의 동등해진다. 따라서, 폭(Wt)은 해상 한계 이하로 할 수 있다.
이와 같이 하여, 제 2 실시예에 따르면, 해상 한계 이하의 폭(Wt)을 갖는 박막(102)의 미세 패턴을 형성할 수 있다.
제 2 실시예에서도, 제 1 실시예와 마찬가지로, 실리콘 산화막(105)을 유기 실리콘을 포함하는 소스 가스와, 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급하여 형성하므로, 레지스트 패턴(103')을 측벽 스페이서(105')를 형성하기 위한 기체(희생막)로서 이용할 수 있다. 레지스트 패턴(103')을 희생막으로서 이용함으로써, 예를 들면, 특허 문헌 1에 기재된 미세 패턴의 형성 방법과 비교하여, 희생막(실리콘 질화막)을 패터닝하는 공정을 생략할 수 있고, 해상 한계 이하의 폭을 갖는 미세 패턴을 적은 제조 공정으로 형성할 수 있다는 이점이 초래된다.
또한, 제 2 실시예에서는, 박막(102)의 아래에 에칭스토퍼막(106)을 형성하 고, 또한, 박막(102)의 위에 하드 마스크막(107)을 형성한다. 이 때문에, 예를 들면, 측벽 스페이서(105')를 에칭의 마스크로 이용하여 박막(102)을 에칭하여, 박막(102)을 가공하는 경우와 비교하여, 막 두께가 두꺼운 박막(102)을 가공할 수 있다는 이점이 초래된다. 또한, 박막(102)의 에칭은 에칭스토퍼막(106)에서 정지되므로, 막 두께가 두꺼운 박막(102)을 에칭했다고 해도, 박막(102)의 아래에 과잉으로 에칭이 진행되지 않는다는 이점이 초래된다. 이와 같은 이점으로부터, 제 2 실시예에 따르면, 예를 들면, 애스팩트비(높이 / 폭)가 높은 박막(102)의 패턴을 형성할 수 있다. 애스팩트비가 높은 박막(102)의 패턴은, 예를 들면, 평면에서 본 면적은 작지만 단면적은 크다. 이 때문에, 저항치를 작게할 수 있고, 배선 패턴, 예를 들면, 반도체 메모리의 비트선 패턴과 같은 배선 패턴의 적용에 유리하다.
또한, 제 1 박막으로서 열산화막 등의 게이트 절연막을 이용하고, 제 2 박막으로서 게이트 전극이 되는 폴리실리콘 등을 이용함으로써, 미세화된 게이트 구조를 형성하는 것이 가능하게 된다.
(제 3 실시예)
도 19 내지 도 24는 본 발명의 제 3 실시예에 따른 패터닝 방법을 주요 제조 공정마다에 도시한 단면도이다.
제 3 실시예는, 레지스트 패턴(103')을 트리밍하는 예이다. 레지스트 패턴(103')을 트리밍함으로써, 박막(102)으로 이루어진 미세 패턴을 더욱 미세하게 형성할 수 있다.
우선, 도 19에 도시한 바와 같이, 도 1 및 도 2를 참조하여 설명한 방법에 따라 박막(102) 상에 레지스트 패턴(103')을 형성한다. 본 예에서는, 레지스트 패턴(103')의 평면 방향에 따른 폭(Wr)이 해상 한계 정도이다.
이어서, 도 20에 도시한 바와 같이, 레지스트 패턴(103')을 트리밍하고, 트리밍된 레지스트 패턴(103'S)을 얻는다(본 명세서에서는 트리밍 처리라고 함). 트리밍 처리는, 산소 래디컬 또는 오존 가스를 포함하는 분위기 중에서 실온 ~ 100℃의 온도로 행해진다. 레지스트 패턴(103')을 트리밍함으로써, 트리밍된 레지스트 패턴(103'S)의 평면 방향에 따른 폭(Wr)은 해상 한계 이하로 좁아진다.
다음으로, 도 21에 도시한 바와 같이, 트리밍된 레지스트 패턴(103'S) 및 박막(102) 상에 제 1 실시예와 마찬가지로, 유기 실리콘을 포함하는 소스 가스와, 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급함으로써, 실리콘 산화막(105)을 형성한다. 이에 의해, 실리콘 산화막(105)을, 예를 들면 포토레지스트막(103)(레지스트 패턴(103'), 트리밍된 레지스트 패턴(103'S))의 내열 온도 이하의 온도에서 형성할 수 있다.
이어서, 도 22에 도시한 바와 같이, 도 21에 도시한 실리콘 산화막(105)을, 예를 들면 RIE법에 의해 이방적으로 에칭백하고, 트리밍된 레지스트 패턴(103'S) 및 박막(102) 상의 실리콘 산화막(105)을 제거하여, 트리밍된 레지스트 패턴(103'S)의 측벽에 측벽 스페이서(105')를 형성한다. 측벽 스페이서(105')의 평면 방향에 따른 폭(WSiO2)은 트리밍된 레지스트 패턴(103'S)의 폭(Wr)보다도 좁아지고, 폭(WSiO2)도 노광 장치의 해상 한계 이하로 할 수 있다.
다음으로, 도 23에 도시한 바와 같이, 측벽 스페이서(105') 및 박막(102)을 마스크로 이용하여, 트리밍된 레지스트 패턴(103'S)을 제거한다. 트리밍된 레지스트 패턴(103'S)의 제거에는, 일례로서 애싱을 이용할 수 있다.
이어서, 도 24에 도시한 바와 같이, 측벽 스페이서(105')를 에칭의 마스크로 이용하여 박막(102)을 에칭하고, 박막(102)을 소정의 간격을 갖는 패턴으로 가공한다. 가공된 박막(102)의 평면 방향에 따른 폭(Wt)은 측벽 스페이서(105')의 폭(WSiO2)과 동등하거나, 또는 거의 동등해지므로, 폭(Wt)은 해상 한계 이하로 할 수 있다.
또한, 제 3 실시예에 따르면, 레지스트 패턴(103')이 수축되므로, 측벽 스페이서(105') 사이의 스페이스폭(St)도 해상 한계 이하로 할 수 있다.
이와 같이 하여, 제 3 실시예에 따르면, 해상 한계 이하의 폭(Wt)과, 해상 한계 이하의 스페이스 폭(St)을 갖는 박막(102)의 미세 패턴을 형성할 수 있다.
또한, 도 24에 도시한 바와 같이, 라인폭(Wt)과 스페이스폭(St)이 거의 동등해지고 있으나, 이는 포토레지스트막(103)의 라인·앤드·스페이스 패턴을 적절히 설계함으로써 실현된다. 단, 포토레지스트막(103)의 라인·앤드·스페이스 패턴을 에칭된 박막(102)의 라인폭(Wt)과 스페이스폭(St)이 다르도록 설계하면 좋다고 하는 것은 명백하다.
물론, 제 3 실시예에서도 제 1 실시예와 마찬가지로, 실리콘 산화막(105)을 유기 실리콘을 포함하는 소스 가스와, 플라즈마에 의해 여기된 산소 래디컬 등의 산소종을 포함하는 가스를 교대로 공급하여 형성하므로, 레지스트 패턴(103')을 측벽 스페이서(105')를 형성하기 위한 기체(희생막)로서 이용할 수 있다. 레지스트 패턴(103')을 희생막으로서 이용함으로써, 예를 들면, 전술한 특허 문헌 1에서의 희생막(실리콘 질화막)을 패터닝하는 공정을 생략할 수 있고, 해상 한계 이하의 폭을 갖는 미세 패턴을, 적은 제조 공정으로 형성할 수 있다는 이점이 초래된다.
또한, 제 3 실시예는, 제 2 실시예와 조합하는 것이 가능하다. 이 경우에는, 예를 들면, 도 13을 참조하여 설명한 공정과, 도 14를 참조하여 설명한 공정 사이에, 도 20을 참조하여 설명한 트리밍 처리가 행해진다. 이 조합에 의해 얻어지는 이점은 제 2 실시예로부터 얻어지는 이점에, 제 3 실시예로부터 얻어지는 이점을 합한 것이 된다.
또한, 본 발명은 상기 실시예에 한정되는 것은 아니며, 다양하게 변경할 수 있다. 예를 들면, 상기 실시예에서는 본 발명을 복수의 반도체 웨이퍼를 탑재하여 일괄되게 성막을 행하는 뱃치식의 성막 장치에 적용한 예를 제시하였으나, 이에 한정되지 않고, 1 매의 웨이퍼마다 성막을 행하는 매엽식의 성막 장치에 적용할 수도 있다. 또한, 에톡시실란 가스 및 아미노실란 가스로서는, 상기 실시예에 예시한 것에 한정되지 않는다. 또한, 산화제로서, 다양한 산소 함유 가스를 플라즈마화함으로써 생성한 산소 래디컬 등을 예시하였으나, 이에 한정되지 않으며, 산소 래디컬 등의 산소종을 생성할 수 있는 한, 어떠한 가스여도 이용이 가능하다. 또한, 상술한 산소종은 플라즈마에 의하지 않고, 다양한 산소 함유 가스에 레이저광 또는 자외역광(파장:약 350 nm 이하)을 조사하여 산소 분자 등을 활성화함으로써 생성해도 좋고, 또한, 산소 함유 가스를 열적으로 활성화함으로써 생성해도 좋다.
또한, 상기 실시예에서는, Si 소스 가스와 플라즈마에 의해 여기된 산소종을 포함하는 가스를 완전히 교대로 공급하였으나, Si 소스 가스를 공급할 때에도 플라즈마 등에 의해 활성화(여기)된 산소종을 포함하는 가스를 공급해도 된다.
또한, 상기의 실시예에서 설명한 패터닝 방법은, 이하의 프로세스를 계속 행함으로써 반도체 장치를 제조하는 방법으로 발전시킬 수 있다. 즉, 에칭 대상인 박막(102)이 질화 실리콘 등의 절연성의 재료로 형성되는 경우, 박막(102)을 에칭하여 미세 패턴을 얻는 단계를 행한 후(도 6, 도 24 참조), 이 에칭에서 에칭 마스크로서 사용한 측벽 스페이서(105')를 제거하는 단계를 행하면, 도 25A에 도시한 바와 같이, 반도체 기판(101) 상에 미세 패턴(이하, 편의상 절연막(102a)이라고 함)이 남는다. 이어서, 절연막(102a)의 간극을 반도체, 예를 들면 실리콘으로 매립하는 단계와, 매립한 반도체를 평탄화하여 절연막(102a)의 상면을 노출시키는 단계를 행하면, 도 25B에 도시한 바와 같이, 절연막(102a)과 실리콘부(110a)가 교대로 나란히 형성된다. 이와 같이 하면, 실리콘부(110a)를 소자 영역으로 하고, 절연막(102a)을 소자 분리 영역으로 하는 반도체 장치를 제조할 수 있게 된다. 또한, 절연막(102a)의 간극을 반도체로 매립할 때, 반도체에 소정의 불순물을 첨가하여 전도 제어(전도형 및 / 또는 전도도의 제어)를 행해도 된다. 또한, 측벽 스페이서(105')를 제거하는 단계를 행하지 않고, 반도체로 매립하는 단계를 행해도 된다. 이와 같이 해도, 평탄화하는 단계에서 측벽 스페이서(105')를 제거할 수 있기 때문이다. 단, 측벽 스페이서(105')를 제거하는 것이, 절연막(102a)의 간극을 반 도체로 매립할 때에 애스팩트비를 작게할 수 있다는 점에서 바람직하다.
또한, 에칭 대상인 박막(102)이 반도체, 예를 들면 실리콘으로 형성되는 경우, 박막(102)을 에칭하여 미세 패턴을 얻는 단계를 행한 후(도 6, 도 24 참조), 이 에칭에서 에칭 마스크로서 사용한 측벽 스페이서(105')를 제거하는 단계를 행하면, 미세 패턴(이하, 편의상 실리콘막(102b)이라 함)이 반도체 기판(101) 상에 남는다. 이어서, 실리콘막(102b)의 간극을 산화 실리콘, 산질화 실리콘, 질화 실리콘 등의 절연성의 재료로 매립하는 단계와, 매립한 절연성의 재료를 평탄화하여 실리콘막(102b)의 상면을 노출시키는 단계를 행하면, 도 26B에 도시한 바와 같이, 실리콘막(102b)과 절연부(110b)가 교대로 나란히 형성된다. 이와 같이 하면, 실리콘막(102b)을 소자 영역으로 하고, 절연부(110b)를 소자 분리 영역으로 하는 반도체 장치를 제조할 수 있게 된다. 또한, 박막(102)을 반도체로 형성하는 경우, 사전에 이온 임플란테이션에 의해 박막(102)에 소정의 불순물을 첨가하여 전도 제어(전도형 및 / 또는 전도도의 제어)를 행해도 된다. 또한, 박막(102)을 CVD 등에 의해 형성하는 경우에 소정의 도펀트 가스를 이용하여 불순물을 첨가하고 전도 제어를 행해도 상관없다. 또한, 측벽 스페이서(105')를 제거하는 단계를 행하지 않고, 절연성의 재료로 매립하는 단계를 행해도 된다. 이와 같이 해도, 평탄화하는 단계에서 측벽 스페이서(105')를 제거할 수 있기 때문이다. 단, 측벽 스페이서(105')를 제거하는 것이, 실리콘막(102b)의 간극을 절연성의 재료로 매립할 때에 애스팩트비를 작게할 수 있다는 점에서 바람직하다.
또한, 제 1 내지 제 3 실시예에 따른 패터닝 방법은, 일례로서, 도 27에 도 시한 반도체 장치의 제조에 이용할 수 있다. 도 27은, 반도체로 형성되고, 제 1 내지 제 3 실시예 중 어느 하나의 패터닝 방법에 의해 형성된 박막(102)과, 이 박막(102)을 사이에 끼우도록 형성된 절연층(GOX)과, 절연층(GOX)에 접하는 전극층(G)을 구비하는, 이른바 Fin형 전계 효과 트랜지스터(FET)의 단면도이다. 본 발명의 실시예에 따른 패터닝 방법에 의하면, 포토리소그래피에 이용할 수 있는 노광 장치의 해상 한계 치수보다도 좁은 폭을 갖는 박막(102)을 형성할 수 있으므로, Fin형 FET를 미세화할 수 있고, 집적 밀도의 향상이 가능해진다.
또한, 상기 실시예에서는, 플라즈마를 형성하는 기구를 처리 용기에 일체적으로 조립한 예에 대해 설명하였으나, 이에 한정되지 않으며, 처리 용기와는 별체로 설치하여 처리 용기의 바깥에서 사전에 플라즈마화화여 처리 용기에 도입하는 리모트 플라즈마 장치를 이용해도 된다.
또한, 성막 장치(80, 80')의 웨이퍼 보트(5)는, 50 ~ 100 매의 웨이퍼(W)를 탑재할 수 있다고 설명했으나, 탑재 웨이퍼 매수는 이 범위에 한정되지 않는다. 예를 들면, 성막 장치(80, 80')는, 비교적 적은 매수의 웨이퍼, 예를 들면, 2 ~ 15 매 정도를 탑재할 수 있는 웨이퍼 보트(5)를 갖고 있으면 된다. 또한, 성막 장치(80, 80')는, 2 ~ 15 매 정도의 비교적 적은 매수의 웨이퍼를 일괄적으로 처리하는 것을 의도한, 이른바 세미·뱃치(미니·뱃치) 타입의 성막 장치로서 구성해도 된다.
또한, 피처리체로서는, 반도체 웨이퍼에 한정되지 않으며, LCD 글라스 기판 등의 그 밖의 기판에도 본 발명을 적용할 수 있다.
본 국제 출원은 2007년 6월 8일에 출원된 일본특허출원 제2007-153185호에 기초한 우선권을 주장하는 것으로, 제2007-153185호의 모든 내용을 여기에 원용(援用)한다.

Claims (25)

  1. 기판 상에 제 1 막을 형성하는 공정과,
    상기 제 1 막 상에, 레지스트막을 포함하는 다층막을 형성하는 공정과,
    상기 레지스트막을 포토리소그래피에 의해 가공하여, 소정의 패턴을 갖는 패턴화 레지스트막을 형성하는 공정과,
    유기 실리콘을 포함하는 제 1 가스와 활성화된 산소종을 포함하는 제 2 가스를 상기 기판에 교대로 공급하여, 상기 패턴화 레지스트막 및 상기 제 1 막 상에, 상기 제 1 막과 다른 산화 실리콘막을 형성하는 공정과,
    상기 패턴화 레지스트막의 측벽에 측벽 스페이서가 형성되도록 상기 산화 실리콘막을 에칭하는 공정과,
    상기 패턴화 레지스트막을 제거하는 공정과,
    상기 측벽 스페이서를 마스크로서 이용하여, 상기 제 1 막을 가공하는 공정
    을 구비하는 패터닝 방법.
  2. 기판 상에 제 1 막을 형성하는 공정과,
    상기 제 1 막 상에, 상기 제 1 막의 재료와 다른 재료의 제 2 막을 형성하는 공정과,
    상기 제 2 막 상에 상기 제 2 막의 재료와 다른 재료의 하드 마스크막을 형성하는 공정과,
    상기 하드 마스크막 상에 레지스트막을 형성하는 공정과,
    상기 레지스트막을 포토리소그래피에 의해 가공하여, 소정의 패턴을 갖는 패턴화 레지스트막을 형성하는 공정과,
    유기 실리콘을 포함하는 제 1 가스와 활성화된 산소종을 포함하는 제 2 가스를 해당 기판에 교대로 공급하여, 상기 패턴화 레지스트막 및 상기 하드 마스크막 상에, 상기 하드 마스크막과 다른 산화 실리콘막을 형성하는 공정과,
    상기 패턴화 레지스트막의 측벽에 측벽 스페이서가 형성되도록 상기 산화 실리콘막을 에칭하는 공정과,
    상기 패턴화 레지스트막을 제거하는 공정과,
    상기 측벽 스페이서를 마스크로서 이용하여, 상기 하드 마스크막을 가공하는 공정과,
    상기 가공된 하드 마스크막을 마스크로서 이용하여, 상기 제 2 막을 가공하는 공정
    을 구비하는 패터닝 방법.
  3. 제 2 항에 있어서,
    상기 제 1 막은 에칭스토퍼막인 패터닝 방법.
  4. 제 2 항에 있어서,
    상기 가공된 제 2 막이 게이트 전극으로서의 기능을 할 수 있고, 상기 제 1 막이 게이트 절연막으로서의 기능을 할 수 있는 패터닝 방법.
  5. 제 1 항에 있어서,
    상기 패턴화 레지스트막을 트리밍하는 공정을 더 구비하는 패터닝 방법.
  6. 제 1 항에 있어서,
    상기 유기 실리콘이 아미노실란인 패터닝 방법.
  7. 제 1 항에 있어서,
    상기 유기 실리콘이 1 가 또는 2 가의 아미노실란인 패터닝 방법.
  8. 제 7 항에 있어서,
    상기 1 가 또는 2 가의 아미노실란이,
    BTBAS(비스터셔리부틸아미노실란),
    BDMAS(비스디메틸아미노실란),
    BDEAS(비스디에틸아미노실란),
    DMAS(디메틸아미노실란),
    DEAS(디에틸아미노실란),
    DPAS(디프로필아미노실란),
    BAS(부틸아미노실란),
    DIPAS(디이소프로필아미노실란) 및
    BEMAS(비스에틸메틸아미노실란)으로부터 선택된 적어도 하나인 패터닝 방법.
  9. 제 1 항에 있어서,
    상기 활성화된 산소종은 산소 함유 가스를 플라즈마화함으로써 생성되는 패터닝 방법.
  10. 제 9 항에 있어서,
    상기 산소 함유 가스가, O2 가스, NO 가스, N2O 가스, H2O 가스, O3 가스 중 적어도 하나인 패터닝 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 산화막은, 진공 유지가 가능한 처리 용기 내에서, 상기 제 1 가스를 상기 처리 용기 내로 공급하는 공정과, 상기 제 2 가스를 상기 처리 용기 내로 공급하는 공정을 교대로 실시함으로써 형성되는 패터닝 방법.
  12. 제 11 항에 있어서,
    상기 제 1 가스를 상기 처리 용기 내로 공급하는 공정과, 상기 제 2 가스를 상기 처리 용기 내로 공급하는 공정 사이에, 상기 처리 용기 내에 잔류하고 있는 가스를 배기하는 공정을 더 구비하는 패터닝 방법.
  13. 제 12 항에 있어서,
    상기 처리 용기 내에 잔류하고 있는 가스를 배기하는 공정은, 상기 처리 용기 내를 진공 배기하면서 상기 처리 용기 내에 퍼지 가스를 도입하는 패터닝 방법.
  14. 제 1 항에 있어서,
    상기 실리콘 산화막을 형성할 때의 성막 온도가 상기 레지스트막의 내열 온도 이하인 패터닝 방법.
  15. 제 14 항에 있어서,
    상기 성막 온도는 100℃ 이하인 패터닝 방법.
  16. 제 2 항에 있어서,
    상기 패턴화 레지스트막을 트리밍하는 공정을 더 갖는 패터닝 방법.
  17. 제 2 항에 있어서,
    상기 유기 실리콘이 아미노실란인 패터닝 방법.
  18. 제 2 항에 있어서,
    상기 유기 실리콘이 1 가 또는 2 가의 아미노실란인 패터닝 방법.
  19. 제 18 항에 있어서,
    상기 1 가 또는 2 가의 아미노실란이,
    BTBAS(비스터셔리부틸아미노실란),
    BDMAS(비스디메틸아미노실란),
    BDEAS(비스디에틸아미노실란),
    DMAS(디메틸아미노실란),
    DEAS(디에틸아미노실란),
    DPAS(디프로필아미노실란),
    BAS(부틸아미노실란),
    DIPAS(디이소프로필아미노실란) 및
    BEMAS(비스에틸메틸아미노실란)으로부터 선택된 적어도 하나인 패터닝 방법.
  20. 제 2 항에 있어서,
    상기 활성화된 산소종은 산소 함유 가스를 플라즈마화함으로써 생성되는 패터닝 방법.
  21. 제 20 항에 있어서,
    상기 산소 함유 가스가, O2가스, NO 가스, N20 가스, H2O 가스, O3가스 중 어느 하나인 패터닝 방법.
  22. 제 2 항에 있어서,
    상기 실리콘 산화막은, 진공 유지가 가능한 처리 용기 내에서, 상기 제 1 가스를 상기 처리 용기 내로 공급하는 공정과, 상기 제 2 가스를 상기 처리 용기 내로 공급하는 공정을 교대로 실시함으로써 형성되는 패터닝 방법.
  23. 제 22 항에 있어서,
    상기 제 1 가스를 상기 처리 용기 내로 공급하는 공정과, 상기 제 2 가스를 상기 처리 용기 내로 공급하는 공정 사이에, 상기 처리 용기 내에 잔류하고 있는 가스를 배기하는 공정을 더 구비하는 패터닝 방법.
  24. 제 2 항에 있어서,
    상기 실리콘 산화막을 형성할 때의 성막 온도가 상기 레지스트막의 내열 온도 이하인 패터닝 방법.
  25. 제 24 항에 있어서,
    상기 성막 온도는 100℃ 이하인 패터닝 방법.
KR1020097004487A 2007-06-08 2008-06-06 패터닝 방법 KR101011490B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007153185 2007-06-08
JPJP-P-2007-153185 2007-06-08

Publications (2)

Publication Number Publication Date
KR20090051186A KR20090051186A (ko) 2009-05-21
KR101011490B1 true KR101011490B1 (ko) 2011-01-31

Family

ID=40093793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097004487A KR101011490B1 (ko) 2007-06-08 2008-06-06 패터닝 방법

Country Status (5)

Country Link
US (1) US8168375B2 (ko)
JP (1) JP4589984B2 (ko)
KR (1) KR101011490B1 (ko)
TW (1) TW200913010A (ko)
WO (1) WO2008149989A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9805929B2 (en) 2015-04-06 2017-10-31 Samsung Electronics Co., Ltd. Method of forming fine patterns in a semiconductor device and method of manufacturing an electronic device

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
CN102203921A (zh) * 2007-06-15 2011-09-28 应用材料股份有限公司 在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
JP2010530139A (ja) * 2007-06-15 2010-09-02 アプライド マテリアルズ インコーポレイテッド パターンローディング用途向けの低温sacvdプロセス
JP5275085B2 (ja) * 2009-02-27 2013-08-28 株式会社東芝 半導体装置の製造方法
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
JP4982457B2 (ja) * 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP4985987B2 (ja) * 2008-10-15 2012-07-25 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US8133664B2 (en) * 2009-03-03 2012-03-13 Micron Technology, Inc. Methods of forming patterns
JP5238556B2 (ja) * 2009-03-10 2013-07-17 東京エレクトロン株式会社 基板処理方法
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
JP2011040561A (ja) * 2009-08-11 2011-02-24 Tokyo Electron Ltd 半導体装置の製造方法。
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102239545A (zh) * 2009-09-17 2011-11-09 东京毅力科创株式会社 成膜方法、半导体元件的制造方法、绝缘膜以及半导体元件
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101096907B1 (ko) 2009-10-05 2011-12-22 주식회사 하이닉스반도체 반도체 소자 및 그 형성방법
US8252691B2 (en) * 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
JP2012174976A (ja) * 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5962022B2 (ja) * 2012-01-18 2016-08-03 住友電気工業株式会社 半導体光素子の製造方法
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP5250141B2 (ja) * 2012-07-13 2013-07-31 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6171453B2 (ja) * 2013-03-25 2017-08-02 大日本印刷株式会社 ナノインプリントモールドの製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6235974B2 (ja) * 2014-09-24 2017-11-22 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017111924A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6804277B2 (ja) 2016-11-30 2020-12-23 東京エレクトロン株式会社 処理方法および処理装置
JP6804280B2 (ja) 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10950442B2 (en) * 2018-07-06 2021-03-16 Tokyo Electron Limited Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11092551B2 (en) * 2019-10-17 2021-08-17 International Business Machines Corporation Staircase surface-enhanced raman scattering substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57130431A (en) 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626202B2 (ja) 1987-10-30 1994-04-06 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン パターン付け方法
JP2000173979A (ja) 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7189652B1 (en) 2002-12-06 2007-03-13 Cypress Semiconductor Corporation Selective oxidation of gate stack
US7390750B1 (en) * 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7605095B2 (en) * 2007-02-14 2009-10-20 Tokyo Electron Limited Heat processing method and apparatus for semiconductor process
TWI334163B (en) * 2007-03-30 2010-12-01 Nanya Technology Corp Method of pattern transfer
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57130431A (en) 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9805929B2 (en) 2015-04-06 2017-10-31 Samsung Electronics Co., Ltd. Method of forming fine patterns in a semiconductor device and method of manufacturing an electronic device

Also Published As

Publication number Publication date
US8168375B2 (en) 2012-05-01
JP2009016814A (ja) 2009-01-22
WO2008149989A1 (ja) 2008-12-11
TW200913010A (en) 2009-03-16
JP4589984B2 (ja) 2010-12-01
KR20090051186A (ko) 2009-05-21
TWI370483B (ko) 2012-08-11
US20100112496A1 (en) 2010-05-06

Similar Documents

Publication Publication Date Title
KR101011490B1 (ko) 패터닝 방법
KR101073858B1 (ko) 패터닝 방법
US11881379B2 (en) Film deposition apparatus for fine pattern forming
TWI516631B (zh) 半導體處理用之批次化學氣相沉積方法及設備
US7696106B2 (en) Film formation method and apparatus for semiconductor process
US8202805B2 (en) Substrate processing method
TWI535881B (zh) 形成矽氧碳氮化物薄膜之方法
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
US9732426B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5425404B2 (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
US8703000B2 (en) Slimming method of carbon-containing thin film and oxidation apparatus
US9142403B2 (en) Method of forming boron-containing silicon oxycarbonitride film and method of forming silicon oxycarbonitride film
US20110039389A1 (en) Manufacturing method of semiconductor device
TW202202647A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200106

Year of fee payment: 10