TWI438456B - 完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術 - Google Patents

完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術 Download PDF

Info

Publication number
TWI438456B
TWI438456B TW098130531A TW98130531A TWI438456B TW I438456 B TWI438456 B TW I438456B TW 098130531 A TW098130531 A TW 098130531A TW 98130531 A TW98130531 A TW 98130531A TW I438456 B TWI438456 B TW I438456B
Authority
TW
Taiwan
Prior art keywords
xtol
prpg
scan
output
mode
Prior art date
Application number
TW098130531A
Other languages
English (en)
Other versions
TW201017193A (en
Inventor
Peter Wohl
John A Waicukauski
Frederic J Neuveux
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of TW201017193A publication Critical patent/TW201017193A/zh
Application granted granted Critical
Publication of TWI438456B publication Critical patent/TWI438456B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/304Contactless testing of printed or hybrid circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Control Of Indicators Other Than Cathode Ray Tubes (AREA)

Description

完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術
  本發明關於積體電路的掃瞄測試,特別是關於可用於此掃瞄測式期間的壓縮技術及結構。
  積體電路(IC)中更大及更多的複雜邏輯設計導致需要更多的精密測試,以確保那些IC的無故障(fault-free)性能。此測試可代表積體電路(IC)的設計、製造以及服務成本的一重要部分。在一簡單的模型中,一IC的測試可包含對一電路的輸入應用多重測試型樣,以及監控其輸出以偵測故障的發生。故障涵蓋率(fault coverage)指的是該測試型樣在偵測一範圍潛在故障的每個故障的效率。因此,如果一組測試型樣能夠實質上地偵測每個潛在故障,則故障涵蓋率已達到近乎100%。
  為了幫助達到更好的故障涵蓋率以及最小化測試成本,可使用DFT〔測試用設計(design-for-test)〕。在一DFT技術中,可使用邏輯設計中的結果。具體而言,在IC中實施的一邏輯設計一般包含多個狀態元件,例如連續儲存元件,如正反器。這些狀態元件可連接至計算過長度的掃瞄鏈中,該長度基於該設計而不同。在一具體實施例中,在一設計中的所有狀態元件是可掃瞄的,即,每個狀態元件是在一掃瞄鏈中。在該掃瞄鏈中的該狀態元件典型地稱為掃瞄胞元。在DFT中,每個掃瞄鏈包含一掃瞄輸入插腳以及一掃瞄輸出插腳,其做為該測試模式期間的控制及觀察節點。
  該掃瞄鏈經由該掃瞄胞元而通過在預定的邏輯訊號中計時而負載。因此,如果最長的掃瞄鏈包含500個掃瞄胞元,則使用至少500個時鐘週期以完成該負載程序。注意,在實際的具體實施例中,軟體可補償不同的掃瞄鏈長度,藉此確保來自每個測試型樣的輸出被相應地辨識及分析。
  可使用一外部測試裝置而產生該掃瞄鏈的該測試型樣。產生這樣的一種裝置,可通過對具有N個輸入及掃瞄胞元的一設計應用2N個輸入型樣而完成一徹底的測試。然而,由於輸入數量的增加,此測試方法在商業上是不可行的。
  為了解決此問題,當提供故障涵蓋率接近100%時,可使用決定性的自動測試型樣產生(ATPG)以產生一較小組的型樣。具體而言,在決定性的ATPG中,每個測試型樣被設計成去測試盡可能多的故障。然而,即使具有減少的測試型樣,在決定性的ATPG型樣在該測試應用設備中仍需要重要的儲存區域以提供給被直接輸入至該掃瞄鏈的大量型樣,以及給來自該掃瞄鏈的預期輸出值。此外,此測試方法因其晶片外(off-chip)的存取時間而不具效率。
  或者較常地,在目前複雜的IC中,可將結構加至允許該IC快速測試本身的設計中。這些內建的自我測試(BIST)結果可包含不同的型樣產生器,最典型的為一偽隨機的型樣產生器(PRPG)。在PRPG所產生的型樣經由該測試設計的掃瞄鏈而傳播後,分析該輸出以決定是否偵測到一故障。在2007年6月26日申請、標題為「對不確定掃瞄鏈輸出具耐性的的決定性BIST構造」的美國專利號7,237,162中描述了使用PRPG的一示範性掃瞄測試系統及技術,其於此併入以做為參考。
  為了在IC掃瞄測試期間達到高瑕疵涵蓋率,特別是在光的縮小製程技術以及新的IC材料中,可使用不同的故障模型〔例如安裝性(stuck-at)、 轉態延遲(transition delay )以及短路/斷路模型〕。不幸的是,雖然用於時機取決及序列取決故障模式的測試型樣對於新技術日漸重要,這種測試型樣可需要多至2-5倍的測試器時間及資料。對於下一代的工具,目前測試資料量及測試應用時間呈現持續增加至少一數量級。因此,單獨掃瞄的掃瞄測試已變成不足以做為控制測式成本的方法。即使是以現代化ATPG所產生的高度壓縮向量集也需要晶片內(on-chip )的壓縮及解壓縮,以減少測試成本。
  掃瞄壓縮通過減低測試型樣數量、測試應用時間以及測試器的插腳數需要而降低了測試成本。掃瞄負載壓縮技術利用了相比於「不關注」位元(即在該測試設計中那些不代表故障的位元)的「關注」位元(即儲存於預定掃瞄胞元中的值,該掃瞄胞元可達到目標故障的偵測)的不足。掃瞄卸載壓縮技術利用了錯誤值大約隨機出現並一次只出現在一些掃瞄鏈上的事實。具體而言,除了關注位元及不關注位元外,該測試設計可偶爾輸出不確定的位元。如其名所指,一不確定位元(於此稱為一“X”)具有一未知的值(即無法通過該ATPG程序期間所使用的模擬而準確預測的一個值)。因此,X位元可誤導該掃瞄輸出的分析。此外,這種X位元可通過遮蔽觀察而限制卸載壓縮,也可通過要求額外的關注位元而限制負載壓縮,以防止X或避免他們對掃瞄輸出的效應。
  不幸的是,積極進取的設計與技術以及精密的故障模型可增加擷取一X值的掃瞄胞元的數量。靜態X一般指的是在對操作參數不敏感的一零遲延模擬中所見的未知的值。示範性的靜態X包含未建模(un-modeled)區塊(例如類比記憶體區塊)以及匯排流衝突。雖然靜態X在設計時為已知,大部分不具有簡單的定位。此外,因為時機、操作參數(例如電壓以及溫度)或製造瑕疵而可能產生「動態」X。
  因此產生一種掃瞄壓縮方法的需要,該方法可以同時達到數個積極的目標。
  提供了一種用以測式一積體電路(IC)設計的系統,該積體電路設計包含多個掃瞄鏈。此掃瞄測試系統可包含兩個偽隨機型樣產生器(PRPG)處理鏈以及一卸載區塊。該第一PRPG處理鏈可接收一第一種子以產生用以鑑定該設計的故障的型樣。也就是說,該型樣應用於該多個掃瞄鏈。該第二PRPG處理鏈可接收一第一種子以產生超耐性(XTOL)控制位元,該XTOL 控制位元決定該掃瞄鏈的可觀察性的一等級。該卸載區塊可接收來自該多個掃瞄鏈的該掃瞄輸出以及該 XTOL 控制位元,並產生用以分析該設計的測試輸出。
  在一具體實施例中,該第一PRPG 處理鏈可包含一關注PRPG(CARE PRPG)以及一關注移相器(CARE phase shifter)。該關注PRPG可接收該第一種子。該關注移相器可提供解壓縮的輸出至該多個掃瞄鏈。該第一PRPG 處理鏈也可包含一關注影子暫存器,其接收來自該關注PRPG的輸入以及提供輸出至該關注移相器。該關注 PRPG可提供一Pwr_ctrl(功率控制)訊號,該Pwr_ctrl訊號使該關注影子暫存器處於一保持(Hold)模式,使得常數被位移至該掃瞄鏈中,以降低位移功率。
  該第二PRPG 處理鏈可包含一XTOL PRPG、一XTOL 移相器以及一XTOL 影子暫存器。該XTOL PRPG可接收該第二種子。該XTOL移相器可接收該XTOL PRPG的輸出。該XTOL影子暫存器可接收該XTOL 移相器的輸出以及提供該XTOL控制位元。在一具體實施例中,該XTOL PRPG被配置成用以產生一保持訊號,該保持訊號使該XTOL影子暫存器處於一保持模式。
  該掃瞄測試系統可進一步包含一可尋址的PRPG影子,其配置成用以接收來自一測試器的輸入,以及用以提供輸出至該第一PRPG 處理鏈以及該第二PRPG 處理鏈的其中之一。該關注PRPG及該XTOL PRPG被配置成在任何位移週期,當需要時用以再播種。因此,該掃瞄測試系統可有利地提供一預位移的X控制。
  在一具體實施例中,該卸載區塊可包含一XTOL選擇器、一X-解碼器、一壓縮器以及一多輸入移位暫存器(MISR)。該XTOL選擇器可接收該內部掃瞄鏈輸出。該X-解碼器可使用該XTOL 控制位元而控制該XTOL 選擇器。該壓縮器可接收該XTOL選擇器的輸出。該MISR可接收該壓縮器的輸出,並產生該測試輸出。有利地,該XTOL 選擇器以及該X-解碼器可被配置成用以提供一完全可觀察性模式、一無可觀察性模式、一單鏈模式以及一多重可觀察性模式其中之一。
  在一具體實施例中,該X-解碼器可包含一雙層級解碼系統的第一個。例如,在一第一層級,該X-解碼器提供每個群組的(而非每個鏈的)輸出。在第二層級,在每個個別的鏈中執行群組至鏈的解碼。一第一及閘(AND gate)可接收來自一掃瞄鏈的一第一輸入,以及一多工器可提供一第二輸入至該第一及閘。一第二及閘及一或閘(OR gate)都可接收該XTOL控制位元,其中該多工器選擇來自該第二及閘與該或閘其中之一的一輸出。
  上述所描述的掃瞄測試系統可有利地適用於任何從0至幾乎100%的X密度,以及可以提供非常高的壓縮,其具有與最佳掃瞄ATPG 相同的測試涵蓋率。可定義如同一單一掃瞄輸入及掃瞄輸出一樣少。有利地,該設計邏輯可維持不變,藉此幫助上述掃瞄測試的併入。
  也提供了一種用以將關注位元製圖至一關注偽隨機型樣產生器(PRPG)的方法。此方法包含決定所有關注位元可製圖至一單一種子的位移的一最大窗口。決定該最大窗口可包含以位移週期分類該關注位元,以及對於每個位移週期計算一最大窗口,使得在一窗口中的關注位元總數不過一預先計算的限制。當該窗口中的所有關注位元可製圖成一單一種子,則該單一種子可載至該關注PRPG中。當並非所有的關注位元可製圖成該單一種子時,則該窗口可被線性地降低。
  也提供了一種用以將X-耐性(XTOL)控制位元製圖至一偽隨機型樣產生器(PRPG)的方法。此方法包含決定所有XTOL 控制位元可製圖至一單一種子的位移的一最大窗口,以及決定該最大窗口的一最佳開始。對於一完全可觀察性模式,該方法可進一步包含決定關掉一XTOL可行位元的一第一選擇或是留下該XTOL可行位元的一第二選擇何者較佳,然後選擇該較佳的選擇。
  也提供了一種選擇用於一掃瞄測試的可觀察性模式的方法。此方法可包含初始化與該可觀察性模式相關的模式優點。對於每個位移,讓一未知值(X)通過的任何可觀察性模式可被消除。此外,無法偵測該掃瞄測試中一主要目標(primary target)的任何可觀察性模式也可被消除。基於所觀察到的次要目標而可增加模式優點以用於次要故障。對於每個位移,可決定基於總模式優點的一位移的一最佳的可觀察性模式以及一第二佳的可觀察性模式。所造成的可觀察性模式可被製圖成XTOL種子。該可觀察性模式可包含完全可觀察性、無可觀察性、單一可觀察性以及多重可觀察性(以及他們的補充)。
  第1圖示例了一簡化的先前技術測試系統100,該測試系統100包含用以從一測試器接收輸入(即種子)的一負載解壓縮器101、用以接收由該負載解壓縮器101所產生的掃瞄位元的多個掃瞄鏈,以及用以接收來自該掃瞄鏈102的該掃瞄輸出的一卸載壓縮器104。在一具體實施例中,負載解壓縮器101可包含一偽隨機型樣產生器(PRPG),因為重覆地以來自該測試器的決定ATPG計算值而再播種的一PRPG可有利地提供非常高的負載資料壓縮。注意在決定性ATPG期間所計算的負載值可被「編碼」成(或「製圖」成)PRPG狀態,使得所有的關注位元被適當地負載於該PRPG種子中。在典型的具體實施例中,可從每個PRPG種子產生多重測試型樣。
  除了故障偵測所需的關注位元,負載解壓縮器101也可支援X-控制位元,其用以經由一控制線103而提供至卸載壓縮器。雖然卸載壓縮器104可確保在存在一些X時的錯誤偵測,但除非以衍生自負載解壓縮器101控制過多的X,額外的X可導致測試涵蓋率損失。特別是,假若X不被控制,如果所增加的XTOL 控制位元比所需的該輸出位元少,增加X-控制位元可實際地減低總壓縮資料量。
  然而,決定哪個X-控制位元是所需的可為有挑戰性的。為了減低測試應用時間,一掃瞄型樣的負載可與先前的卸載重疊。因此,負載解壓縮器101必須同時支援目前型樣的負載關注位元(其提供給掃瞄鏈102)以及先前卸載的X-控制位元,使得過多的X不會造成涵蓋率的損失或在型樣數無法接受的增加。
  不幸的是,在一典型的掃瞄ATPG流程中,當該X-控制位元為已知時已太遲了。也就是說,該X控制位元只有在負載關注位元已為了先前的M(例如32)型樣而被設定之後才為已知,此時,負載解壓縮器101中的衝突可能會導致無法滿足的情況。注意每掃瞄胞元可儲存先前的型樣資訊以預測哪個胞元可能需要用以觀察的X-控制。然而,此儲存需要額外的記憶體及CPU來進行。此外,當該預測失敗時,可能需要一填補的型樣,其不合意地加至測試資料及週期中。在一具體實施例中,X-控制位元可被限制為內部鏈的每負載的一單一群組,即,在所有的位移週期不改變,具有可能過度遮蔽X且因此增加型樣數以達到完全涵蓋率的不利條件。
  根據一第2A圖中所示的一掃瞄測試系統200的構想,當避免與負載關注位元的衝突時,可使用雙重PRPG以提供該X位元的一每位移(per-shift)控制。為了提供此最佳化,一關注位元 PRPG(CARE PRPG)202可產生關注(以及不關注)位元,以及一分開的X-耐性PRPG(XTOL PRPG)206可產生XTOL控制位元。注意一PRPG影子暫存器201可接收來自該測試器的種子,並產生關注PRPG 202或XTOL PRPG 206的適當種子。
  第3A圖示例了一簡化的PRPG 影子暫存器330,其包含多工器331以及正反器332。多工器331,其由相同的控制訊號Mux_控制所控制,該多工器331接收來自該測試器的種子,以及當可用時,接收來自先前胞元的種子。正反器332,其由相同的時鐘所計時(為求簡化而未示),該正反器332接收該先前胞元的一正反器332的一輸出或該先前胞元的一多工器 331的一輸出。美國專利號7,237,162描了更進一步細節的一PRPG 影子暫存器的一示範性配置。PRPG影子暫存器300的輸出,即讓XTOL賦能(eable)以及該關注PRPG或該XTOL PRPG的位元,是由正反器332所提供。
  回頭參見第2A圖,關注PRPG 202可提供其輸出至一關注移相器203,其可具有比輸入還多的輸出。因此,組合起來,關注PRPG 202和關注移相器203可提供該關注(以及不關注)位元的負載壓縮。在一截然不同的配置中, XTOL PRPG 206可提供其輸出至一XTOL移相器207,其可包含比輸出還多的輸入。
  注意一PRPG實際上是具有一預定回饋配置的一移位暫存器。因此,該PRPG的鄰近胞元彼此具有依賴性,即,在一第一胞元下游的一第二胞元可儲存一值,該值先前在一時鐘前是由該第一胞元所儲存。移相器,其典型地使用互斥或閘(XOR gate)來實施,該互斥或閘接收來自預定胞元的輸入,該移相器減少該PRPG的鄰近胞元之間的線性依賴性,使得故障偵測最低限度地由該PRPG的該線性依賴性所中斷。一PRPG和一移相器的不同配置對於本IC測試領域的技術人員來說是已知的,因此此處沒有細節地解釋。
  在一具體實施例中,PRPG 影子暫存器201可提供一XTOL賦能位元(其可被儲存於一個一位元的暫存器中),以關掉在一卸載區塊205的XTOL耐性。關掉該賦能位元可通過不需用於鄰近位移週期的一窗口的XTOL PRPG而減低壓縮的資料量,該鄰近位移週期不需X控制。XTOL PRPG 206繼續位移,但其對於卸載區塊205的控制可被該XTOL賦能訊號去能(disable)。當被賦能時,XTOL PRPG 206可提供每位移的X-控制至卸載區塊205。
  在一具體實施例中,只有當關注PRPG 202或XTOL PRPG 206被再播種時才可改變該XTOL 賦能位元。因此,該XTOL 賦能位元可顯著地以非常低的X密度而減少用於設計的XTOL位元,但提供相對粗糙的控制。為了進一步減少用於中度或高X密度的XTOL位元,也可提供一更好的控制。特別是,在大部分的設計中,X分佈是高度不均勻的,藉此讓該XTOL 控制位元可以重新使用於鄰近的週期(以及如同由ATPG所產生的型樣可被調整以有利於重新使用)。因此,根據一具體實施例,XTOL PRPG 206的一專用通道可提供一保持位元至XTOL 影子暫存器 208。此保持位元確保在XTOL 影子暫存器 208中的該XTOL PRPG資料維持不變。
  注意當XTOL影子暫存器208提供固定XTOL控制位元至卸載壓縮器205時,當需要XTOL控制位元的一新狀態時,XTOL PRPG 206可提升到下一個狀態。在一具體實施例中,從XTOL 移相器至控制XTOL 影子暫存器208,需要每位移的一單一位元。
  如上所述, XTOL 移相器207有利地具有比輸入還少的輸出。因此,將XTOL影子暫存器208置於XTOL 移相器207的輸出上(而非置於XTOL PRPG 206的輸出上)導致較小許多的影子暫存器。在一具體實施例中,XTOL-控制位元的數量約為log(# 掃瞄鏈)。同樣地,從XTOL PRPG 206至卸載區塊 205的長組合路徑通過將XTOL影子暫存器208置於XTOL移相器207之後而大大地減小。
  第3B圖示例了XTOL PRPG 206的一示範性的胞元以及XTOL移相器 207與XTOL影子暫存器208的其相對應胞元。在一具體實施例中,該XTOL PRPG的一胞元301可包含一多工器312,該多工器312接收作為輸入的來自該PRPG影子的一位元(例如PRPG影子 201,第2A圖)以及來自先前的XTOL PRPG胞元的一位元(注意以非必須XOR 311所代表的一互斥或閘可產生該先前的XTOL PRPG胞元的輸出)。這些位元之間的選擇是由一XTOL影子_傳送(shadow_transfer)訊號所決定,其由該測試所產生。在一具體實施例中,當該XTOL影子傳送開啟時,多工器 312選擇該PRPG 影子輸入。胞元301可進一步包含一計時的儲存裝置(例如一D-正反器)313,其接收多工器312的被選擇輸出位元,並提供一訊號至下一個XTOL PRPG 胞元。
   該XTOL 移相器的一胞元320可包含一互斥或閘,其接收作為輸入的多工器 312的至少該選擇輸出。在一具體實施例中,為了提供該移相功能性,此互斥或閘也可接收來自另一XTOL PRPG 胞元的至少一其他多工器輸出。注第3B圖中所示的每個移相器通道可具有胞元的一獨特組合,該胞元用以產生一相移輸出。每個組合的胞元選擇對於本領域的技術人員是已知的,因此此處不進一步地進行細節的描述。
  在一具體實施例中,該XTOL 影子暫存器的一胞元302可包含一多工器322,該多工器322接收作為輸入的胞元320的輸出以及一回饋訊號。在一具體實施例中,在這些位元之間的選擇是通過將一!保持訊號(即該保持訊號的對立物)與一XTOL影子傳送訊號進行或閘運算而決定,該XTOL影子傳送訊號是由該測試所產生。具體而言,在一具體實施例中,當該XTOL影子傳送是開啟的或不是保持著,多工器322選擇XTOL移相器胞元320的輸出。當保持著時,多工器322選擇來自儲存裝置323的回饋。
  胞元302可進一步包含一計時儲存裝置(例如一D型正反器)323,其接收多工器322的該選擇輸出,並提供一X解碼訊號。注意此X解碼訊號是提供至多工器322而作為一輸入的回饋訊號。進一步注意儲存裝置313及323可由一相同的時鐘訊號所控制,即XTOLPRPGc1k。
  在此配置中,多工器322可有利地作為一再計算多工器而作用,該再計算多工器將資料保持在該XTOL影子中,或擷取自XTOL PRPG 206的資料至XTOL影子暫存器208。在一具體實施例中,當多工器312選擇該PRPG影子輸入時,多工器322選擇來自XTOL移相器胞元320的輸入。此外,當多工器312選擇先前的PRPG 胞元輸入時,根據該保持訊號,多工器 322選擇該移相器的胞元320的輸出或323的輸出。為了提供具有一組新的XTOL-控制的該XTOL影子的一立即更新,從XTOL PRPG胞元的輸入獲得該XTOL移相器輸入(不像傳統的配製是具有連接到PRPG 胞元輸出的移相器)。
  注意可使用一子集的總XTOL PRPG 胞元而產生該保持訊號。例如,如第3B圖中所示,多工器 312的輸出以及至少一其他相似的輸出可提供至一移相元件314。在一具體實施例中,移相元件314可包含一互斥或閘。移相元件314的輸出 是該保持訊號。
  進一步注意雖然示出了一中間XTOL PRPG 胞元301,除了下述例外之外,該第一及最後XTOL PRPG胞元具有相似的配置。具體而言,該第一XTOL PRPG胞元包含一多工器312,該多工器312接收該最後XTOL PRPG胞元的一輸出,而非接收來自先前的XTOL PRPG 胞元的一輸入。其邏輯上地了解是,該最後XTOL PRPG胞元包含一儲存裝置313,該儲存裝置313提供一輸出至該第一XTOL PRPG胞元,而非提供一輸出至下一個XTOL PRPG胞元。
  在第2B圖中所示的一具體實施例中,一關注影子暫存器可包含於掃瞄測試系統200(第2A圖)中。第3C圖示例了關注PRPG202的一示範性胞元以及關注影子1001的其相應胞元。在一具體實施例中,該關注PRPG的一胞元350可包含一多工器342,該多工器342接收作為輸入的來自該PRPG影子的一位元(例如PRPG影子201,第2A圖)以及來自先前的關注PRPG 胞元的一位元(注意如非必須的XOR341所指,一互斥或閘可能產生該先前的XTOL PRPG胞元的輸出)。在這些位元之間的選擇是由該測試器所產生的一關注影子傳送訊號所決定。胞元350可進一步包含一計時儲存裝置(例如一D-正反器)343,其接收多工器342的該選擇輸出位元,並提供一訊號至下一個關注PRPG胞元。
  注意一子集的總關注PRPG胞元可用於產生一功率控制訊號。例如,如第3C圖所示,可提供多工器 342的輸出以及至少一其他相似的輸出至一移相元件344。在一具體實施例中,移相元件344可包含一互斥或閘。移相元件344的輸出是該Pwr Ctrl訊號。
  在一具體實施例中,該關注影子暫存器的一胞元351可包含一多工器 346,該多工器346接收作為輸入的多工器342的輸出以及一回饋訊號。在一具體實施例中,這些位元之間的選擇是通過一!Pwr賦能訊號〔即該Pwr賦能訊號的相對物(該Pwr賦能訊號是一種由該測試器所提供以及儲存於例如一個一位元暫存器中的全球功率訊號)〕、一!Pwr Ctrl訊號以及一關注影子_傳送訊號(其由該測試器所產生)的或閘運算而決定。
  胞元351可進一步包含一計時儲存裝置(例如一D型正反器)347,其接收多工器346的該選擇輸出,並提供一輸出至關注移相器203的一相應胞元。注意此輸出是提供作為一輸入至多工器346的回饋訊號。進一步注意儲存裝置343和347是由一相同的時鐘訊號所控制,即CAREPRPGc1k,其被反轉用於計時儲存裝置 347。
  在一具體實施例中,如果該Pwr去能訊號是0,則忽略該Pwr 控制訊號及該關注影子傳送訊號,以及關注影子胞元351以多工器342複製關注PRPG 胞元350的內容作為輸出。然而,如果該Pwr去能訊號是1,則該Pwr ctrl訊號及該關注影子_傳送訊號決定關注影子胞元351保持其目前的值或從關注PRPG胞元 350計時一新的值。有利的是,通過在重覆的值中位移成掃瞄鏈,該關注PRPG胞元350以及關注影子胞元351的此配置可提供顯著的功率降低。具體而言,任何非關注位移可用於權衡關注位元相對於功率。
  回頭參見第2A圖,PRPG影子暫存器201的特徵是可作為具有任意重疊的一可尋址的影子。具體而言,PRPG 影子暫存器201可有利地負載關注PRPG 202的下一個關注種子或XTOL PRPG 206的下一個XTOL種子。特別是,此負載可在位移或保持掃瞄鏈的值時執行,藉此允許再播種週期與內部位移週期任意重疊。因此該再播種頻率不受負載一種子所需的週期數而限制。有利的是,PRPG影子201的內容可在一單一週期中被傳送至關注PRPG 202或XTOL PRPG 206。
  最佳化的掃瞄ATPG可通過合併每個型樣所測試的多重故障而顯著地降低型樣數。一開始,合併是非常有效的,且每個型樣使用大量的關注位元以測試大量的故障。當尚未測試的故障數量隨著每個隨後產生的測試型樣而減少,每型樣用來合併故障的機會快速地降低,所以每型樣使用越來越少的關注位元。關注位元被製圖成種子,所初始的型樣需要大量的種子,而較晚的型樣需要越來越少的種子。另一方法,測試器被最佳化地配置成支援每負載一固定數量的位元。
  該PRPG影子201可重覆地從該測試器被再播種,以獲得所想要的決定性測試。或者,PRPG 202及206可連續地接收一測試器資料流(其中每個測試週期提供一子集可被儲存於PRPG影子201中的總位元數,即一遞增的),使得想要的關注位元被產生。然而設計可具有關注-位元熱點,即需要大量的關注位元用於許多測試型樣。因為有限的測試器頻寬,每個位移週期只能提供一些資料值至該PRPG,其可能不足以滿足所有的關注位元。在此案例中,應停止某些週期的掃瞄鏈204的負載。
  因此,在一具體實施例中,PRPG影子201的再播種可用於避免由於穿越型樣邊界的川流不息的資料所造成的複雜性(即,處理一遞增的再播種可比處理一新的、完全的種子而來得複雜許多)。此外,為了維持負載之間的獨立,可以一完全的關注PRPG 負載來開始每個型樣負載。為了最大化可用於每個位移的關注位元數量,當需要時,可將再播種與停止掃瞄鏈位移的能力結合。在一具體實施例中,一新的種子可常到在每個位移被負載(如果需要的話),藉此最大化供應至獨立於測試器頻寬的PRPG影子 201的值。
  因此,按照PRPG影子201的再播種,當需要時可在任何位移週期再播種關注PRPG 202或XTOL PRPG 206。如果需要的話,當再播種關注PRPG 202及/或XTOL PRPG 206時,可停止掃瞄鏈204的位移。此PRPG影子201的再播種與掃瞄鏈204的位移的重疊可由ATPG決定。
  在一具體實施例中,關注PRPG 202及XTOL PRPG 206是相同的長度,所以每個測試器負載(種子)具有相同量的資料。如上所提及,因為PRPG影子 201包含該XTOL 賦能位元,PRPG影子201可比關注PRPG 202或XTOL PRPG 206長一位元。注意當PRPG影子201的內容被傳送(平行地)至關注PRPG 202或XTOL PRPG 206時,該XTOL賦能位元被設定,且維持不變直到下一個影子傳送。
  特別地,只有在需要時才負載種子。該測試器將每個再播種視為一固定大小的負載;內部地,一或更多種子控制一內部負載/卸載操作。第4圖示例了與測試器及ATPG型樣相關的示範性波形400。波形401可代表從一測試器負載一PRPG影子。波形402可代表基於該ATPG型樣負載的掃瞄鏈位移。如這些波形所示,如果負載一種子的週期數是4(波形401所示),一型樣的前4個週期用以負載一種子,接著一週期用以傳送該種子至該關注PRPG(見週期410),然後該內部鏈位移2個週期(波形402所示),以及再等待2個週期讓第二種子以完成負載。然後內部位移繼續,2個週期後,第三種子開始負載,與內部(即掃瞄胞元)位移重疊。在此具體實施例中,該測試器供應相等的型樣, 4負載接著一傳送,或重覆測試器週期(週期411所示)。注意該內部負載可需要多重種子,該內部負載獨立於該外部觀點,且可使用一較快或較慢的時鐘。
  回頭參見第2圖,掃瞄測試系統200可同時使用三種壓縮技術。第一,因為可依所需地而時常再播種關注PRPG 202,測試產生可合併每型樣的多重故障。比起編碼許多稀少的型樣,編碼極少密集的型樣至種子中(多重種子至一型樣)導致比較少的總資料。因此,ATPG可有利地「重新使用」在一型樣中用於多種故障的關注位元。第二,關注位元的PRPG編碼可提供非常高的資料壓縮。特別地,分開的關注及XTOL PRPG允許關注及XTOL 控制位元的壓縮的獨立最佳化。第三,測試器重覆週期可用作為一無負擔、獨立的負載資料壓縮技術。在一具體實施例中,當沒有再播種正在進行中時,測試器重覆可用於位移掃瞄鏈204的值。
  第5圖示例了應用壓縮型樣的一示範性狀態流程。型樣開始於「測試器模式」501中,其中該第一種子被負載至該PRPG影子,以及,可選擇地,資料卸載。注意因為每個型樣需要負載關注位元,但可能不需XTOL位元,該第一種子被指定用於該關注PRPG。內部鏈在「測試器模式」501期間不位移。相反地,該內部鏈保持值。在一具體實施例中,「測試器模式」501可獲得#位移/種子週期(即重新負載該PRPG影子的週期數)(例如第4圖中波形401的前四個週期)。然後,在一週期中,在「影子至PRPG模式」502中將該PRPG影子內容傳送至該關注或XTOL PRPG。
  當在「影子至PRPG模式」502時,有三種可能的下一階段。如果立即需要另一種子(例如在該初始關注種子之後的一XTOL 種子),則可再次進入「測試器模式」501。如果在一些週期後需要另一種子,可進入一「影子模式」504。最後,如果一些週期不需另一種子,則可進入一「自主模式」503。
  當在C週期中需要另一種子且C≦#位移/種子時(即重新負載該PRPG影子所需的週期數),可使用「影子模式」504。對於C週期,從測試器負載該PRPG影子與位移該內部鏈重疊(即該#位移/種子 – C)。在「影子模式」504中,通過盡可能地與內部位移負載重疊,該PRPG影子可用於最小化測試週期的總數。依次,可將該ATPG程序調整至盡可能地去間隔再播種,以最大化重疊。在一具體實施例中,「影子模式」504獲得#位移/種子週期(例如在第4圖中的4週期,其C=2)。在此具體實施例中,「影子模式」504之後總是傳送該PRPG影子的內容至在「影子至PRPG模式」502中所選擇的PRPG。
  當在目前的型樣中不需要其他的種子時,或當在C週期中需要另一種子且C>#位移/種子時,可使用「自主模式」503。當在目前的型樣中不需要其他的種子時,可使用一測試器重覆以完成具有由該PRPG所提供之資料的該內部負載/卸載。在此案例中,該測試器只需暫停該位移時鐘一些週期,以接著在「擷取模式」505中的一或更多的擷取週期(其擷取在預定掃瞄胞元中的值)。相反地,當在C週期中需要另一種子且C>#位移/種子時,一測試器重覆可用於C-#位移/種子週期,接著是「影子模式」504。例如,在第4圖中,C=6,前2個週期是在「自主模式」503中,該「自主模式」503之後是「影子模式」504中的4個週期。在此具體實施例中,「測試器模式」501總是跟在「擷取模式」505之後。
  雖然理論上提供非常高的卸載壓縮,甚至一單一X值可使多輸入移位暫存器(MISRs)變成無用的。可使用積極的DFT(設計用測試)以從該設計中移除所有的X,但其成本可能是不可接受的,且動態X可能仍會出現。在一已知的技術中,可在該MISR之前阻斷所有的X,以控制該阻斷以及因過於粗糙的阻斷所造成的降低的可觀察性,其代價為大量輸入資料的增加。在另一已知的技術中,可通過將每個已知的值與其本身進行互斥或閘運算而從該MISR將X週期性地清除。此技術需要大量的高X密度輸入資料。而在另一個已知的技術中,可通過移除MISR回饋以及持續地觀察一輸出資料流而限制X在該MISR中的生命,藉此減少壓縮以作為一些X-耐性的交換(然後可通過以更多的輸入資料來阻斷X而增強該X-耐性)。而在另一個技術中,代替一MISR的組合壓縮需要觀察一輸出資料流,權衡了對於X-耐性的壓縮。
  在一具體實施例中,每位移X-耐性的精確控制(上述)可與以一MISR所實現的該非常高壓縮結合。第6圖示例了一示範性的卸載區塊205,該卸載區塊205在最大化可觀察性時可使用最少的XTOL-控制位元而有效地阻斷X。在一具體實施例中,卸載區塊205可包含一X-解碼器601、一XTOL選擇器602、一壓縮器604以及一MISR 606。XTOL選擇器602可接收來自掃瞄鏈204的輸入,並提供其輸出至壓縮器604。XTOL選擇器602可由X-解碼器601所控制。X-解碼器601可接收該XTOL控制訊號(其可改變每個位移)以及該XTOL去能訊號(其可改變每個再播種)。
  在一具體實施例中,可將壓縮器604設計成以保證沒有對於1、2、3或任何奇數的錯誤(X)沒有失真,其是可能的,因為其輸出連接至MISR 606而因此不受限為一小量的接口。壓縮器604也可設計成用以消除2-錯誤MISR取消。在XTOL選擇器601的一具體實施例中,可將每個解碼器70的一輸出提供至壓縮器 604的三個移相元件(即互斥或閘)(即使用一3的扇出)。決定移相元件的哪一組接收每個扇出(fanout )是本測試領域的技術人員所已知的,因此此處不描述。
  在一具體實施例中,可在「測試器模式」501(第5圖)中的每個測試型樣之後卸載MISR 606,並在已卸載時將MISR 606重設成0。如果分開的掃瞄輸入及輸出接腳是可用的,該MISR 卸載可與該種子負載重疊,以最小化測試器週期。可分析該失敗的錯誤簽章以提供失敗型樣的診斷。或者,該使用者可選擇只有在該型樣集的結束時卸載MISR 606,藉此提供高資料壓縮,但沒有直接的診斷支援。
  XTOL選擇器602可被配置成用以支援下列模式:完全可觀察性模式、無可觀察性模式、單鏈模式以及多重可觀察性模式。該完全可觀察性模式可用於無X(X-free)位移,且在可能時為較佳的。在其關掉狀態的該XTOL去能訊號賦能了再播種之間的完全可觀察性(當可改變該XTOL去能訊號時)。注意當該XTOL去能訊號在其開啟狀態時,仍可以一最小化數量的XTOL控制位元選擇完全可觀察性。如果配置了X-鏈(即包含一或更多X的掃瞄鏈),在此模式中不觀察該X-鏈(於2008年9月30日所申請的、標題為「通過使用X-鏈的增加的掃瞄壓縮」的美國專利申請案號12/242,573中所細節描述的X-鏈)。該無可觀察性模式可用於必須阻斷每個MISR 輸入時的位移。有些大量X(X-heavy)設計將相對常使用此模式,所以其必定是以極少XTOL 控制位元可選擇的。
  該單鏈模式可用於觀察被標靶的胞元在被觀察時的位移的一單一內部鏈。此模式是唯一允X-鏈觀察的模式,且可有利地提供完全X-耐性,即無論多少其他的胞元為X,任何胞元可被觀察。由於在一典型的設計中有大量的內部鏈,選擇一單鏈通常需要許多XTOL位元。因此,應謹慎地使用此模式。
  該多重可觀察性模式可針對每個設計客製化。在此模式中,可觀察不同子集的掃瞄鏈。因此,該多重可觀察性模式涵蓋了單一及完全可觀察性模式之間的中間範圍。可編碼此模式的選擇,使得需要最少的可能位元以選擇一指定子集的掃瞄鏈。
  在一具體實施例中,只要沒有X通過至壓縮器604,可選擇用於XTOL選擇器602的一模式可。可每位移使用一單一XTOL 位元而於鄰近的位移週期重覆任何模式選擇。可配置多重可觀察性模式,使得在每個群組中沒有兩個在一起的掃瞄鏈,因此在該掃瞄鏈中其中一個上的一X不排除用於觀察另一個掃瞄鏈的每個多重可觀察性模式的選擇。
  為了創造多重可觀察性模式,兩個或更多的分區被定義在非X鏈的集合上。每個分區包含整體的集合。互相排除的群組被定義於每個分區內,使得每條鏈確實地屬於每個分區的一群組。此外,每一條鏈是於一獨特集合的群組中,每分區一群組,所以每分區的群組數產物必定至少與鏈的數量一樣大。可在該多重可觀察性模式中選擇任何群組或其關於其分區的互補。
  可使用10條鏈以及2個分區來解釋依照該多重可觀察性模式的簡單鏈的劃分。例如,分區1可包含2組,每組5條鏈,而分區2可包含5組,每組2條鏈。群組的總數為7(即2+5)。示範性的群組可包含第0組0(0,1,2,3,4)、第1組(5,6,7,8,9)、第2組(0,5)、第3組(1,6)、第4組(2,7)、第5組(3,8)、第6組(4,9)。在一具體實施例中,為了確保沒有2條鏈在相同的2組中,容許10(2x5)個觀察〔例如觀察第0組、觀察第1組、觀察第2組、觀察第~2組(即1,2,3,4,6,7,8,9),等等〕。
  在另一更實際的設計範例中,考慮1024條鏈及4分區。在此範例中,分區1可包含2組,每組中具有512條鏈,分區2可包含4組,每組中具有256條鏈,分區3可包含8組,每組中具有128條鏈,以及分區4可包含16組,每組中具有64條鏈。群組的總數為30(即2+4+8+16)。沒有2條鏈在相同的4組中,所以容許1024個組合(2x4x8x16)。
  提供選擇任何一鏈的能力需要對佈局的特殊注意。一單純的(naïve)實施可導致線路擁塞,在該實施中該X-解碼器完全地解碼其輸入以提供個別的鏈阻斷。特別地,在第7圖中非常細節地示出的解碼區塊700可提供一有效率線路的配置。
  在一具體實施例中,區塊700可包含一及閘701,該及閘701接收一掃瞄鏈輸出及來自一多工器702的輸出。多工器702可接收來自兩個邏輯閘(稱為共享邏輯703)的輸出,即或閘704與及閘705。注意只是為了示例,所示出的及閘701是與多工器 702及共享邏輯703分開的。每個掃瞄鏈711只具有一相應的解碼區塊700。注意鄰近的掃瞄鏈可能能夠共享一些在解碼的第二層級的一些邏輯,即共享邏輯703。此共享為本解碼領域的技術人員所已知的,因此此處不描述。因此,解碼區塊700代表一邏輯實施,但可具有一不同的實體實施。
  邏輯閘704和705接收相同的輸入,即指定一群組集。然而,根據該掃瞄鏈711,該輸入集合(即選自該31種可能)可在解碼區塊700之間變化。注意X-解碼器601可提供每組一輸出,再加上一「單鏈」控制(其對所有的多工器702是普通的),該「單鏈」控制指示該單鏈模式何時是活化的。例如,在一1024鏈中(見上述更複雜的劃分), X-解碼器601可提供31個輸出(相對在一單純實施中的1024)710,並接收作為輸入的十三個XTOL控制訊號以及一XTOL去能訊號,每個共享邏輯703接收4個輸入的一集合。產生來自該14個解碼器輸入的該31個解碼器輸出為本解碼領域的技術人員所已知的,因此此處不討論。提供每掃瞄鏈一解碼區塊允許以一獨特「位址」的個別鏈選擇,該獨特「位址」單純地是該鏈所屬的所有群組的該集合。例如,回頭參見上述的該簡單劃分,該集合(第0組、第2組)獨特地選擇鏈0,而該集合(第0組、第3組)則獨特地選擇鏈1。注意該單鏈控制,其也產生自該X-解碼器601,該單鏈控制作為對所有多工器702的唯一控制。
  提供一簡單範例以用於進一步的示例。如果該單鏈控制訊號是開啟的,則可在該單鏈模式觀察到任何掃瞄鏈。如果該單鏈控制訊號是關掉的,則在其他的模式中可觀察到該掃瞄鏈(即該完全可觀察性、該無可觀察性以及該多重可觀察性)。至或閘704與及閘705的該共享輸入是那個掃瞄鏈所屬的該4個群組(見上述劃分)(即每個掃瞄鏈確實地是4個群組中的一員,每個劃分一個)。
  在完全可觀察性模式中,XTOL去能是0(關)該單鏈控制訊號是0(即不在單鏈控制中),且X-解碼器601的其他的30個輸出將會是1。如第7圖中所示,如果該單鏈控制訊號是0,所有的多工器702將選擇或閘704的輸出。因為所有至或閘704的輸入是1,則多工器702只輸出1。該應用至及閘701的1容許所有掃瞄鏈的可觀察性。
  另一方面,如果XTOL去能是1,則該XTOL控制訊號用於決定該可觀察性模式。例如,在一無可觀察性模式中,則該單鏈控制訊號是0,且X-解碼器601的其他30個輸出也是0。多工器702再一次選擇或閘704的輸出,在此案例中,或閘704輸出0。應用至及閘701的0阻斷了所有的掃瞄鏈值。
  在一單鏈模式中,該單鏈控制訊號是1。因此,多工器702選擇及閘705的輸出。在X-解碼器601的其他30個輸出中,其中的4個將為1,且其他的26個將為0。特別地,將只一個接收該4個1的掃瞄鏈,即選擇用以觀察的掃瞄鏈。
  在一多重可觀察性模式中,該單鏈控制訊號是0。因此,多工器702選擇或閘704的輸出。在至X-解碼器601的其他30個輸出中,有些將為0,且其他的將為1。如果至一或閘704的該4個輸入中的至少一個是1,則觀察該相應的掃瞄鏈。
  可通過計算鏈觀察的機率以作為每位移的X數量的一函數而估計在多重可觀察性模式中的分組品質。1024條鏈的結果示於第8和9圖中。在每個案例中選擇最高的可觀察性,即該無X模式,所以對於無X選擇完全可觀察性,對於1或極少X選擇15/16模式中的一個,然後是7/8模式中的一個,接著是3/4、 1/2、1/4、1/8及1/16。
  如第8圖中所示,15/16專門用於1個X,很少用於2個X,且幾乎從不用於更多的X。第8圖中的所有曲線的總合是對於任何數量的X的100%。例如,1/4是用於每位移2至7個X的最可能的模式,然後1/8模式最可能用於每位移7至19個X,然後1/16用於更多的X。第8圖中不包含單一可觀察性模式。注意該補充模式, 15/16、7/8及3/4,只可用於每位移約2個X的一非常狹窄的區域,但這在真實的設計中是非常常發生的情況,因此補充模式是最常使用的。
  第9圖示例了XTOL選擇器品質的兩種測量。一第一曲線901顯示所觀察到的鏈的平均數量,其隨著增加的X數量而快速地降低。引人注意地,在每位移6個X時仍可觀察到20%的該鏈,以及在高至每位移19個X時仍可觀察到10%的該鏈。注意此可觀察性遠高於先前情況的可能性。例如,對於一組合壓縮器或選擇器,所觀察到的鏈的平均通常只有約3%。
  第9圖中的一第二曲線902指示了可觀察鏈,即可在一多重觀察模式中被觀察,不一定要立即地,的鏈百分比,在該多重觀察模式中假定其他鏈是在X。因為X傾向集中在X用於大部分型樣的特定設計胞元中,所以可觀察鏈是很重要的。這對於ATPG有效率地觀察用於故障偵測的其他鏈是決定性的,不一定全在相同的型樣中,且不一定要求助於單鏈可觀察性,其具有一高XTOL 位元代價。如第二曲線所指,僅管每位移有高量的X,仍可維持高可觀察性。例如,僅管每位移15個X,仍可維持50%的可觀察性。
  現在描述被修飾用以把測試型樣最佳化及製圖成關注與XTOL種子的ATPG程序。第10圖示例了一示範性的製圖技術1000,該製圖技術1000把關注位元製圖成關注PRPG種子。在技術1000中,對於每個型樣,該測試產生器儲存想要的關注位元,如果主要及次要故障需要的話,關注位元被打上旗號。一計數維持在每位移的關注位元數量。次要故障的合併被位元的最大數量所限制,該位元可於一單一位移中被滿足,其相等於該關注PRPG減掉一小邊緣的長度。關注位元的列表被用於技術1000中,其一型樣、一型樣地計算並儲存了所需的關注種子。
  在製圖技術1000中,關注位元以位移週期來分類(1001),可變的開始_位移(start_shift)被初始化成0,以及可變的限制被初始化為該PRPG長度減掉一邊緣,而讓製圖成一種子是適合的。位移的一最大的窗口,開始_位移至結束_位移(end_shift )被計算,使得該窗口中的關注位元總數不超過預計算的限制(1002)。如果在該窗口中所有的關注位元可被製圖成一單一種子(即該線性系統具有一解決方法)(1003及1004),則儲存該種子(1005),且該種子在開始_位移的週期將被負載至該關注PRPG中,並經由結束_位移+1的週期來產生所有的關注位元。除非已包含最後的位移(1006),可隨後相似地處理位移的一新窗口(1002)。如果剛好已包含該最後的位移,則該程序已完成(1007)。
  如果不是該窗口中的所有關注位元可被製圖成一單一種子(1004),則假定結束_位移仍大於開始_位移,該窗口是線性的減低(結束_位移--)(1008),且進行一嘗試以把所造成的關注位元製圖成一種子(1003)。在很稀有的案例中,甚至一單一位移的關注位元不能被製圖成一種子,在此時結束_位移> 開始_位移為非真。在此案例中,隨後執行一二元搜尋(1009)以決定可被製圖成一種子的最大關注位元數。在該搜尋的每一步驟,找到關注位元的線性系統的解決方法。如果有任何為了該主要故障而而打上旗號的關注位元的話,給予該關注位元較次要故障關注位元還高的優先性,因為該主要故障不被該測試產生器所重新標靶。
  把從該種子計算出的PRPG值負載至該掃瞄鏈中,並執行故障模擬。因為被丟棄的關注位元而不被偵測的次要故障隨後在未來的型樣中被重新標靶。
  在已產生M(例如32)型樣且每個已被製圖成關注種子之後,可執行模擬以基於從該關注PRPG負載的值而決定哪個掃瞄胞元擷取被標靶的故障,以及哪個胞元擷取X。此資訊接著可用於計算用於每個型樣的XTOL種子。第11圖示例了一觀察模式選擇技術1100。特別地,對於每個型樣以及每個位移,必須選擇一模式,使得:沒有X被允許通過,如果有主要目標故障的話,觀察到該主要目標故障,也觀察到盡可能多的次要目標,觀察到盡可能多的非目標胞元,以及需要盡可能少的XTOL位元。
  對於每個型樣,最初地指定每個模式(即完全可觀察性、無可觀察性、單一、多重以及其補充物)一優點值,該優點值與其可觀察性成比例,並與其需要多少XTOL-控制以用以選擇成反比(1101)。在一具體實施例中,也加入一小隨機元件,使得不同的模式較佳於具有相似X-分佈的不同型樣,因此促使所有可觀察鏈的偶然觀察。注意,在此時,對於所有的位移,一模式的優點值是相同的。
  然後,對於每個位移,於那個位移中從考慮中移除在X選擇一或更多胞元的模式(1102)。對於觀察到該主要目標故障的位移,消除沒觀察到該主要目標觀察胞元的所有模式(1103)。注意觀察到任何單鏈的能力確保至少該主要目標總是是可觀察到的。然後,以所觀察到的次級目標胞元數而正比地提升剩餘模式的優點值(1104)。接著把對最後的位移具有最高優點值的兩個模式儲存成最佳以及次佳(1105)。
  對於每個位移,從倒數第二(next-to-last)下至第一,每個模式具有其優點值,該優點值更新成較好的值,該較好的值隨後是位移+1的兩個最佳的模式的其中之一(儲存成curr及curr2)(1106)。保持一模式具有最低的XTOL控制成本,即一單一位元。具有目前位移的最高優點值的兩個模式隨後被儲存成最佳以及最佳2,並用於下一個位移(1106)。在一具體實施例中,為了達到最快的性能,只計算並使用兩個最佳模式。最後,所選擇的最佳模式被製圖成XTOL種子(1108),然後不能觀察的次要故障被再次活化(1209),所以他們可被一未來的測試型樣所標靶。
  第12圖示例了一示範性的製圖技術1200(例如第12圖的步驟1208),其將XTOL-控制位元製圖成XTOL PRPG種子(即執行第11圖的步驟1108)。為了開始製圖技術1200,將可變的開始_位移初始化為0,且決定可變的限制為該PRPG長度減掉一個邊緣,讓製圖成一種子是可能的。
  計算一窗口的初始位移首先考慮一新的XTOL 種子是否可以供應足夠的位元以保持用於在前所有完全可觀察性週期的XTOL影子(需要每位移一位元以用以保持)(1202)。如果留下的位元不足,立即把XTOL去能關掉是有利的(例如使用一假的種子)(1203)。除非已包含最後的位移(1204),隨後可處理位移的一新窗口(1206)。
  計算位移的一最大窗口,開始_位移至結束_位移,使得在該窗口中XTOL-控制位元的總數不超過預計算的限制。解答一線性系統以計算一種子(1207)。如果在該窗口中的所有關注位元可被製圖成一單一種子(即該線性系統具有一解答)(1208),則儲存該種子(1209),且該種子將被負載至在開始_位移週期的該XTOL PRPG中,並經由結束_位移週期而產生所有的關注位元。除非已包含最後的位移(1210),可相似地處理位移的一新窗口(1202)。如果剛好包含該最後的位移,則該程序已完成(1205)。
  如果不是在該窗口中的所有XTOL-控制位元可被製圖成一單一種子(1208),該窗口線性地減低(結束_位移--),且嘗試將所造成的關注位元製圖成一種子(1207)。注意因為XTOL控制位元的數量遠小於XTOL PRPG 的長度,沒有需要的位元曾被丟棄,因為製圖一單一位移事實上是永遠可行的。
  表1(如下)示出了一設計的一測試型樣的一範例以及XTOL 控制的一有效率使用,該設計具有內部鏈長100。在前20個位移週期(位移週期0…19)中沒有X,所以XTOL去能是設定為關掉,其具有初始關注PRPG 種子的負載,且選擇完全可觀察性模式(FO)。在這些週期中有100%的可觀察性(假設沒有X鏈)。週期20具有1個X。因此,週期20之前是一XTOL PRPG負載以及將XTOL去能設定成開啟(該種子與先前週期中的該內部位移重覆負載)。使用衍生於該XTOL PRPG 的8位元而選擇一15/16模式。週期21至29再次為無X的;然而,現在XTOL去能是開啟的,所以在週期21中,使用該XTOL PRPG的3位元選擇該完全可觀察性模式。此後,在週期22至29,每位移只使用1位元以保持該XTOL影子的值。週期30具有5個X。在此案例中,從該XTOL PRPG 使用8位元以選擇一1/4模式,其也被選擇用以符合下一個週期的需要。具體而言,週期31至39每位移具有3至7個X之間,且使用相同的1/4模式。注意每位元只有使用1位元以保持該XTOL影子的值。最後的60週期沒有X。因此,為了完全可觀察性,負載另一個種子並將XTOL去能設定為關掉的。特別地,在此範例中,只有使用36個XTOL位元以阻斷11個週期中的共50X,並達到92%的一平均可觀察性。
表1: XTOL範例

  第13圖顯示了一示範性數位ASIC設計流程的一簡化呈現,該數位ASIC設計包含了上述的完全X-耐性、非常高掃瞄壓縮。在一高層級,該程序以該產品概念開始(步驟1300),並在一EDA軟體設計程序中實施(步驟1310)。當該設完成時,其可被下線(taped-out)(事件1340)。在下線之後,該製造程序(步驟1350)及包裝與組裝程序(步驟1360)發生,最後導致完成的晶片(結果1370)。
  該EDA軟體設計程序(步驟1310)實際上是由數個步驟1312-1330所組成,為了簡化以線性方式呈現。在一實際的ASIC設計程序中,該特別的設計可能必須回溯經歷步驟,直到某些測試通過為止。相似地,在任何實際設計程序中,這些步驟可能以不同的順序及組合發生。因此此描述是以上下文及一般的解釋來提供,而非針對一特別的ASIC而作為一特定或建議的設計流程。
  將提供該EDA軟體設計流程(步驟1310)的元件步驟的一簡短描述:
  系統設計(步驟1312):該設計者描述他們想要實施的功能性,他們可以執行假若(what-if )計劃以提升功能性、核對成本,等等。硬體-軟體結構劃分可發生在此階段。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Model Architect、Saber、System Studio以及DesignWare® 產品。
  邏輯設計以及功能確認(步驟1314):在此階段,寫入該系統中模組的該VHDL或Verilog碼,並核對該設計的功能準確度。更具體而言,核對該設計以確保其產生正確的輸出。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含VCS、VERA、DesignWare®、Magellan、Formality、ESP 以及LEDA產品。
  合成及測試的設計(步驟1316):這裡,該 VHDL/Verilog 被轉譯為一網路表(netlist)。該網路表可被最佳化以用於該標靶技術。此外,發生了用以准許最終晶片核對的測試的設計及完成。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Design Compiler®、Power Compiler、Tetramax、 DesignWare®以及DFT MAX產品。在一具體實施例中,上述的完全X-耐性、非常高掃瞄壓縮技術可在步驟1416期間使用。
  網路表確認(步驟1318):在此步驟,核對該網路表以順從時機限制,以及以符合該VHDL/Verilog來源碼。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Formality、PrimeTime以及VCS產品。
  設計計劃(步驟1320):這裡,建構並分析該晶片的一全面的場地佈置圖以用以時機及頂層的路由安排。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Astro以及IC Compiler產品。
  實體的完成(步驟1322):該佈置(電路元件的定位)以及路由安排(相同物件的連接)在此步驟中發生。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Astro以及IC Compiler產品。
  分析及萃取(步驟1324):在此步驟,在一電晶體層級確認該電路功能,此依次准許了假若的提升(what-if refinement)。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含AstroRail、PrimeRail、Primetime以及Star RC/XT產品。
  實體的確認(步驟1326):在此步驟,執行不同的核對功能以確保製造、電問題、平版印刷問題以及電路系統的正確性。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含該Hercules產品。
  解析度的增強(步驟1328):此步驟牽涉該佈局的幾何學操控,以提升該設計的可製造性。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含Proteus、ProteusAF以及PSMGen產品。
  遮罩資料準備(步驟1330):此步驟提供用於平版印刷用途的遮罩產生的「下線」資料,以產生最終的晶片。在此步驟可使用的來自Synopsys有限公司的示範性EDA軟體產品包含該CATS(R)家族的產品。
  雖然此處以參照伴隨著圖式細節地描述了本發明的示例性具體實施例,可了解的是,本發明並不被那些精確的具體實施例所限制。他們並非詳盡無疑的或用以限制所揭露之本發明的精確形式。就其本身而論,許多修飾及改變將是明顯的。
   例如,上述所描述的晶片內壓縮元件可針對每個設計而個別地最佳化,例如,基於掃瞄輸入、輸出、內部鏈以及可選擇地,X鏈。邏輯地,較小的設計可使用較小的PRPG及MISR(例如32位元),而大的設計應使用較大的PRPG及MISR(例如64或甚致100+位元)或甚至多重壓縮器/解壓縮器結構,以減輕路由安排。可微調該PRPG及MISR長度以平衡測試器週期的數量以負載及卸載資料。例如,具有6掃瞄輸入、12掃瞄輸出以及1024條鏈的一設計可被配置具有65-位元PRPG,使得該PRPG影子長度是66且可被6除盡,以使用該11週期的每個位元以負載。該相應的MISR可為60位元長以被12除盡(輸出數)。
  注意與配置無關的,在測試應用期間的操作描述於第5圖的該狀態圖中。進一步地注意,負載種子以及卸載該MISR 可能以與該內部位移不同的時鐘頻率進行。在此案例中,用於該測試系統的硬體不需要改變;只有使用多少週期「影子模式」的門檻值需要調整。掃瞄輸入及輸出的數量可低至1;甚至一單一雙向位元可用於「測試器模式」 中以先卸載該MISR,然後再負載一種子。特別地,資料量獨立於掃瞄輸入及輸出的數量。
  在2005年9月27日所發出且標題為「在一邏輯BIST結構中的決定性型樣的有效率壓縮及應用」的美國專利號6,950,974中,以及在2007年6月26日所發出且標題為「不確定掃瞄鏈輸出的決定性BIST結構耐性」的美國專利號7,237,162中描述了PRPG 影子暫存器, 上述兩個專利於此併入以作為參考。其他對於該影子暫存器的配置,可用於其他的具體實施例。
  因此,本發明的範圍將由下述申請專利範圍及其均等物所定義。

DFT‧‧‧測試用設計
ATPG‧‧‧自動化測試型樣產生
XTOL‧‧‧超耐性
Pwr‧‧‧功率
PRPG‧‧‧偽隨機的型樣產生器
  第1圖示例了具有一晶片內壓縮器及一解壓縮器的一簡化的掃瞄測試系統。
  第2A圖示例了被配置成用以提供完全超耐性(X-耐性)的一掃瞄測試系統。
  第2B圖示例了更包含一關注影子暫存器的該掃瞄測試系統。
  第3A圖示例了一示範性的PRPG影子暫存器。
  第3B圖示例了該XTOL PRPG的一示範性胞元,以及該XTOL移相器與該XTOL 影子暫存器的其相應胞元。
  第3C圖示例了該關注PRPG的一示範性胞元以及該關注移相器及該關注影子暫存器的其相應胞元。
  第4圖示例了與測試器及ATPG型樣相關的示範性波形。
  第5圖示例了應用壓縮型樣的一示範性狀態流程。
  第6圖示例了一示範性的卸載區塊,該卸載區塊在最大化可觀察性時可使用最少的XTOL-控制位元而有效地阻斷X。
  第7圖示例了用於該X-解碼器中的一示範性2層級解碼區塊。
  第8圖示例了展示在多重可觀察性模式中該不同群組的使用的一圖表。
  第9圖示例了顯示XTOL選擇器品質的兩種測量的一圖表。
  第10圖示例了一示範性的製圖技術,其將關注位元製圖成關注PRPG種子。
  第11圖示例了一觀察模式選擇技術。
  第12圖示例了一示範性的製圖技術,其將XTOL-控制位元製圖成XTOL PRPG種子。
  第13圖示例了一示範性數位ASIC設計流程的一簡化呈現,該數位ASIC設計包含所描述之適合的掃瞄壓縮技術。
XTOL‧‧‧超耐性
Pwr‧‧‧功率
PRPG‧‧‧偽隨機的型樣產生器

Claims (29)

  1. 一種用於測試一設計的掃瞄測試系統,該設計於一積體電路(IC)中實施,該設計包含多個掃瞄鏈,該系統包含:
    一第一偽隨機型樣產生器(PRPG)處理鏈,用以接收一第一種子,以產生用於鑑定該設計的故障的型樣,該型樣應用於該多個掃瞄鏈;
    一第二PRPG處理鏈,用以接收一第二種子,以產生X-耐性(XTOL)控制位元,該XTOL控制位元決定該掃瞄鏈的一可觀察性層級;以及
    一卸載區塊,用以接收來自該多個掃瞄鏈的掃瞄輸出以及該XTOL控制位元、提供一每位移的X-控制、以及產生測試輸出以用於分析該設計。
  2. 如申請專利範圍第1項所述的掃瞄測試系統,其中該第一PRPG處理鏈包含:
    一關注PRPG,用以接收該第一種子;以及
    一關注移相器,用以接收該關注PRPG的輸出,以及提供輸出至該多個掃瞄鏈。
  3. 如申請專利範圍第2項所述的掃瞄測試系統,更包含一關注影子暫存器,其接收來自該關注PRPG的輸入以及提供輸出至該關注移相器。
  4. 如申請專利範圍第3項所述的掃瞄測試系統,其中該關注PRPG提供一功率控制訊號,該功率控制訊號使該關注影子暫存器處於一保持模式,使得固定值被位移至該多個掃瞄鏈中,以減低位移功率。
  5. 如申請專利範圍第4項所述的掃瞄測試系統,其中該第二PRPG處理鏈包含:
    一XTOL PRPG,用以接收該第二種子;
    一XTOL移相器,用以接收該XTOL PRPG的輸出;以及
    一XTOL影子暫存器,用以接收該XTOL 移相器的輸出以及提供該XTOL控制位元。
  6. 如申請專利範圍第5項所述的掃瞄測試系統,其中該XTOL PRPG被配置成用以產生一保持訊號,該保持訊號使該XTOL影子暫存器處於一保持模式。
  7. 如申請專利範圍第6項所述的掃瞄測試系統,其中該關注PRPG以及該 XTOL PRPG被配置成當需要時在任何位移週期進行再播種。
  8. 如申請專利範圍第5項所述的掃瞄測試系統,更包含一可尋址的PRPG影子,該可尋址的PRPG影子被配置用以接收來自一測試器的輸入以及提供輸出至該第一PRPG處理鏈以及該第二PRPG處理鏈的其中之一。
  9. 如申請專利範圍第8項所述的掃瞄測試系統,其中該關注PRPG及該 XTOL PRPG被配置成當需要時在任何位移週期進行再播種。
  10. 如申請專利範圍第9項所述的掃瞄測試系統,其中該卸載區塊包含:
    一XTOL選擇器,用以接收該掃瞄輸出;
    一X-解碼器,用以使用該XTOL控制位元來控制該XTOL選擇器;
    一壓縮器,用以接收該XTOL選擇器的輸出;以及
    一多輸入移位暫存器(MISR),用以接收該壓縮器的輸出以及產生該測試輸出。
  11. 如申請專利範圍第10項所述的掃瞄測試系統,其中該XTOL選擇器以及該X-解碼器被配置用以提供一完全可觀察性模式、一無可觀察性模式、一單鏈模式以及一多重可觀察性模式的其中之一。
  12. 如申請專利範圍第11項所述的掃瞄測試系統,其中該X解碼器包含一解碼區塊,該解碼區塊具有與該多個掃瞄鏈的每一個掃描鏈連接的輸出。
  13. 如申請專利範圍第12項所述的掃瞄測試系統,其中用於一特定掃瞄鏈的該解碼區塊接收群組的一集合,該特定掃瞄鏈是該群組中的一員。
  14. 如申請專利範圍第6項所述的掃瞄測試系統,其中該卸載區塊進一步由一XTOL賦能位元所控制,該XTOL賦能位元由該PRPG影子暫存器所產生。
  15. 一種用於測試一設計的掃瞄測試系統,該設計於一積體電路(IC)中實施,該設計包含多個掃瞄鏈,該系統包含:
    一偽隨機型樣產生器(PRPG)影子暫存器,用以接收種子;
    一關注PRPG,用以從該PRPG影子暫存器接收一種子;
    一關注影子暫存器,用以接收該關注PRPG的輸出;
    一關注移相器,用以接收該關注影子暫存器的輸出、最小化通道之間的線性依賴性、以及產生用於該多個掃瞄鏈的掃瞄位元;
    一X-耐性(XTOL)PRPG,用以從該PRPG影子暫存器接收另一種子;
    一XTOL移相器,用以接收該XTOL PRPG的輸出,以及最小化通道之間的線性依賴性;
    一XTOL影子暫存器,用以接收該XTOL移相器的輸出;以及
    一卸載區塊,用以從該多個掃瞄鏈以及該XTOL影子暫存器接收掃瞄輸出、提供一每位移的X-控制、以及產生用以分析該設計的測試輸出。
  16. 如申請專利範圍第15項所述的掃瞄測試系統,其中該XTOL PRPG被配置用以產生一保持訊號,該保持訊號使該XTOL影子暫存器處於一保持模式。
  17. 如申請專利範圍第15項所述的掃瞄測試系統,其中該卸載區塊包含:
    一XTOL選擇器,用以接收該掃瞄輸出;
    一X-解碼器,用以使用該XTOL影子的XTOL控制輸出來控制該XTOL選擇器;
    一壓縮器,用以接收該XTOL選擇器的輸出;以及
    一多輸入移位暫存器(MISR),用以接收該壓縮器的輸出以及產生該測試輸出。
  18. 如申請專利範圍第17項所述的掃瞄測試系統,其中該XTOL選擇器以及該X-解碼器被配置用以提供一完全可觀察性模式、一無可觀察性模式、一單鏈模式以及一多重可觀察性模式的其中之一。
  19. 如申請專利範圍第17項所述的掃瞄測試系統,其中該X解碼器包含一解碼區塊,該解碼區塊具有與該多個掃瞄鏈的各掃描鏈連接的輸出。
  20. 如申請專利範圍第19項所述的掃瞄測試系統,其中用於一特定掃瞄鏈的該X解碼器接收群組的一集合,該特定掃瞄鏈是該群組中的一員。
  21. 如申請專利範圍第18項所述的掃瞄測試系統,其中該卸載區塊該卸載區塊進一步由一XTOL賦能位元所控制,該XTOL賦能位元由該PRPG影子暫存器所產生。
  22. 一種用將關注位元映射至一關注偽隨機型樣產生器(PRPG)的方法,該方法包含:
    決定位移的一最大窗口,該最大窗口的所有關注位元可製圖成一單一種子。
  23. 如申請專利範圍第22項所述的方法,其中決定該最大窗口包含:
    以位移週期分類該關注位元,以及對於每個位移週期進行分類;
    計算一最大窗口,使得在一窗口中的一關注位元總數不超過一預先計算的限制;以及
    當該窗口中所有的關注位元可製圖成一單一種子時,將該單一種子載入至該關注PRPG中。
  24. 如申請專利範圍第23項所述的方法,更包含:
    當非所有的關注位元可映射成該單一種子時,則線性地減少該窗口。
  25. 一種用以將X-耐性(XTOL)控制位元映射成一XTOL偽隨機型樣產生器(PRPG)的方法,該方法包含:
    決定位移的一最大窗口,該最大窗口的所有XTOL位元可製圖成一單一種子;以及
    決定該最大窗口的一最佳開始。
  26. 如申請專利範圍第25項所述的方法,更包含:
    對於一完全可觀察性模式,決定關掉一XTOL賦能位元的一第一選擇或是讓該XTOL賦能位元開啟的一第二選擇何者較佳;以及
    選擇該較佳的選擇。
  27. 一種選擇用於一掃瞄測試的可觀察性模式的方法,該方法包含:
    初始化與該可觀察性模式相關的模式優點;
    對於每個位移,消除任何讓一未知值(X)經過的可觀察性模式;
    對於每個位移,消除任何無法偵測該掃瞄測試的一主要目標的可觀察性模式;
    基於所觀察到的次要目標的一數量而增加對於次要故障的模式優點;
    對於每個位移,基於總模式優點而決定一位移的一最佳可觀察性模式以及一次佳的可觀察性模式;以及
    將產生的可觀察性模式映射至XTOL種子。
  28. 如申請專利範圍第27項所述的方法,其中該可觀察性模式包含完全可觀察性、無可觀察性、單一可觀察性以及多重可觀察性。
  29. 如申請專利範圍第28項所述的方法,其中該可觀察性模式包含每個可觀察性模式的補充物。

TW098130531A 2008-10-21 2009-09-10 完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術 TWI438456B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10723908P 2008-10-21 2008-10-21
US12/363,520 US7979763B2 (en) 2008-10-21 2009-01-30 Fully X-tolerant, very high scan compression scan test systems and techniques

Publications (2)

Publication Number Publication Date
TW201017193A TW201017193A (en) 2010-05-01
TWI438456B true TWI438456B (zh) 2014-05-21

Family

ID=42109585

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098130531A TWI438456B (zh) 2008-10-21 2009-09-10 完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術

Country Status (8)

Country Link
US (3) US7979763B2 (zh)
EP (1) EP2201395B1 (zh)
JP (2) JP2010539518A (zh)
KR (1) KR101643776B1 (zh)
CN (2) CN101821641B (zh)
HK (1) HK1140821A1 (zh)
TW (1) TWI438456B (zh)
WO (1) WO2010047883A2 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8065651B2 (en) * 2009-01-29 2011-11-22 Synopsys, Inc. Implementing hierarchical design-for-test logic for modular circuit design
US7930607B2 (en) * 2009-02-10 2011-04-19 Ozgur Sinanoglu Circuit for boosting encoding capabilities of test stimulus decompressors
US8856601B2 (en) * 2009-08-25 2014-10-07 Texas Instruments Incorporated Scan compression architecture with bypassable scan chains for low test mode power
US8732629B2 (en) 2009-10-30 2014-05-20 Synopsys, Inc. Method and system for lithography hotspot correction of a post-route layout
US8429473B2 (en) * 2010-03-16 2013-04-23 Synopsys, Inc. Increasing PRPG-based compression by delayed justification
WO2011144331A1 (en) * 2010-05-19 2011-11-24 Universität Potsdam High performance compaction for test responses with many unknowns
US10345369B2 (en) 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9157961B2 (en) 2013-03-15 2015-10-13 Synopsys, Inc Two-level compression through selective reseeding
US9171123B2 (en) 2013-08-30 2015-10-27 Synopsys, Inc. Diagnosis and debug using truncated simulation
US9448282B1 (en) * 2014-02-12 2016-09-20 Cadence Design Systems, Inc. System and method for bit-wise selective masking of scan vectors for X-value tolerant built-in self test
US9268892B1 (en) * 2014-12-19 2016-02-23 International Business Machines Corporation Identification of unknown sources for logic built-in self test in verification
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US9666302B1 (en) * 2015-12-28 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for memory scan design-for-test
TWI612317B (zh) * 2016-11-01 2018-01-21 國立成功大學 一種測試資料之解壓縮器及其測試方法
US10247780B2 (en) * 2017-08-02 2019-04-02 Texas Instruments Incorporated Re-programmable self-test
US11681843B2 (en) * 2018-01-17 2023-06-20 Siemens Industry Software Inc. Input data compression for machine learning-based chain diagnosis
WO2020043014A1 (en) * 2018-08-28 2020-03-05 Changxin Memory Technologies, Inc. Boundary test circuit, memory and boundary test method
CN109188246B (zh) * 2018-09-06 2020-09-08 长沙理工大学 一种安全的加密芯片可测试性设计结构
CN110096430B (zh) * 2019-03-16 2024-06-28 平安证券股份有限公司 第三方sdk准入测试方法、装置、终端及存储介质
US11422186B1 (en) * 2019-06-20 2022-08-23 Synopsys, Inc. Per-shift X-tolerant logic built-in self-test
US11378934B2 (en) * 2019-09-09 2022-07-05 Baker Hughes Oilfield Operations Llc Shadow function for protection monitoring systems
TWI707150B (zh) 2019-09-27 2020-10-11 瑞昱半導體股份有限公司 晶片及其測試方法
CN112731121A (zh) * 2019-10-14 2021-04-30 瑞昱半导体股份有限公司 芯片及其测试方法
KR102630258B1 (ko) 2021-10-26 2024-01-25 연세대학교 산학협력단 로직 비스트 캡쳐 전력 감소 회로 및 방법
TWI789198B (zh) * 2022-01-04 2023-01-01 瑞昱半導體股份有限公司 掃描鏈設計與電路測試方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6389562B1 (en) * 1999-06-29 2002-05-14 Sony Corporation Source code shuffling to provide for robust error recovery
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
JP3937034B2 (ja) * 2000-12-13 2007-06-27 株式会社日立製作所 半導体集積回路のテスト方法及びテストパターン発生回路
US6950974B1 (en) 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US6807646B1 (en) * 2002-03-04 2004-10-19 Synopsys, Inc. System and method for time slicing deterministic patterns for reseeding in logic built-in self-test
JP3877635B2 (ja) * 2002-04-24 2007-02-07 松下電器産業株式会社 入力パターン供給器と半導体集積回路の検査方法
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
WO2005031378A1 (en) * 2003-09-26 2005-04-07 Koninklijke Philips Electronics N.V. Method and system for selectively masking test responses
US7231570B2 (en) * 2004-05-26 2007-06-12 Syntest Technologies, Inc. Method and apparatus for multi-level scan compression
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7610527B2 (en) * 2005-03-16 2009-10-27 Nec Laboratories America, Inc. Test output compaction with improved blocking of unknown values
US7376875B2 (en) * 2005-07-14 2008-05-20 International Business Machines Corporation Method of improving logical built-in self test (LBIST) AC fault isolations
CN102129031B (zh) 2006-02-17 2015-03-11 明导公司 多级测试响应压缩器
JP5034576B2 (ja) * 2006-05-02 2012-09-26 富士通株式会社 半導体集積回路、テストデータ生成装置およびlsi試験装置
CN101663648B (zh) * 2007-02-12 2012-10-03 明导公司 低功耗扫描测试技术及装置
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors

Also Published As

Publication number Publication date
CN201740851U (zh) 2011-02-09
CN101821641B (zh) 2013-09-04
JP2012230131A (ja) 2012-11-22
US20130268817A1 (en) 2013-10-10
KR20110070649A (ko) 2011-06-24
EP2201395A4 (en) 2013-03-27
HK1140821A1 (zh) 2010-10-22
EP2201395A2 (en) 2010-06-30
CN101821641A (zh) 2010-09-01
KR101643776B1 (ko) 2016-07-28
US20110258503A1 (en) 2011-10-20
EP2201395B1 (en) 2014-10-29
US7979763B2 (en) 2011-07-12
WO2010047883A3 (en) 2010-06-17
WO2010047883A2 (en) 2010-04-29
US20100100781A1 (en) 2010-04-22
TW201017193A (en) 2010-05-01
JP5591886B2 (ja) 2014-09-17
US8645780B2 (en) 2014-02-04
JP2010539518A (ja) 2010-12-16
US8464115B2 (en) 2013-06-11

Similar Documents

Publication Publication Date Title
TWI438456B (zh) 完全超耐性、非常高掃瞄壓縮掃瞄測試系統及技術
JP5537158B2 (ja) 低消費電力スキャンテスト技術および装置
US8479067B2 (en) Test architecture including cyclical cache chains, selective bypass scan chain segments, and blocking circuitry
US7814444B2 (en) Scan compression circuit and method of design therefor
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
JP2005181333A (ja) 回路テスト方法
US8700962B2 (en) Scan test circuitry configured to prevent capture of potentially non-deterministic values
US11815555B2 (en) Universal compactor architecture for testing circuits
US10996273B2 (en) Test generation using testability-based guidance
US9157961B2 (en) Two-level compression through selective reseeding
US11150299B2 (en) Flexible isometric decompressor architecture for test compression
Lien et al. An efficient on-chip test generation scheme based on programmable and multiple twisted-ring counters
US11422188B2 (en) Isometric control data generation for test compression
Pomeranz Design-for-testability for multi-cycle broadside tests by holding of state variables
Shanmugasundaram Test time optimization in scan circuits
Lien et al. Output bit selection methodology for test response compaction
PASUPULETI et al. Implementation of Power Optimized PRPG with Low Transition Test Compression Technique
Farooqi MARKOV source based test length optimized scan built-in-self-test architecture