CN201740851U - 用于测试于集成电路中实施的设计的扫描测试*** - Google Patents

用于测试于集成电路中实施的设计的扫描测试*** Download PDF

Info

Publication number
CN201740851U
CN201740851U CN2009202185577U CN200920218557U CN201740851U CN 201740851 U CN201740851 U CN 201740851U CN 2009202185577 U CN2009202185577 U CN 2009202185577U CN 200920218557 U CN200920218557 U CN 200920218557U CN 201740851 U CN201740851 U CN 201740851U
Authority
CN
China
Prior art keywords
xtol
prpg
output
order
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2009202185577U
Other languages
English (en)
Inventor
彼得·沃而
约翰·威库考斯基
弗瑞德里克·纽费克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Application granted granted Critical
Publication of CN201740851U publication Critical patent/CN201740851U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/304Contactless testing of printed or hybrid circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Control Of Indicators Other Than Cathode Ray Tubes (AREA)

Abstract

扫描测试以及扫描压缩是实现成本降低以及运送品质的关键。在更加复杂的设计中,新的瑕疵类型需要增加的压缩。然而,增加的未知(X)值密度减低了有效率的压缩。为了在提供有效率的压缩下处理X值,本实用新型提供一种用于测试于集成电路中实施的设计的扫描测试***,该扫描测试***可包含一个伪随机型样产生器(PRPF)影子寄存器、一关注PRPG、一关注影子寄存器、一关注移相器、一X-耐性(X-tolerant)PRPG、一X-耐性移相器、一X-耐性影子寄存器以及一卸载区块。

Description

用于测试于集成电路中实施的设计的扫描测试*** 
技术领域
本实用新型关于集成电路的扫描测试,特别是关于可用于此扫描测试期间的压缩测试***。 
背景技术
集成电路(IC)中更大及更多的复杂逻辑设计导致需要更多的精密测试,以确保那些IC的无故障(fault-free)性能。此测试可代表集成电路(IC)的设计、制造以及服务成本的一重要部分。在一简单的模型中,一IC的测试可包含对一电路的输入应用多重测试型样,以及监控其输出以侦测故障的发生。故障涵盖率(fault coverage)指的是该测试型样在侦测一范围潜在故障的每个故障的效率。因此,如果一组测试型样能够实质上地侦测每个潜在故障,则故障涵盖率已达到近乎100%。 
为了帮助达到更好的故障涵盖率以及最小化测试成本,可使用DFT〔测试用设计(design-for-test)〕。在一DFT技术中,可使用逻辑设计中的结果。具体而言,在IC中实施的一逻辑设计一般包含多个状态元件,例如连续储存元件,如正反器。这些状态元件可连接至计算过长度的扫描链中,该长度基于该设计而不同。在一具体实施例中,在一设计中的所有状态元件是可扫描的,即,每个状态元件是在一扫描链中。在该扫描链中的该状态元件典型地称为扫描胞元。在DFT中,每个扫描链包含一扫描输入插脚以及一扫描输出插脚,其做为该测试模式期间的控制及观察节点。 
该扫描链经由该扫描胞元而通过在预定的逻辑信号中计时而负载。因此,如果最长的扫描链包含500个扫描胞元,则使用至少500个时钟周期以完成该负载程序。注意,在实际的具体实施例中,软件可补偿不同的扫描链长度,藉此确保来自每个测试型样的输出被相应地辨识及分析。 
可使用一外部测试装置而产生该扫描链的该测试型样。产生这样的一种装置,可通过对具有N个输入及扫描胞元的一设计应用2N个输入型样而完成一彻底的测试。然而,由于输入数量的增加,此测试方法在商业上是不可行的。 
为了解决此问题,当提供故障涵盖率接近100%时,可使用决定性的自动测试型样产生(ATPG)以产生一较小组的型样。具体而言,在决定性的ATPG中,每个测试型样被设计成去测试尽可能多的故障。然而,即使具有减少的测试型样,在决定性的ATPG型样在该测试应用设备中仍需要重要的储存区域以提供给被直接输入至该扫描链的大量型样,以及给来自该扫描链的预期输出值。此外,此测试方法因其芯片外(off-chip)的存取时间而不具效率。 
或者较常地,在目前复杂的IC中,可将结构加至允许该IC快速测试本身的设计中。这些内建的自我测试(BIST)结果可包含不同的型样产生器,最典型的为一伪随机的型样产生器(PRPG)。在PRPG所产生的型样经由该测试设计的扫描链而传播后,分析该输出以决定是否侦测到一故障。在2007年6月26日申请、标题为“对不确定扫描链输出具耐性的的决定性BIST构造”的美国专利号7,237,162中描述了使用PRPG的一示范性扫描测试***及技术,其于此并入以做为参考。 
为了在IC扫描测试期间达到高瑕疵涵盖率,特别是在光的缩小制程技术以及新的IC材料中,可使用不同的故障模型〔例如安装性(stuck-at)、转态延迟(transition delay)以及短路/断路模型〕。不幸的是,虽然用于时机取决及序列取决故障模式的测试型样对于新技术日渐重要,这种测试型样可需要多至2-5倍的测试器时间及数据。对于下一代的工具,目前测试数据量及测试应用时间呈现持续增加至少一个数量级。因此,单独扫描的扫描测试已变成不足以作为控制测试成本的方法。即使是以现代化ATPG所产生的高度压缩向量集也需要芯片内(on-chip)的压缩及解压缩,以减少测试成本。 
扫描压缩通过减低测试型样数量、测试应用时间以及测试器的插脚数需要而降低了测试成本。扫描负载压缩技术利用了相比于“不关注”位(即在 该测试设计中那些不代表故障的位)的“关注”位(即储存于预定扫描胞元中的值,该扫描胞元可达到目标故障的侦测)的不足。扫描卸载压缩技术利用了错误值大约随机出现并一次只出现在一些扫描链上的事实。具体而言,除了关注位及不关注位外,该测试设计可偶尔输出不确定的位。如其名所指,一不确定位(于此称为一“X”)具有一未知的值(即无法通过该ATPG程序期间所使用的模拟而准确预测的一个值)。因此,X位可误导该扫描输出的分析。此外,这种X位可通过遮蔽观察而限制卸载压缩,也可通过要求额外的关注位而限制负载压缩,以防止X或避免他们对扫描输出的效应。 
不幸的是,积极进取的设计与技术以及精密的故障模型可增加撷取一X值的扫描胞元的数量。静态X一般指的是在对操作参数不敏感的一零迟延模拟中所见的未知的值。示范性的静态X包含未建模(un-modeled)区块(例如类比存储器区块)以及汇排流冲突。虽然静态X在设计时为已知,大部分不具有简单的定位。此外,因为时机、操作参数(例如电压以及温度)或制造瑕疵而可能产生“动态”X。 
因此产生一种扫描压缩方法的需要,该方法可以同时达到多个积极的目标。 
实用新型内容
本实用新型提供了一种用以测试一集成电路(IC)设计的***,该集成电路设计包含多个扫描链。此扫描测试***可包含两个伪随机型样产生器(PRPG)处理链以及一卸载区块。该第一PRPG处理链可接收一第一种子以产生用以鉴定该设计的故障的型样。也就是说,该型样应用于该多个扫描链。该第二PRPG处理链可接收一第一种子以产生超耐性(XTOL)控制位,该XTOL控制位决定该扫描链的可观察性的一等级。该卸载区块可接收来自该多个扫描链的该扫描输出以及该XTOL控制位,并产生用以分析该设计的测试输出。 
在一具体实施例中,该第一PRPG处理链可包含一关注PRPG(CAREPRPG)以及一关注移相器(CARE phase shifter)。该关注PRPG可接收该第一种子。该关注移相器可提供解压缩的输出至该多个扫描链。该第一PRPG 处理链也可包含一关注影子寄存器,其接收来自该关注PRPG的输入以及提供输出至该关注移相器。该关注PRPG可提供一Pwr_ctrl(功率控制)信号,该Pwr_ctrl信号使该关注影子寄存器处于一保持(Hold)模式,使得常数被位移至该扫描链中,以降低位移功率。 
该第二PRPG处理链可包含一XTOL PRPG、一XTOL移相器以及一XTOL影子寄存器。该XTOL PRPG可接收该第二种子。该XTOL移相器可接收该XTOL PRPG的输出。该XTOL影子寄存器可接收该XTOL移相器的输出以及提供该XTOL控制位。在一具体实施例中,该XTOL PRPG被配置成用以产生一保持信号,该保持信号使该XTOL影子寄存器处于一保持模式。 
该扫描测试***可进一步包含一可寻址的PRPG影子,其配置成用以接收来自一测试器的输入,以及用以提供输出至该第一PRPG处理链以及该第二PRPG处理链的其中之一。该关注PRPG及该XTOL PRPG被配置成在任何位移周期,当需要时用以再播种。因此,该扫描测试***可有利地提供一预位移的X控制。 
在一具体实施例中,该卸载区块可包含一XTOL选择器、一X-解码器、一压缩器以及一多输入移位寄存器(MISR)。该XTOL选择器可接收该内部扫描链输出。该X-解码器可使用该XTOL控制位来控制该XTOL选择器。该压缩器可接收该XTOL选择器的输出。该MISR可接收该压缩器的输出,并产生该测试输出。有利地,该XTOL选择器以及该X-解码器可被配置成用以提供一完全可观察性模式、一不可观察性模式、一单链模式以及一多重可观察性模式中的任一种。 
在一具体实施例中,该X-解码器可包含一双层级解码***的第一个。例如,在一第一层级,该X-解码器提供每个群组的(而非每个链的)输出。在第二层级,在每个个别的链中执行群组至链的解码。一第一及闸(ANDgate)可接收来自一扫描链的一第一输入,以及一复用器可提供一第二输入至该第一及闸。一第二及闸及一或闸(OR gate)都可接收该XTOL控制位,其中该复用器选择来自该第二及闸与该或闸其中之一的一输出。 
上述所描述的扫描测试***可有利地适用于任何从0至几乎100%的X 密度,以及可以提供非常高的压缩,其具有与最佳扫描ATPG相同的测试涵盖率。可定义如同一单一扫描输入及扫描输出一样少。有利地,该设计逻辑可维持不变,以此帮助上述扫描测试的并入。 
在另一具体实施例中,提供了一种用于测试一集成电路(IC)中实施的一设计的扫描测试***,该设计包含多个扫描链,该***可包含一伪随机型样产生器(PRPG)影子寄存器、一关注PRPG、一关注影子寄存器、一关注移相器、一X-耐性(XTOL)PRPG、一XTOL移相器、一XTOL影子寄存器以及一卸载区块。该伪随机型样产生器(PRPG)影子寄存器用于接收种子。该关注PRPG用于接收来自该PRPG影子寄存器的种子。该关注影子寄存器用于接收所述关注PRPG的输出。该关注移相器用于接收所述关注影子寄存器的输出,并将通道之间的线性依赖性最小化,以及产生用于该多个扫描链的扫描位。该X-耐性(XTOL)PRPG用于接收来自所述PRPG影子寄存器的另一种子。该XTOL移相器用于接收该XTOL PRPG的输出,以及最小化通道之间的线性依赖性。该XTOL影子寄存器用于接收所述XTOL移相器的输出。该卸载区块用于接收来自所述多个扫描链以及所述XTOL影子寄存器的扫描输出,提供一每位移的X-控制,以及产生用于分析所述设计的测试输出。 
本实用新型还提供了一种用以将关注位制图至一关注伪随机型样产生器(PRPG)的方法。此方法包含决定所有关注位可制图至一单一种子的位移的一最大窗口。决定该最大窗口可包含以位移周期分类该关注位,以及对于每个位移周期计算一最大窗口,使得在一窗口中的关注位总数不过一预先计算的限制。当该窗口中的所有关注位可制图成一单一种子,则该单一种子可载至该关注PRPG中。当并非所有的关注位可制图成该单一种子时,则该窗口可被线性地降低。 
本实用新型还提供了一种用以将X-耐性(XTOL)控制位制图至一伪随机型样产生器(PRPG)的方法。此方法包含决定所有XTOL控制位可制图至一单一种子的位移的一最大窗口,以及决定该最大窗口的一最佳开始。对于一完全可观察性模式,该方法可进一步包含决定关掉一XTOL可行位的一第一选择或是留下该XTOL可行位的一第二选择何者较佳,然后选择该较佳 的选择。 
本实用新型还提供了一种选择用于一扫描测试的可观察性模式的方法。此方法可包含初始化与该可观察性模式相关的模式优点。对于每个位移,让一未知值(X)通过的任何可观察性模式可被消除。此外,无法侦测该扫描测试中一主要目标(primary target)的任何可观察性模式也可被消除。基于所观察到的次要目标而可增加模式优点以用于次要故障。对于每个位移,可决定基于总模式优点的一位移的一最佳的可观察性模式以及一第二佳的可观察性模式。所造成的可观察性模式可被制图成XTOL种子。该可观察性模式可包含完全可观察性、不可观察性、单一可观察性以及多重可观察性(以及他们的补充)。 
附图说明
图1示出了具有一芯片内压缩器及一解压缩器的一简化的扫描测试***; 
图2A示出了被配置成用以提供完全超耐性(X-耐性)的一扫描测试***; 
图2B示出了还包含一关注影子寄存器的该扫描测试***; 
图3A示出了一示范性的PRPG影子寄存器; 
图3B示出了该XTOL PRPG的一示范性胞元,以及该XTOL移相器与该XTOL影子寄存器的其相应胞元; 
图3C示出了该关注PRPG的一示范性胞元以及该关注移相器及该关注影子寄存器的其相应胞元; 
图4示出了与测试器及ATPG型样相关的示范性波形; 
图5示出了应用压缩型样的一示范性状态流程; 
图6示出了一示范性的卸载区块,该卸载区块在最大化可观察性时可使用最少的XTOL-控制位而有效地阻断X; 
图7示出了用于该X-解码器中的一示范性2层级解码区块; 
图8示出了展示在多重可观察性模式中该不同群组的使用的一图表; 
图9示出了显示XTOL选择器品质的两种测量的一图表; 
图10示出了一示范性的制图技术,其将关注位制图成关注PRPG种子; 
图11示出了一观察模式选择技术; 
图12示出了一示范性的制图技术,其将XTOL-控制位制图成XTOLPRPG种子; 
图13示出了一示范性数位ASIC设计流程的一简化呈现,该数位ASIC设计包含所描述的适合的扫描压缩技术。 
具体实施方式
图1示出了一简化的现有技术测试***100,该测试***100包含用以从一测试器接收输入(即种子)的一负载解压缩器101、用以接收由该负载解压缩器101所产生的扫描位的多个扫描链102,以及用以接收来自该扫描链102的该扫描输出的一卸载压缩器104。在一具体实施例中,,因为以来自该测试器的决定性的ATPG计算值而重复地再播种的一伪随机型样产生器(PRPG)可有利地提供非常高的负载数据压缩,所以负载解压缩器101可包含一PRPG。注意在决定性ATPG期间所计算的负载值可被“编码”成(或“制图”成)PRPG状态,使得所有的关注位被适当地负载于该PRPG种子中。在典型的具体实施例中,可从每个PRPG种子产生多重测试型样。 
除了故障侦测所需的关注位,负载解压缩器101也可支援X-控制位,其用以经由一控制线103而提供至卸载压缩器。虽然卸载压缩器104可确保在存在一些X时的错误侦测,但除非以衍生自负载解压缩器101控制过多的X,额外的X可导致测试涵盖率损失。特别是,假若X不被控制,如果所增加的XTOL控制位比所需的该输出位少,增加X-控制位可实际地减低总压缩数据量。 
然而,决定哪个X-控制位是所需的可为有挑战性的。为了减低测试应用时间,一扫描型样的负载可与先前的卸载重迭。因此,负载解压缩器101必须同时支援目前型样的负载关注位(其提供给扫描链102)以及先前卸载 的X-控制位,使得过多的X不会造成涵盖率的损失或在型样数无法接受的增加。 
不幸的是,在一典型的扫描ATPG流程中,当该X-控制位为已知时已太迟了。也就是说,该X-控制位只有在负载关注位已为了先前的M(例如32)型样而被设定之后才为已知,此时,负载解压缩器101中的冲突可能会导致无法满足的情况。注意每扫描胞元可储存先前的型样资讯以预测哪个胞元可能需要用以观察的X-控制。然而,此储存需要额外的存储器及CPU来进行。此外,当该预测失败时,可能需要一填补的型样,其不合意地加至测试数据及周期中。在一具体实施例中,X-控制位可被限制为内部链的每负载的一单一群组,即,在所有的位移周期不改变,具有可能过度遮蔽X且因此增加型样数以达到完全涵盖率的不利条件。 
根据图2A中所示的一扫描测试***200的构想,当避免与负载关注位的冲突时,可使用双重PRPG以提供该X位的一每位移(per-shift)控制。为了提供此最佳化,一关注位PRPG(CARE PRPG)202可产生关注(以及不关注)位,以及一分开的X-耐性PRPG(XTOL PRPG)206可产生XTOL控制位。注意一PRPG影子寄存器201可接收来自该测试器的种子,并产生关注PRPG 202或XTOL PRPG 206的适当种子。 
图3A示出了一简化的PRPG影子寄存器330,其包含复用器331以及正反器332。复用器331,其由相同的控制信号Mux_控制所控制,该复用器331接收来自该测试器的种子3300,以及当可用时,接收来自先前胞元的种子。正反器332,其由相同的时钟所计时(为求简化而未示),该正反器332接收该先前胞元的一正反器332的一输出或该先前胞元的一复用器331的一输出。美国专利号7,237,162描了更进一步细节的一PRPG影子寄存器的一示范性配置。PRPG影子寄存器300的输出,即让XTOL赋能(eable)以及该关注PRPG或该XTOL PRPG的位,是由正反器332所提供。 
回头参见图2A,关注PRPG 202可提供其输出至一关注移相器203,其可具有比输入还多的输出。因此,组合起来,关注PRPG 202和关注移相器203可提供该关注(以及不关注)位的负载压缩。在一截然不同的配置中,XTOL PRPG 206可提供其输出至一XTOL移相器207,其可包含比输出还 多的输入。 
注意一PRPG实际上是具有一预定回馈配置的一移位寄存器。因此,该PRPG的邻近胞元彼此具有依赖性,即,在一第一胞元下游的一第二胞元可储存一值,该值先前在一时钟前是由该第一胞元所储存。移相器,其典型地使用异或门(XOR gate)来实施,该异或门接收来自预定胞元的输入,该移相器减少该PRPG的邻近胞元之间的线性依赖性,使得故障侦测最低限度地由该PRPG的该线性依赖性所中断。一PRPG和一移相器的不同配置对于本IC测试领域的技术人员来说是已知的,因此此处没有细节地解释。 
在一具体实施例中,PRPG影子寄存器201可提供一XTOL赋能位(其可被储存于一个一位的寄存器中),以关掉在一卸载区块205的XTOL耐性。关掉该赋能位可通过不需用于邻近位移周期的一窗口的XTOL PRPG而减低压缩的数据量,该邻近位移周期不需X控制。XTOL PRPG 206继续位移,但其对于卸载区块205的控制可被该XTOL赋能信号去能(disable)。当被赋能时,XTOL PRPG 206可提供每位移的X-控制至卸载区块205。 
在一具体实施例中,只有当关注PRPG 202或XTOL PRPG 206被再播种时才可改变该XTOL赋能位。因此,该XTOL赋能位可显著地以非常低的X密度而减少用于设计的XTOL位,但提供相对粗糙的控制。为了进一步减少用于中度或高X密度的XTOL位,也可提供一更好的控制。特别是,在大部分的设计中,X分布是高度不均匀的,藉此让该XTOL控制位可以重新使用于邻近的周期(以及如同由ATPG所产生的型样可被调整以有利于重新使用)。因此,根据一具体实施例,XTOL PRPG 206的一专用通道可提供一保持位至XTOL影子寄存器208。此保持位确保在XTOL影子寄存器208中的该XTOL PRPG数据维持不变。 
注意当XTOL影子寄存器208提供固定XTOL控制位至卸载压缩器205时,当需要XTOL控制位的一新状态时,XTOL PRPG 206可提升到下一个状态。在一具体实施例中,从XTOL移相器至控制XTOL影子寄存器208,需要每位移的一单一位。 
如上所述,XTOL移相器207有利地具有比输入还少的输出。因此,将XTOL影子寄存器208置于XTOL移相器207的输出上(而非置于XTOL PRPG 206的输出上)导致较小许多的影子寄存器。在一具体实施例中,XTOL-控制位的数量约为log(#扫描链)。同样地,从XTOL PRPG 206至卸载区块205的长组合路径通过将XTOL影子寄存器208置于XTOL移相器207之后而大大地减小。 
图3B示出了XTOL PRPG 206的一示范性的胞元以及XTOL移相器207与XTOL影子寄存器208的其相对应胞元。在一具体实施例中,该XTOLPRPG的一胞元301可包含一复用器312,该复用器312接收作为输入的来自该PRPG影子b的一位(例如PRPG影子201,图2A)以及来自先前的XTOL PRPG胞元a的一位(注意以非必须XOR 311所代表的一异或门可产生该先前的XTOL PRPG胞元的输出)。这些位之间的选择是由一XTOL影子_传送c(shadow_transfer)信号所决定,其由该测试所产生。在一具体实施例中,当该XTOL影子传送开启时,复用器312选择该PRPG影子输入。胞元301可进一步包含一计时的储存装置(例如一D-正反器)313,其接收复用器312的被选择输出位,并提供一信号至下一个XTOL PRPG胞元d。 
该XTOL移相器的一胞元320可包含一异或门,其接收作为输入的复用器312的至少该选择输出。在一具体实施例中,为了提供该移相功能性,此异或门也可接收至少一其他复用器的输出,其中至少一其它复用器的输出是来自另一XTOL PRPG胞元。如图3B中所示的每个移相器通道可具有胞元的一独特组合,该胞元用以产生一相移输出。每个组合的胞元选择对于本领域的技术人员是已知的,因此此处不进一步地进行细节的描述。 
在一具体实施例中,该XTOL影子寄存器的一胞元302可包含一复用器322,该复用器322接收作为输入的胞元320的输出以及一回馈信号。在一具体实施例中,在这些位之间的选择是通过将一!保持信号(即该保持信号的对立物)与一XTOL影子传送信号进行或闸运算而决定,该XTOL影子传送信号是由该测试所产生。具体而言,在一具体实施例中,当该XTOL影子传送是开启的或不是保持着,复用器322选择XTOL移相器胞元320的输出。当保持着时,复用器322选择来自储存装置323的回馈。 
胞元302可进一步包含一计时储存装置(例如一D型正反器)323,其接收复用器322的该选择输出,并提供一X解码信号。注意此X解码信号 是提供作为一输入至复用器322的回馈信号。进一步注意储存装置313及323可由一相同的时钟信号所控制,即XTOLPRPGc1k。 
在此配置中,复用器322可有利地作为一再计算复用器而作用,该再计算复用器将数据保持在该XTOL影子中,或撷取自XTOL PRPG 206的数据至XTOL影子寄存器208。在一具体实施例中,当复用器312选择该PRPG影子输入时,复用器322选择来自XTOL移相器胞元320的输入。此外,当复用器312选择先前的PRPG胞元输入时,根据该保持信号,复用器322选择该移相器的胞元320的输出或323的输出。为了提供具有一组新的XTOL-控制的该XTOL影子的一立即更新,从XTOL PRPG胞元的输入获得该XTOL移相器输入(不像传统的配制是具有连接到PRPG胞元输出的移相器)。 
注意可使用一子集的总XTOL PRPG胞元而产生该保持信号。例如,如图3B中所示,复用器312的输出以及至少一其他相似的输出可提供至一移相元件314。在一具体实施例中,移相元件314可包含一异或门。移相元件314的输出是该保持信号。 
进一步注意虽然示出了一中间XTOL PRPG胞元301,除了下述例外之外,该第一及最后XTOL PRPG胞元具有相似的配置。具体而言,该第一XTOL PRPG胞元包含一复用器312,该复用器312接收该最后XTOL PRPG胞元的一输出,而非接收来自先前的XTOL PRPG胞元的一输入。其逻辑上地了解是,该最后XTOL PRPG胞元包含一储存装置313,该储存装置313提供一输出至该第一XTOL PRPG胞元,而非提供一输出至下一个XTOLPRPG胞元。 
在图2B中所示的一具体实施例中,一关注影子寄存器可包含于扫描测试***200(图2A)中。图3C示出了关注PRPG202的一示范性胞元以及关注影子1001的其相应胞元。在一具体实施例中,该关注PRPG的一胞元350可包含一复用器342,该复用器342接收作为输入的来自该PRPG影子b的一位(例如PRPG影子201,图2A)以及来自先前的关注PRPG胞元e的一位(注意如非必须的XOR341所指,一异或门可能产生该先前的XTOLPRPG胞元的输出)。在这些位之间的选择是由该测试器所产生的一关注影 子传送信号(即CARE影子_传送g)所决定。胞元350可进一步包含一计时储存装置(例如一D-正反器)343,其接收复用器342的该选择输出位,并提供一信号至下一个关注PRPG胞元f。 
注意一子集的总关注PRPG胞元可用于产生一功率控制信号。例如,如图3C所示,可提供复用器342的输出以及至少一其他相似的输出至一移相元件344。在一具体实施例中,移相元件344可包含一异或门。移相元件344的输出是该Pwr Ctrl信号。 
在一具体实施例中,该关注影子寄存器的一胞元351可包含一复用器346,该复用器346接收作为输入的复用器342的输出以及一回馈信号。在一具体实施例中,这些位之间的选择是通过一!Pwr赋能信号〔即该Pwr赋能信号的相对物(该Pwr赋能信号是一种由该测试器所提供以及储存于例如一个一位寄存器中的全球功率信号)〕、一!Pwr Ctrl信号以及一关注影子传送信号(其由该测试器所产生)的或闸运算而决定。 
胞元351可进一步包含一计时储存装置(例如一D型正反器)347,其接收复用器346的该选择输出,并提供一输出至关注移相器203的一相应胞元。注意此输出是提供作为一输入至复用器346的回馈信号。进一步注意储存装置343和347是由一相同的时钟信号所控制,即CAREPRPGc1k,其被反转用于计时储存装置347。 
在一具体实施例中,如果该Pwr赋能信号是0,则忽略该Pwr控制信号及该关注影子传送信号,以及关注影子胞元351以复用器342复制关注PRPG胞元350的内容作为输出。然而,如果该Pwr赋能信号是1,则该Pwrctrl信号及该关注影子_传送信号决定关注影子胞元351保持其目前的值或从关注PRPG胞元350计时一新的值。有利的是,通过在重复的值中位移成扫描链,该关注PRPG胞元350以及关注影子胞元351的此配置可提供显著的功率降低。具体而言,任何非关注位移可用于权衡关注位相对于功率。 
回头参见图2A,PRPG影子寄存器201的特征是可作为具有任意重迭的一可寻址的影子。具体而言,PRPG影子寄存器201可有利地负载关注PRPG 202的下一个关注种子或XTOL PRPG 206的下一个XTOL种子。特别是,此负载可在位移或保持扫描链的值时执行,藉此允许再播种周期与内 部位移周期任意重迭。因此该再播种频率不受负载一种子所需的周期数而限制。有利的是,PRPG影子201的内容可在一单一周期中被传送至关注PRPG202或XTOL PRPG 206。 
最佳化的扫描ATPG可通过合并每个型样所测试的多重故障而显著地降低型样数。一开始,合并是非常有效的,且每个型样使用大量的关注位以测试大量的故障。当尚未测试的故障数量随着每个随后产生的测试型样而减少,每型样用来合并故障的机会快速地降低,所以每型样使用越来越少的关注位。关注位被制图成种子,所以初始的型样需要大量的种子,而较晚的型样需要越来越少的种子。另一方法,测试器被最佳化地配置成支援每负载一固定数量的位。 
该PRPG影子201可重复地从该测试器被再播种,以获得所想要的决定性测试。或者,PRPG 202及206可连续地接收一测试器数据流(其中每个测试周期提供一子集可被储存于PRPG影子201中的总位数,即一递增的),使得想要的关注位被产生。然而设计可具有关注-位热点,即需要大量的关注位用于许多测试型样。因为有限的测试器频宽,每个位移周期只能提供一些数据值至该PRPG,其可能不足以满足所有的关注位。在此案例中,应停止某些周期的扫描链204的负载。 
因此,在一具体实施例中,PRPG影子201的再播种可用于避免由于穿越型样边界的川流不息的数据所造成的复杂性(即,处理一递增的再播种可比处理一新的、完全的种子而来得复杂许多)。此外,为了维持负载之间的独立,可以一完全的关注PRPG负载来开始每个型样负载。为了最大化可用于每个位移的关注位数量,当需要时,可将再播种与停止扫描链位移的能力结合。在一具体实施例中,一新的种子可常到在每个位移被负载(如果需要的话),藉此最大化供应至独立于测试器频宽的PRPG影子201的值。 
因此,按照PRPG影子201的再播种,当需要时可在任何位移周期再播种关注PRPG 202或XTOL PRPG 206。如果需要的话,当再播种关注PRPG202及/或XTOL PRPG 206时,可停止扫描链204的位移。此PRPG影子201的再播种与扫描链204的位移的重迭可由ATPG决定。 
在一具体实施例中,关注PRPG 202及XTOL PRPG 206是相同的长度, 所以每个测试器负载(种子)具有相同量的数据。如上所提及,因为PRPG影子201包含该XTOL赋能位,PRPG影子201可比关注PRPG 202或XTOLPRPG 206长一位。注意当PRPG影子201的内容被传送(平行地)至关注PRPG 202或XTOL PRPG 206时,该XTOL赋能位被设定,且维持不变直到下一个影子传送。 
特别地,只有在需要时才负载种子。该测试器将每个再播种视为一固定大小的负载;内部地,一或更多种子控制一内部负载/卸载操作。图4示出了与测试器及ATPG型样相关的示范性波形400。波形401可代表从一测试器负载一PRPG影子。波形402可代表基于该ATPG型样负载的扫描链位移。如这些波形所示,如果负载一种子的周期数是4(波形401所示),一型样的前4个周期用以负载一种子,接着一周期用以传送该种子至该关注PRPG(见周期410),然后该内部链位移2个周期(波形402所示),以及再等待2个周期让第二种子以完成负载。然后内部位移继续,2个周期后,第三种子开始负载,与内部(即扫描胞元)位移重迭。在此具体实施例中,该测试器供应相等的型样,4负载接着一传送,或重复测试器周期(周期411所示)。注意该内部负载可需要多重种子,该内部负载独立于该外部观点,且可使用一较快或较慢的时钟。 
回头参见图2,扫描测试***200可同时使用三种压缩技术。第一,因为可依所需地而时常再播种关注PRPG 202,测试产生可合并每型样的多重故障。比起编码许多稀少的型样,编码极少密集的型样至种子中(多重种子至一型样)导致比较少的总数据。因此,ATPG可有利地「重新使用」在一型样中用于多种故障的关注位。第二,关注位的PRPG编码可提供非常高的数据压缩。特别地,分开的关注及XTOL PRPG允许关注及XTOL控制位的压缩的独立最佳化。第三,测试器重复周期可用作为一无负担、独立的负载数据压缩技术。在一具体实施例中,当没有再播种正在进行中时,测试器重复可用于位移扫描链204的值。 
图5示出了应用压缩型样的一示范性状态流程。型样开始于「测试器模式」501中,其中该第一种子被负载至该PRPG影子,以及,可选择地,数据卸载。注意因为每个型样需要负载关注位,但可能不需XTOL位,该第一 种子被指定用于该关注PRPG。内部链在「测试器模式」501期间不位移。相反地,该内部链保持值。在一具体实施例中,「测试器模式」501可获得#位移/种子周期(即重新负载该PRPG影子的周期数)(例如图4中波形401的前四个周期)。然后,在一周期中,在「影子至PRPG模式」502中将该PRPG影子内容传送至该关注或XTOL PRPG。 
当在「影子至PRPG模式」502时,有三种可能的下一阶段。如果立即需要另一种子(例如在该初始关注种子之后的一XTOL种子),则可再次进入「测试器模式」501。如果在一些周期后需要另一种子,可进入一「影子模式」504。最后,如果一些周期不需另一种子,则可进入一「自主模式」503。 
当在C周期中需要另一种子且C≤#位移/种子时(即重新负载该PRPG影子所需的周期数),可使用「影子模式」504。对于C周期,从测试器负载该PRPG影子与位移该内部链重迭(即该#位移/种子-C)。在「影子模式」504中,通过尽可能地与内部位移负载重迭,该PRPG影子可用于最小化测试周期的总数。依次,可将该ATPG程序调整至尽可能地去间隔再播种,以最大化重迭。在一具体实施例中,「影子模式」504获得#位移/种子周期(例如在图4中的4周期,其C=2)。在此具体实施例中,「影子模式」504之后总是传送该PRPG影子的内容至在「影子至PRPG模式」502中所选择的PRPG。 
当在目前的型样中不需要其他的种子时,或当在C周期中需要另一种子且C>#位移/种子时,可使用「自主模式」503。当在目前的型样中不需要其他的种子时,可使用一测试器重复以完成具有由该PRPG所提供之数据的该内部负载/卸载。在此案例中,该测试器只需暂停该位移时钟一些周期,以接着在「撷取模式」505中的一或更多的撷取周期(其撷取在预定扫描胞元中的值)。相反地,当在C周期中需要另一种子且C>#位移/种子时,一测试器重复可用于C-#位移/种子周期,接着是「影子模式」504。例如,在图4中,C=6,前2个周期是在「自主模式」503中,该「自主模式」503之后是「影子模式」504中的4个周期。在此具体实施例中,「测试器模式」501总是跟在「撷取模式」505之后。 
虽然理论上提供非常高的卸载压缩,甚至一单一X值可使多输入移位寄存器(MISRs)变成无用的。可使用积极的DFT(设计用测试)以从该设计中移除所有的X,但其成本可能是不可接受的,且动态X可能仍会出现。在一已知的技术中,可在该MISR之前阻断所有的X,以控制该阻断以及因过于粗糙的阻断所造成的降低的可观察性,其代价为大量输入数据的增加。在另一已知的技术中,可通过将每个已知的值与其本身进行异或门运算而从该MISR将X周期性地清除。此技术需要大量的高X密度输入数据。而在另一个已知的技术中,可通过移除MISR回馈以及持续地观察一输出数据流而限制X在该MISR中的生命,藉此减少压缩以作为一些X-耐性的交换(然后可通过以更多的输入数据来阻断X而增强该X-耐性)。而在另一个技术中,代替一MISR的组合压缩需要观察一输出数据流,权衡了对于X-耐性的压缩。 
在一具体实施例中,每位移X-耐性的精确控制(上述)可与以一MISR所实现的该非常高压缩结合。图6示出了一示范性的卸载区块205,该卸载区块205在最大化可观察性时可使用最少的XTOL-控制位而有效地阻断X。在一具体实施例中,卸载区块205可包含一X-解码器601、一XTOL选择器602、一压缩器604以及一MISR 606。XTOL选择器602可接收来自扫描链204的输入,并提供其输出至压缩器604。XTOL选择器602可由X-解码器601所控制。X-解码器601可接收该XTOL控制信号(其可改变每个位移)以及该XTOL赋能信号(其可改变每个再播种)。 
在一具体实施例中,可将压缩器604设计成以保证没有对于1、2、3或任何奇数的错误(X)没有失真,其是可能的,因为其输出连接至MISR 606而因此不受限为一小量的接口。压缩器604也可设计成用以消除2-错误MISR取消。在XTOL选择器601的一具体实施例中,可将每个解码器70的一输出提供至压缩器604的三个移相元件(即异或门)(即使用一3的扇出)。决定移相元件的哪一组接收每个扇出(fanout)是本测试领域的技术人员所已知的,因此此处不描述。 
在一具体实施例中,可在「测试器模式」501(图5)中的每个测试型样之后卸载MISR 606,并在已卸载时将MISR 606重设成0。如果分开的扫 描输入及输出接脚是可用的,该MISR卸载可与该种子负载重迭,以最小化测试器周期。可分析该失败的错误签章以提供失败型样的诊断。或者,该使用者可选择只有在该型样集的结束时卸载MISR 606,藉此提供高数据压缩,但没有直接的诊断支援。 
XTOL选择器602可被配置成用以支援下列模式:完全可观察性模式、不可观察性模式、单链模式以及多重可观察性模式。该完全可观察性模式可用于无X(X-free)位移,且在可能时为较佳的。在其关掉状态的该XTOL赋能信号赋能了再播种之间的完全可观察性(当可改变该XTOL赋能信号时)。注意当该XTOL赋能信号在其开启状态时,仍可以一最小化数量的XTOL控制位选择完全可观察性。如果配置了X-链(即包含一或更多X的扫描链),在此模式中不观察该X-链(于2008年9月30日所申请的、标题为「通过使用X-链的增加的扫描压缩」的美国专利申请案号12/242,573中所细节描述的X-链)。该不可观察性模式可用于必须阻断每个MISR输入时的位移。有些大量X(X-heavy)设计将相对常使用此模式,所以其必定是以极少XTOL控制位可选择的。 
该单链模式可用于观察被标靶的胞元在被观察时的位移的一单一内部链。此模式是唯一允X-链观察的模式,且可有利地提供完全X-耐性,即无论多少其他的胞元为X,任何胞元可被观察。由于在一典型的设计中有大量的内部链,选择一单链通常需要许多XTOL位。因此,应谨慎地使用此模式。 
该多重可观察性模式可针对每个设计客制化。在此模式中,可观察不同子集的扫描链。因此,该多重可观察性模式涵盖了单一及完全可观察性模式之间的中间范围。可编码此模式的选择,使得需要最少的可能位以选择一指定子集的扫描链。 
在一具体实施例中,只要没有X通过至压缩器604,可选择用于XTOL选择器602的一模式可。可每位移使用一单一XTOL位而于邻近的位移周期重复任何模式选择。可配置多重可观察性模式,使得在每个群组中没有两个在一起的扫描链,因此在该扫描链中其中一个上的一X不排除用于观察另一个扫描链的每个多重可观察性模式的选择。 
为了创造多重可观察性模式,两个或更多的分区被定义在非X链的集 合上。每个分区包含整体的集合。互相排除的群组被定义于每个分区内,使得每条链确实地属于每个分区的一群组。此外,每一条链是于一独特集合的群组中,每分区一群组,所以每分区的群组数产物必定至少与链的数量一样大。可在该多重可观察性模式中选择任何群组或其关于其分区的互补。 
可使用10条链以及2个分区来解释依照该多重可观察性模式的简单链的划分。例如,分区1可包含2组,每组5条链,而分区2可包含5组,每组2条链。群组的总数为7(即2+5)。示范性的群组可包含第0组0(0,1,2,3,4)、第1组(5,6,7,8,9)、第2组(0,5)、第3组(1,6)、第4组(2,7)、第5组(3,8)、第6组(4,9)。在一具体实施例中,为了确保没有2条链在相同的2组中,容许10(2×5)个观察〔例如观察第0组、观察第1组、观察第2组、观察第~2组(即1,2,3,4,6,7,8,9),等等〕。 
在另一更实际的设计范例中,考虑1024条链及4分区。在此范例中,分区1可包含2组,每组中具有512条链,分区2可包含4组,每组中具有256条链,分区3可包含8组,每组中具有128条链,以及分区4可包含16组,每组中具有64条链。群组的总数为30(即2+4+8+16)。没有2条链在相同的4组中,所以容许1024个组合(2×4×8×16)。 
提供选择任何一链的能力需要对布局的特殊注意。一单纯的( 
Figure DEST_PATH_GSB00000231714800181
)实施可导致线路拥塞,在该实施中该X-解码器完全地解码其输入以提供个别的链阻断。特别地,在图7中非常细节地示出的解码区块700可提供一有效率线路的配置。 
在一具体实施例中,区块700可包含一及闸701,该及闸701接收一扫描链输出及来自一复用器702的输出。复用器702可接收来自两个逻辑闸(称为共享逻辑703)的输出,即或闸704与及闸705。注意只是为了示出,所示出的及闸701是与复用器702及共享逻辑703分开的。每个扫描链711只具有一相应的解码区块700。注意邻近的扫描链可能能够共享一些在解码的第二层级的一些逻辑,即共享逻辑703。此共享为本解码领域的技术人员所已知的,因此此处不描述。因此,解码区块700代表一逻辑实施,但可具有一不同的实体实施。 
逻辑闸704和705接收相同的输入,即指定一群组集。然而,根据该扫 描链711,该输入集合(即选自该31种可能)可在解码区块700之间变化。注意X-解码器601可提供每组一输出,再加上一「单链」控制(其对所有的复用器702是普通的),该「单链」控制指示该单链模式何时是活化的。例如,在一1024链中(见上述更复杂的划分),X-解码器601可提供31个输出(相对在一单纯实施中的1024)710,并接收作为输入的十三个XTOL控制信号以及一XTOL赋能信号,每个共享逻辑703接收4个输入的一集合。产生来自该14个解码器输入的该31个解码器输出为本解码领域的技术人员所已知的,因此此处不讨论。提供每扫描链一解码区块允许以一独特「位址」的个别链选择,该独特「位址」单纯地是该链所属的所有群组的该集合。例如,回头参见上述的该简单划分,该集合(第0组、第2组)独特地选择链0,而该集合(第0组、第3组)则独特地选择链1。注意该单链控制,其也产生自该X-解码器601,该单链控制作为对所有复用器702的唯一控制。 
提供一简单范例以用于进一步的示出。如果该单链控制信号是开启的,则可在该单链模式观察到任何扫描链。如果该单链控制信号是关掉的,则在其他的模式中可观察到该扫描链(即该完全可观察性、该不可观察性以及该多重可观察性)。至或闸704与及闸705的该共享输入是那个扫描链所属的该4个群组(见上述划分)(即每个扫描链确实地是4个群组中的一员,每个划分一个)。 
在完全可观察性模式中,XTOL赋能是0(关)该单链控制信号是0(即不在单链控制中),且X-解码器601的其他的30个输出将会是1。如图7中所示,如果该单链控制信号是0,所有的复用器702将选择或闸704的输出。因为所有至或闸704的输入是1,则复用器702只输出1。该应用至及闸701的1容许所有扫描链的可观察性。 
另一方面,如果XTOL赋能是1,则该XTOL控制信号用于决定该可观察性模式。例如,在一不可观察性模式中,则该单链控制信号是0,且X-解码器601的其他30个输出也是0。复用器702再一次选择或闸704的输出,在此案例中,或闸704输出0。应用至及闸701的0阻断了所有的扫描链值。 
在一单链模式中,该单链控制信号是1。因此,复用器702选择及闸705 的输出。在X-解码器601的其他30个输出中,其中的4个将为1,且其他的26个将为0。特别地,将只一个接收该4个1的扫描链,即选择用以观察的扫描链。 
在一多重可观察性模式中,该单链控制信号是0。因此,复用器702选择或闸704的输出。在至X-解码器601的其他30个输出中,有些将为0,且其他的将为1。如果至一或闸704的该4个输入中的至少一个是1,则观察该相应的扫描链。 
可通过计算链观察的机率以作为每位移的X数量的一函数而估计在多重可观察性模式中的分组品质。1024条链的结果示于图8和图9中。在每个案例中选择最高的可观察性,即该无X模式,所以对于无X选择完全可观察性,对于1或极少X选择15/16模式中的一个,然后是7/8模式中的一个,接着是3/4、1/2、1/4、1/8及1/16。 
如图8中所示,15/16专门用于1个X,很少用于2个X,且几乎从不用于更多的X。图8中的所有曲线的总合是对于任何数量的X的100%。例如,1/4是用于每位移2至7个X的最可能的模式,然后1/8模式最可能用于每位移7至19个X,然后1/16用于更多的X。图8中不包含单一可观察性模式。注意该补充模式,15/16、7/8及3/4,只可用于每位移约2个X的一非常狭窄的区域,但这在真实的设计中是非常常发生的情况,因此补充模式是最常使用的。 
图9示出了XTOL选择器品质的两种测量。一第一曲线901显示所观察到的链的平均数量,其随着增加的X数量而快速地降低。引人注意地,在每位移6个X时仍可观察到20%的该链,以及在高至每位移19个X时仍可观察到10%的该链。注意此可观察性远高于先前情况的可能性。例如,对于一组合压缩器或选择器,所观察到的链的平均通常只有约3%。 
图9中的一第二曲线902指示了可观察链,即可在一多重观察模式中被观察,不一定要立即地,的链百分比,在该多重观察模式中假定其他链是在X。因为X倾向集中在X用于大部分型样的特定设计胞元中,所以可观察链是很重要的。这对于ATPG有效率地观察用于故障侦测的其他链是决定性的,不一定全在相同的型样中,且不一定要求助于单链可观察性,其具有一 高XTOL位代价。如第二曲线所指,仅管每位移有高量的X,仍可维持高可观察性。例如,仅管每位移15个X,仍可维持50%的可观察性。 
现在描述被修饰用以把测试型样最佳化及制图成关注与XTOL种子的ATPG程序。图10示出了一示范性的制图技术1000,该制图技术1000把关注位制图成关注PRPG种子。在技术1000中,对于每个型样,该测试产生器储存想要的关注位,如果主要及次要故障需要的话,关注位被打上旗号。一计数维持在每位移的关注位数量。次要故障的合并被位的最大数量所限制,该位可于一单一位移中被满足,其相等于该关注PRPG减掉一小边缘的长度。关注位的列表被用于技术1000中,其一型样、一型样地计算并储存了所需的关注种子。 
在制图技术1000中,关注位以位移周期来分类(1001),可变的开始_位移(start_shift)被初始化成0,以及可变的限制被初始化为该PRPG长度减掉一边缘,而让制图成一种子是适合的。位移的一最大的窗口,开始_位移至结束_位移(end_shift)被计算,使得该窗口中的关注位总数不超过预计算的限制(1002)。如果在该窗口中所有的关注位可被制图成一单一种子(即该线性***具有一解决方法)(1003及1004),则储存该种子(1005),且该种子在开始_位移的周期将被负载至该关注PRPG中,并经由结束_位移+1的周期来产生所有的关注位。除非已包含最后的位移(1006),可随后相似地处理位移的一新窗口(1002)。如果刚好已包含该最后的位移,则该程序已完成(1007)。 
如果不是该窗口中的所有关注位可被制图成一单一种子(1004),则假定结束_位移仍大于开始_位移,该窗口是线性的减低(结束_位移--)(1008),且进行一尝试以把所造成的关注位制图成一种子(1003)。在很稀有的案例中,甚至一单一位移的关注位不能被制图成一种子,在此时结束_位移>开始_位移为非真。在此案例中,随后执行一二元搜寻(1009)以决定可被制图成一种子的最大关注位数。在该搜寻的每一步骤,找到关注位的线性***的解决方法。如果有任何为了该主要故障而而打上旗号的关注位的话,给予该关注位较次要故障关注位还高的优先性,因为该主要故障不被该测试产生器所重新标靶。 
把从该种子计算出的PRPG值负载至该扫描链中,并执行故障模拟。因为被丢弃的关注位而不被侦测的次要故障随后在未来的型样中被重新标靶。 
在已产生M(例如32)型样且每个已被制图成关注种子之后,可执行模拟以基于从该关注PRPG负载的值而决定哪个扫描胞元撷取被标靶的故障,以及哪个胞元撷取X。此资讯接着可用于计算用于每个型样的XTOL种子。图11示出了一观察模式选择技术1100。特别地,对于每个型样以及每个位移,必须选择一模式,使得:没有X被允许通过,如果有主要目标故障的话,观察到该主要目标故障,也观察到尽可能多的次要目标,观察到尽可能多的非目标胞元,以及需要尽可能少的XTOL位。 
对于每个型样,初始化每个模式(即完全可观察性、不可观察性、单一、多重以及其补充物)的优点值,该优点值与其可观察性成比例,并与其需要多少XTOL-控制以用以选择成反比(1101)。在一具体实施例中,也加入一小随机元件,使得不同的模式较佳于具有相似X-分布的不同型样,因此促使所有可观察链的偶然观察。注意,在此时,对于所有的位移,一模式的优点值是相同的。 
然后,对于每个位移,在那个位移中消除让X经过的所有模式(1102)。对于观察到该主要目标故障的位移,消除没有侦测到该主要目标观察胞元的所有模式(1103)。注意观察到任何单链的能力确保至少该主要目标总是是观察到的。然后,以所观察到的次级目标胞元数而正比地提升剩余模式的优点值(1104)。接着把对最后的位移具有最高优点值的两个模式储存成最佳以及次佳(1105)。 
对于每个位移,从倒数第二(next-to-last)下至第一,每个模式具有其优点值,该优点值更新成较好的值,该较好的值随后是位移+1的两个最佳的模式的其中之一(储存成curr及curr2)(1106)。保持一模式具有最低的XTOL控制成本,即一单一位。具有目前位移的最高优点值的两个模式随后被储存成最佳以及最佳2,并用于下一个位移(1106)。在一具体实施例中,为了达到最快的性能,只计算并使用两个最佳模式。最后,所选择的最佳模式被制图成XTOL种子(1108),然后不能观察的次要故障被再次活化(1109),所以他们可被一未来的测试型样所标靶。 
图12示出了一示范性的制图技术1200(例如图12的步骤1208),其将XTOL-控制位制图成XTOL PRPG种子(即执行图11的步骤1108)。为了开始制图技术1200,将可变的开始_位移初始化为0,且决定可变的限制为该PRPG长度减掉一个边缘,让制图成一种子是可能的。 
要计算一窗口的初始位移,首先需考虑一新的XTOL种子是否可以供应足够的位,以保持用于在前所有完全可观察性周期的XTOL影子(需要保持每一位移一位)(1202)。如果留下的位不足,立即把XTOL赋能关掉是有利的(例如使用一假的种子)(1203)。除非已包含最后的位移(1204),随后可处理位移的一新窗口(1206)。 
计算位移的一最大窗口,开始_位移至结束_位移,使得在该窗口中XTOL-控制位的总数不超过预计算的限制。解答一线性***以计算一种子(1207)。如果在该窗口中的所有关注位可被制图成一单一种子(即该线性***具有一解答)(1208),则储存该种子(1209),且该种子将被负载至在开始_位移周期的该XTOL PRPG中,并经由结束_位移周期而产生所有的关注位。除非已包含最后的位移(1210),可相似地处理位移的一新窗口(1202)。如果刚好包含该最后的位移,则该程序已完成(1206)。 
如果不是在该窗口中的所有XTOL-控制位可被制图成一单一种子(1208),该窗口线性地减低(结束_位移--),且尝试将所造成的关注位制图成一种子(1207)。注意因为XTOL控制位的数量远小于XTOL PRPG的长度,没有需要的位曾被丢弃,因为制图一单一位移事实上是永远可行的。 
表1(如下)示出了一设计的一测试型样的一范例以及XTOL控制的一有效率使用,该设计具有内部链长100。在前20个位移周期(位移周期0...19)中没有X,所以XTOL赋能是设定为关掉,其具有初始关注PRPG种子的负载,且选择完全可观察性模式(FO)。在这些周期中有100%的可观察性(假设没有X链)。周期20具有1个X。因此,周期20之前是一XTOL PRPG负载以及将XTOL赋能设定成开启(该种子与先前周期中的该内部位移重复负载)。使用衍生于该XTOL PRPG的8位而选择一15/16模式。周期21至29再次为无X的;然而,现在XTOL赋能是开启的,所以在周期21中,使用该XTOL PRPG的3位选择该完全可观察性模式。此后,在周期22至 29,每位移只使用1位以保持该XTOL影子的值。周期30具有5个X。在此案例中,从该XTOL PRPG使用8位以选择一1/4模式,其也被选择用以符合下一个周期的需要。具体而言,周期31至39每位移具有3至7个X之间,且使用相同的1/4模式。注意每位只有使用1位以保持该XTOL影子的值。最后的60周期没有X。因此,为了完全可观察性,负载另一个种子并将XTOL赋能设定为关掉的。特别地,在此范例中,只有使用36个XTOL位以阻断11个周期中的共50X,并达到92%的一平均可观察性。 
表1:XTOL范例 
图13显示了一示范性数位ASIC设计流程的一简化呈现,该数位ASIC设计包含了上述的完全X-耐性、非常高扫描压缩。在一高层级,该程序以该产品概念开始(步骤1300),并在一EDA软件设计程序中实施(步骤1310)。当该设完成时,其可被下线(taped-out)(事件1340)。在下线之后,该制造程序(步骤1350)及包装与组装程序(步骤1360)发生,最后导致完成的芯片(结果1370)。 
该EDA软件设计程序(步骤1310)实际上是由数个步骤1312-1330所组成,为了简化以线性方式呈现。在一实际的ASIC设计程序中,该特别的 设计可能必须回溯经历步骤,直到某些测试通过为止。相似地,在任何实际设计程序中,这些步骤可能以不同的顺序及组合发生。因此此描述是以上下文及一般的解释来提供,而非针对一特别的ASIC而作为一特定或建议的设计流程。 
将提供该EDA软件设计流程(步骤1310)的元件步骤的一简短描述: 
***设计(步骤1312):该设计者描述他们想要实施的功能性,他们可以执行假若(what-if)计划以提升功能性、核对成本,等等。硬体-软件结构划分可发生在此阶段。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Model Architect、Saber、System Studio以及 
Figure DEST_PATH_GSB00000231714800251
产品。 
逻辑设计以及功能确认(步骤1314):在此阶段,写入该***中模组的该VHDL或Verilog码,并核对该设计的功能准确度。更具体而言,核对该设计以确保其产生正确的输出。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含VCS、VERA、 
Figure DEST_PATH_GSB00000231714800252
Magellan、Formality、ESP以及LEDA产品。 
合成及测试的设计(步骤1316):这里,该VHDL/Verilog被转译为一网路表(netlist)。该网路表可被最佳化以用于该标靶技术。此外,发生了用以准许最终芯片核对的测试的设计及完成。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Design 
Figure DEST_PATH_GSB00000231714800253
PowerCompiler、Tetramax、 
Figure DEST_PATH_GSB00000231714800254
以及DFT MAX产品。在一具体实施例中,上述的完全X-耐性、非常高扫描压缩技术可在步骤1416期间使用。 
网路表确认(步骤1318):在此步骤,核对该网路表以顺从时机限制,以及以符合该VHDL/Verilog来源码。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Formality、PrimeTime以及VCS产品。 
设计计划(步骤1320):这里,建构并分析该芯片的一全面的场地布置图以用以时机及顶层的路由安排。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Astro以及IC Compiler产品。 
实体的完成(步骤1322):该布置(电路元件的定位)以及路由安排 (相同物件的连接)在此步骤中发生。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Astro以及IC Compiler产品。 
分析及萃取(步骤1324):在此步骤,在一晶体管层级确认该电路功能,此依次准许了假若的提升(what-if refinement)。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含AstroRail、PrimeRail、Primetime以及Star RC/XT产品。 
实体的确认(步骤1326):在此步骤,执行不同的核对功能以确保制造、电问题、平版印刷问题以及电路***的正确性。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含该Hercules产品。 
分辨率的增强(步骤1328):此步骤牵涉该布局的几何学操控,以提升该设计的可制造性。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含Proteus、ProteusAF以及PSMGen产品。 
掩模数据准备(步骤1330):此步骤提供用于平版印刷用途的掩模产生的「下线」数据,以产生最终的芯片。在此步骤可使用的来自Synopsys有限公司的示范性EDA软件产品包含该CATS(R)家族的产品。 
虽然此处以参照伴随着图式细节地描述了本实用新型的示出性具体实施例,可了解的是,本实用新型并不被那些精确的具体实施例所限制。他们并非详尽无疑的或用以限制所揭露之本实用新型的精确形式。就其本身而论,许多修饰及改变将是明显的。 
例如,上述所描述的芯片内压缩元件可针对每个设计而个别地最佳化,例如,基于扫描输入、输出、内部链以及可选择地,X链。逻辑地,较小的设计可使用较小的PRPG及MISR(例如32位),而大的设计应使用较大的PRPG及MISR(例如64或甚至100+位)或甚至多重压缩器/解压缩器结构,以减轻路由安排。该PRPG及MISR长度可微调以平衡测试器周期的数量以负载及卸载数据。例如,具有6扫描输入、12扫描输出以及1024条链的一设计可被配置具有65-位PRPG,使得该PRPG影子长度是66且可被6除尽,以使用该11周期的每个位以负载。该相应的MISR可为60位长以被12除尽(输出数)。 
注意与配置无关的,在测试应用期间的操作描述于图5的该状态图中。进一步地注意,负载种子以及卸载该MISR可能以与该内部位移不同的时钟频率进行。在此案例中,用于该测试***的硬体不需要改变;只有使用多少周期「影子模式」的阈值需要调整。扫描输入及输出的数量可低至1;甚至一单一双向位可用于「测试器模式」中以先卸载该MISR,然后再负载一种子。特别地,数据量独立于扫描输入及输出的数量。 
在2005年9月27日所发出且标题为「在一逻辑BIST结构中的决定性型样的有效率压缩及应用」的美国专利号6,950,974中,以及在2007年6月26日所发出且标题为「不确定扫描链输出的决定性BIST结构耐性」的美国专利号7,237,162中描述了PRPG影子寄存器,上述两个专利于此并入以作为参考。其他对于该影子寄存器的配置,可用于其他的具体实施例。 
因此,本实用新型的范围将由下述权利要求及其均等物所定义。 

Claims (7)

1.一种用于测试一设计的扫描测试***,该设计于一集成电路IC中实施,所述设计包含多个扫描链,其特征在于,所述***包含:
一伪随机型样产生器PRPG影子寄存器,用以接收种子;
一关注PRPG,用以接收来自所述PRPG影子寄存器的一种子;
一关注影子寄存器,用以接收所述关注PRPG的输出;
一关注移相器,用以接收所述关注影子寄存器的输出,并将通道之间的线性依赖性最小化,以及产生用于所述多个扫描链的扫描位;
一X-耐性XTOL PRPG,用以接收来自所述PRPG影子寄存器的另一种子;
一XTOL移相器,用以接收所述XTOL PRPG的输出,以及最小化通道之间的线性依赖性;
一XTOL影子寄存器,用以接收所述XTOL移相器的输出;以及
一卸载区块,用以接收来自所述多个扫描链以及所述XTOL影子寄存器的扫描输出,提供一每一位移的X-控制,以及产生用以分析所述设计的测试输出。
2.如权利要求1所述的扫描测试***,其特征在于:
所述XTOL PRPG与该XTOL影子寄存器连接,且所述XTOL PRPG产生一保持信号,所述保持信号使所述XTOL影子寄存器处于一保持模式。
3.如权利要求1所述的扫描测试***,其特征在于:
所述卸载区块包含:
一XTOL选择器,用以接收所述扫描输出;
一X-解码器,用以使用所述XTOL影子的XTOL控制输出,以控制所述XTOL选择器;
一压缩器,用以接收所述XTOL选择器的输出;以及
一多输入移位寄存器MISR,用以接收所述压缩器的输出,以及产生所 述测试输出。
4.如权利要求3所述的扫描测试***,其特征在于:
所述XTOL选择器以及所述X-解码器被连接以提供一完全可观察性模式、一不可观察性模式、一单链模式以及一多重可观察性模式中的一种。
5.如权利要求3所述的扫描测试***,其特征在于:
所述X-解码器包含一解码区块,所述解码区块具有连接至每个该多个扫描链的输出。
6.如权利要求5所述的扫描测试***,其特征在于:
用于一特定扫描链的该X-解码器接收一群组,所述特定扫描链是所述群组中的一员。
7.如权利要求5所述的扫描测试***,其特征在于:
所述卸载区块进一步由一XTOL赋能位所控制,所述XTOL赋能位是由所述PRPG影子寄存器所产生。 
CN2009202185577U 2008-10-21 2009-10-10 用于测试于集成电路中实施的设计的扫描测试*** Expired - Lifetime CN201740851U (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10723908P 2008-10-21 2008-10-21
US61/107,239 2008-10-21
US12/363,520 2009-01-30
US12/363,520 US7979763B2 (en) 2008-10-21 2009-01-30 Fully X-tolerant, very high scan compression scan test systems and techniques

Publications (1)

Publication Number Publication Date
CN201740851U true CN201740851U (zh) 2011-02-09

Family

ID=42109585

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009800002644A Expired - Fee Related CN101821641B (zh) 2008-10-21 2009-09-01 扫描测试***
CN2009202185577U Expired - Lifetime CN201740851U (zh) 2008-10-21 2009-10-10 用于测试于集成电路中实施的设计的扫描测试***

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009800002644A Expired - Fee Related CN101821641B (zh) 2008-10-21 2009-09-01 扫描测试***

Country Status (8)

Country Link
US (3) US7979763B2 (zh)
EP (1) EP2201395B1 (zh)
JP (2) JP2010539518A (zh)
KR (1) KR101643776B1 (zh)
CN (2) CN101821641B (zh)
HK (1) HK1140821A1 (zh)
TW (1) TWI438456B (zh)
WO (1) WO2010047883A2 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8065651B2 (en) * 2009-01-29 2011-11-22 Synopsys, Inc. Implementing hierarchical design-for-test logic for modular circuit design
US7930607B2 (en) * 2009-02-10 2011-04-19 Ozgur Sinanoglu Circuit for boosting encoding capabilities of test stimulus decompressors
US8856601B2 (en) * 2009-08-25 2014-10-07 Texas Instruments Incorporated Scan compression architecture with bypassable scan chains for low test mode power
US8732629B2 (en) 2009-10-30 2014-05-20 Synopsys, Inc. Method and system for lithography hotspot correction of a post-route layout
US8429473B2 (en) * 2010-03-16 2013-04-23 Synopsys, Inc. Increasing PRPG-based compression by delayed justification
WO2011144331A1 (en) * 2010-05-19 2011-11-24 Universität Potsdam High performance compaction for test responses with many unknowns
US10345369B2 (en) 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9157961B2 (en) 2013-03-15 2015-10-13 Synopsys, Inc Two-level compression through selective reseeding
US9171123B2 (en) 2013-08-30 2015-10-27 Synopsys, Inc. Diagnosis and debug using truncated simulation
US9448282B1 (en) * 2014-02-12 2016-09-20 Cadence Design Systems, Inc. System and method for bit-wise selective masking of scan vectors for X-value tolerant built-in self test
US9268892B1 (en) * 2014-12-19 2016-02-23 International Business Machines Corporation Identification of unknown sources for logic built-in self test in verification
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US9666302B1 (en) * 2015-12-28 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for memory scan design-for-test
TWI612317B (zh) * 2016-11-01 2018-01-21 國立成功大學 一種測試資料之解壓縮器及其測試方法
US10247780B2 (en) * 2017-08-02 2019-04-02 Texas Instruments Incorporated Re-programmable self-test
US11681843B2 (en) * 2018-01-17 2023-06-20 Siemens Industry Software Inc. Input data compression for machine learning-based chain diagnosis
WO2020043014A1 (en) * 2018-08-28 2020-03-05 Changxin Memory Technologies, Inc. Boundary test circuit, memory and boundary test method
CN109188246B (zh) * 2018-09-06 2020-09-08 长沙理工大学 一种安全的加密芯片可测试性设计结构
CN110096430B (zh) * 2019-03-16 2024-06-28 平安证券股份有限公司 第三方sdk准入测试方法、装置、终端及存储介质
US11422186B1 (en) * 2019-06-20 2022-08-23 Synopsys, Inc. Per-shift X-tolerant logic built-in self-test
US11378934B2 (en) * 2019-09-09 2022-07-05 Baker Hughes Oilfield Operations Llc Shadow function for protection monitoring systems
TWI707150B (zh) 2019-09-27 2020-10-11 瑞昱半導體股份有限公司 晶片及其測試方法
CN112731121A (zh) * 2019-10-14 2021-04-30 瑞昱半导体股份有限公司 芯片及其测试方法
KR102630258B1 (ko) 2021-10-26 2024-01-25 연세대학교 산학협력단 로직 비스트 캡쳐 전력 감소 회로 및 방법
TWI789198B (zh) * 2022-01-04 2023-01-01 瑞昱半導體股份有限公司 掃描鏈設計與電路測試方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6389562B1 (en) * 1999-06-29 2002-05-14 Sony Corporation Source code shuffling to provide for robust error recovery
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
JP3937034B2 (ja) * 2000-12-13 2007-06-27 株式会社日立製作所 半導体集積回路のテスト方法及びテストパターン発生回路
US6950974B1 (en) 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US6807646B1 (en) * 2002-03-04 2004-10-19 Synopsys, Inc. System and method for time slicing deterministic patterns for reseeding in logic built-in self-test
JP3877635B2 (ja) * 2002-04-24 2007-02-07 松下電器産業株式会社 入力パターン供給器と半導体集積回路の検査方法
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
WO2005031378A1 (en) * 2003-09-26 2005-04-07 Koninklijke Philips Electronics N.V. Method and system for selectively masking test responses
US7231570B2 (en) * 2004-05-26 2007-06-12 Syntest Technologies, Inc. Method and apparatus for multi-level scan compression
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7610527B2 (en) * 2005-03-16 2009-10-27 Nec Laboratories America, Inc. Test output compaction with improved blocking of unknown values
US7376875B2 (en) * 2005-07-14 2008-05-20 International Business Machines Corporation Method of improving logical built-in self test (LBIST) AC fault isolations
CN102129031B (zh) 2006-02-17 2015-03-11 明导公司 多级测试响应压缩器
JP5034576B2 (ja) * 2006-05-02 2012-09-26 富士通株式会社 半導体集積回路、テストデータ生成装置およびlsi試験装置
CN101663648B (zh) * 2007-02-12 2012-10-03 明导公司 低功耗扫描测试技术及装置
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors

Also Published As

Publication number Publication date
CN101821641B (zh) 2013-09-04
JP2012230131A (ja) 2012-11-22
US20130268817A1 (en) 2013-10-10
KR20110070649A (ko) 2011-06-24
EP2201395A4 (en) 2013-03-27
HK1140821A1 (zh) 2010-10-22
EP2201395A2 (en) 2010-06-30
CN101821641A (zh) 2010-09-01
KR101643776B1 (ko) 2016-07-28
US20110258503A1 (en) 2011-10-20
EP2201395B1 (en) 2014-10-29
US7979763B2 (en) 2011-07-12
WO2010047883A3 (en) 2010-06-17
WO2010047883A2 (en) 2010-04-29
US20100100781A1 (en) 2010-04-22
TW201017193A (en) 2010-05-01
TWI438456B (zh) 2014-05-21
JP5591886B2 (ja) 2014-09-17
US8645780B2 (en) 2014-02-04
JP2010539518A (ja) 2010-12-16
US8464115B2 (en) 2013-06-11

Similar Documents

Publication Publication Date Title
CN201740851U (zh) 用于测试于集成电路中实施的设计的扫描测试***
CN101815951B (zh) 用于可配置扫描架构的测试设计优化器
US7032148B2 (en) Mask network design for scan-based integrated circuits
US7958417B2 (en) Apparatus and method for isolating portions of a scan path of a system-on-chip
US20140359386A1 (en) Scan or jtag controllable capture clock generation
US8185782B2 (en) Test device and method for hierarchical test architecture
US20140101501A1 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
CN103018661A (zh) 扫描测试***
US9157961B2 (en) Two-level compression through selective reseeding
CN201837703U (zh) 用于为集成电路设计形成基于扫描的测试设计的***
Erb et al. Accurate multi-cycle ATPG in presence of X-values
Jiang et al. One more time! Increasing fault detection with scan shift capture
US11422186B1 (en) Per-shift X-tolerant logic built-in self-test
Wang et al. Access-in-turn test architecture for low-power test application
US20080288823A1 (en) JTAG Interface
Novák et al. Logic testing with test-per-clock pattern loading and improved diagnostic abilities
Coyle et al. Dual Use Circuitry for Early Failure Warning and Test
Larsson et al. A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing
Omana et al. Document Version
Farooqi MARKOV source based test length optimized scan built-in-self-test architecture
Schneider CUDA-accelerated delay fault simulation
Kinsman et al. Embedded deterministic test exploiting care bit clustering and seed borrowing
Petersén IEEE P1500, Boundary Scan for SoCs
Ausoori Information theoretic and spectral methods of test point, partial-scan and full-scan flip-flop insertion to improve integrated circuit testability
SenGupta et al. Test Planning for 3D Stacked ICs with Through-Silicon Vias

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20110209

CX01 Expiry of patent term