TWI707150B - 晶片及其測試方法 - Google Patents

晶片及其測試方法 Download PDF

Info

Publication number
TWI707150B
TWI707150B TW108135309A TW108135309A TWI707150B TW I707150 B TWI707150 B TW I707150B TW 108135309 A TW108135309 A TW 108135309A TW 108135309 A TW108135309 A TW 108135309A TW I707150 B TWI707150 B TW I707150B
Authority
TW
Taiwan
Prior art keywords
scan
circuit
data
chip
scan chains
Prior art date
Application number
TW108135309A
Other languages
English (en)
Other versions
TW202113386A (zh
Inventor
永昇平
薛培英
郭俊儀
Original Assignee
瑞昱半導體股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑞昱半導體股份有限公司 filed Critical 瑞昱半導體股份有限公司
Priority to TW108135309A priority Critical patent/TWI707150B/zh
Priority to US17/028,021 priority patent/US11287472B2/en
Application granted granted Critical
Publication of TWI707150B publication Critical patent/TWI707150B/zh
Publication of TW202113386A publication Critical patent/TW202113386A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31712Input or output aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • G01R31/318563Multiple simultaneous testing of subparts
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318566Comparators; Diagnosing the device under test

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

一種晶片測試方法包含以下步驟:由編碼電路輸出多個測試序列至多個掃描鏈;由多個掃描鏈依據多個測試序列產生多個掃描輸出資料;以及由解碼電路依據多個掃描輸出資料判斷多個掃描鏈是否存在錯誤。

Description

晶片及其測試方法
本案是有關於一種晶片及其測試方法,且特別是有關於掃描鏈測試的晶片及其測試方法。
習知的晶片測試方式是由自動測試機台輸入控制訊號將晶片切換至掃描模式(scan mode)後,使用擁有高錯誤覆蓋率的掃描測試序列透過掃描鏈(scan chain)進行測試及診斷,藉此找出晶片中錯誤失效的位置供工程師分析。但這樣的測試流程需要昂貴的自動測試機台才能進行測試,且無法得知積體電路晶片測試失敗是因為晶片內的數位邏輯有缺陷,或是無法成功進入掃描模式進行測試所致。
本案之一態樣是在提供一種晶片測試方法。此晶片測試方法包含以下步驟:由編碼電路輸出多個測試序列至多個掃描鏈;由多個掃描鏈依據多個測試序列產生多個掃描輸出資料;以及由解碼電路依據多個掃描輸出資料判斷多個掃描鏈是否存在錯誤。
本案之另一態樣是在提供一種晶片。此晶片包含多個掃描鏈、編碼電路以及解碼電路。編碼電路用以輸出多個測試序列至多個掃描鏈。解碼電路用以由多個掃描鏈接收多個掃描輸出資料,並依據多個掃描輸出資料判斷多個掃描鏈是否存在錯誤,其中多個掃描輸出資料係由多個掃描鏈依據多個測試序列所產生。
100‧‧‧晶片
190‧‧‧模式切換電路
130A至130E‧‧‧掃描鏈
132A至132C‧‧‧功能邏輯電路
110、110A、110B‧‧‧編碼電路
150、150A、150B‧‧‧解碼電路
152、152A、152B‧‧‧補位電路
154、154A、154B‧‧‧子解碼電路
159A至159E‧‧‧子補位電路
900‧‧‧微處理器
SC‧‧‧控制訊號
170、172A至172E‧‧‧多工器
ER‧‧‧判斷資料
SE‧‧‧致能訊號
SI1至SI5‧‧‧掃描輸入資料
FI1至FI4‧‧‧接收功能輸入資料
SO1至SO5‧‧‧掃描輸出資料
FO1至FO3‧‧‧功能輸出資料
Din1至Din4‧‧‧輸入位元
Do1至Do4‧‧‧輸出位元
Check_bit1至Check_bit3‧‧‧檢查位元
Error‧‧‧輸出位元
200‧‧‧晶片測試方法
S210至S230‧‧‧步驟
為讓本發明之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附圖式之說明如下:第1圖係根據本案之一些實施例所繪示之一種晶片的示意圖;第2圖係根據本案之一些實施例所繪示之一種測試方法的流程圖;第3圖係根據本案之一些實施例所繪示之一種編碼電路的示意圖;第4圖係根據本案之一些實施例所繪示之另一種編碼電路的示意圖;第5圖係根據本案之一些實施例所繪示之一種解碼電路的示意圖;第6圖係根據本案之一些實施例所繪示之一種解碼電路的示意圖;以及第7圖係根據本案之一些實施例所繪示之另一種解碼電路的示意圖。
以下揭示提供許多不同實施例或例證用以實施本發明的不同特徵。特殊例證中的元件及配置在以下討論中被用來簡化本案。所討論的任何例證只用來作解說的用途,並不會以任何方式限制本發明或其例證之範圍和意義。
第1圖係根據本案之一些實施例所繪示之一種晶片100的示意圖。晶片100包含複數個掃描鏈130A至130C、編碼電路110以及解碼電路150。於連接關係上,複數個掃描鏈130A至130C耦接於編碼電路110以及解碼電路150。晶片100耦接於一微處理器900,以依據微處理器900所傳送的訊息切換晶片100操作於功能模式或掃描鏈測試模式。第1圖所繪示之晶片100僅作為例示,但本案並不以此為限。
於部分實施例中,晶片100更包含模式切換電路190、多個多工器172A至172C、多工器170。模式切換電路190用以依據微處理器900所傳送的訊息以傳送控制訊號SC至多工器170、及多工器172A至172C。於連接關係上,多工器172A至172C的控制端耦接於模式切換電路190,多工器172A至172C的其中一個輸入端用以接收掃描輸入資料SI1至SI3,多工器172A至172C的另一個輸入端耦接於編碼電路110,而多工器172A至172C的輸出端耦接於掃描鏈130A至130C。此外,多工器170的控制端耦接於模式切換電路190,多工器170的其中一個輸入端用以接收 致能訊號SE,而多工器170的輸出端耦接於掃描鏈130A至130C。
如第1圖所繪示,晶片100包含多個功能邏輯電路132A至132C。功能邏輯電路132A至132C分別與掃描鏈130A至130C中之一者相對應。功能邏輯電路132A至132C的一端用以接收功能輸入資料FI1至FI4,功能邏輯電路132A至132C的另一端用以送出功能輸出資料FO1至FO3。
關於本發明的的實施方式的細節於以下參閱第2圖揭示,其中第2圖係適用於第1圖中的晶片100的測試方法200的流程圖。第2圖為根據本發明一些實施例所繪示的測試方法200的流程圖。然而,本發明的實施方式不以此為限制。測試方法200包含步驟S210至S230。為使本實施例之晶片測試方法200易於理解,請一併參閱第1圖。
步驟S210:由編碼電路輸出多個測試序列至多個掃描鏈。請一併參閱第1圖。於部分實施例中,編碼電路110輸出多個測試序列至多個掃描鏈。詳細而言,於部分實施例中,編碼電路110接收多個掃描輸入資料SI1至SI3,並依據多個掃描輸入資料SI1至SI3以及至少一檢查資料以產生多個測試序列。
關於步驟S210的其中一種實施方式,請一併參閱第3圖。第3圖係根據本案之一些實施例所繪示之一種編碼電路110A的示意圖。編碼電路110A係為奇偶校驗編碼電路,包含輸入位元Din1至Din4以及輸出位元Do1至Do4和檢查位元Check_bit1。如第3圖所繪式,編碼電路110A接 收掃描輸入資料SI1至SI4以組成輸入位元Din1至Din4,並依據掃描輸入資料SI1至SI4以及檢查資料以產生測試序列,且測試序列包含輸出位元Do1至Do4和檢查位元Check_bit1。編碼電路110A並將輸出位元Do1至Do4和檢查位元Check_bit1傳送至掃描鏈130A至130E。
關於步驟S210的另一種實施方式,請一併參閱第4圖。第4圖係根據本案之一些實施例所繪示之另一種編碼電路110B的示意圖。編碼電路110B係為漢明碼編碼電路,包含輸入位元Din1至Din2以及輸出位元Do1至Do2和檢查位元Check_bit1至Check_bit3。如第4圖所繪式,編碼電路110B接收掃描輸入資料SI1至SI2以組成輸入位元Din1至Din2,並依據掃描輸入資料SI1至SI2以及檢查資料以產生測試序列,且測試序列包含輸出位元Do1至Do2和檢查位元Check_bit1至Check_bit3。編碼電路110B並將輸出位元Do1至Do2和檢查位元Check_bit1至Check_bit3傳送至掃描鏈130A至130E。
於部分實施例中,於步驟S210中,編碼電路110先將測試序列傳送至多工器172A至172E,再由多工器172A至172E於掃描鏈測試模式時傳送測試序列至掃描鏈130A至130E。於部分實施例中,多工器172A至172E係依據模式切換電路190所傳送的控制訊號SC以切換至掃描鏈測試模式。
步驟S220:由多個掃描鏈依據多個測試序列產生多個掃描輸出資料。請一併參閱第1圖,掃描鏈130A至 130C於接收測試序列後,產生掃描輸出資料SO1至SO3,並傳送掃描輸出資料SO1至SO3到解碼電路150。於部分實施例中,於步驟S220中,多工器170依據模式切換電路190所傳送的控制訊號SC以輸出致能訊號SE至掃描鏈130A至130C,以使掃描鏈130A至130C操作於掃描鏈測試模式。
步驟S230:由解碼電路依據多個掃描輸出資料判斷多個掃描鏈是否存在錯誤。請一併參閱第1圖,解碼電路150依據多個掃描輸出資料SO1至SO3以判斷掃描鏈130A至130C中是否存在錯誤。
請一併參閱第5圖。第5圖係根據本案之一些實施例所繪示之一種解碼電路的示意圖。於部分實施例中,解碼電路150包含補位電路152以及子解碼電路154。於步驟S230中,掃描鏈130A至130E傳送掃描輸出資料SO1至SO5到補位電路152。補位電路152對掃描輸出資料SO1至SO5進行補位處理以產生長度相同的補位後資料,並傳送補位後資料至子解碼電路154。
詳細而言,當掃描鏈130A至130E的長度不相同時,掃描鏈130A至130E所產生的掃描輸出資料SO1至SO5的長度不相同。若是掃描輸出資料SO1至SO5中的最大長度係為Lmax,補位電路152對掃描輸出資料SO1至SO5進行補位處理以使掃描輸出資料SO1至SO5的長度均為最大長度Lmax。其中,補位電路152係透過整合不同長度之移位暫存器(shift register),以達到掃描鏈補位功能。例如,本實施例中掃描鏈130A至130E長度分別為L1、L2、L3、 L4及L5,且定義掃描鏈130A至130E的最大長度為Lmax。為了使掃描鏈輸出資料SO及檢查位元Check_bit能在同一個時脈到達子解碼電路154,補位電路152分別在對應的掃描鏈輸出端加上(Lmax-L1)、(Lmax-L2)、(Lmax-L3)、(Lmax-L4)及(Lmax-L5)長度的移位暫存器,藉此達到掃描鏈補位功能。於進行補位處理後,補位電路152產生補位後資料,並將補位後資料傳送至子解碼電路154。於部分實施例中,子解碼電路154依據補位後資料產生判斷資料ER。判斷資料ER用以指示掃描鏈130A至130E是否存在錯誤。
於部分實施例中,如第5圖所繪式,補位電路152包含子補位電路159A至159E。子補位電路159A至159E分別與掃描鏈130A至130E中的其中一者耦接,用以對掃描鏈130A至130E中的其中一者所傳送的輸出資料進行補位處理。
關於步驟S230的其中一種實施方式,請一併參閱第6圖。第6圖係根據本案之一些實施例所繪示之一種解碼電路150A的示意圖。子解碼電路154A係為奇偶校驗解碼電路,包含輸入位元Din1至Din4以及檢查位元Check_bit1。子解碼電路154A依據輸入位元Din1至Din4以及檢查位元Check_bit1以判斷掃描鏈130A至130E是否存在錯誤,並將判斷結果由輸出位元Error輸出。
關於步驟S230的另一種實施方式,請一併參閱第7圖。第7圖係根據本案之一些實施例所繪示之另一種解碼電路150B的示意圖。子解碼電路154B係為漢明碼解碼電 路,包含輸入位元Din1至Din2以及檢查位元Check_bit1至Check_bit3。子解碼電路154B依據輸入位元Din1至Din2以及檢查位元Check_bit1至Check_bit3以判斷掃描鏈130A至130E是否存在錯誤,並將判斷結果由輸出位元Error輸出。
於部分實施例中,解碼電路150與編碼電路110相對應。也就是說,當編碼電路110係為奇偶校驗編碼電路時,解碼電路150即為奇偶校驗解碼電路。而當編碼電路110係為漢明碼編碼電路時,解碼電路150即為漢明碼解碼電路。此外,上述解碼電路與編碼電路僅作為例示說明之用,其他類型的解碼電路與編碼電路,例如低密度奇偶校驗碼(Low-Density Parity-Check,LDPC Code)、BCH更正碼、循環冗餘檢查碼等編碼與解碼技術亦在本實施之範圍之內。
此外,如本案圖式所繪式之掃描鏈130A至130E的長度、掃描鏈130A至130E的數量、多工器172A至172E的數量等均僅作為例示說明之用,本案之實施方式不以此為限制。
由上述本案之實施方式可知,本案之實施例藉由提供一種晶片及其測試方法,透過整合掃描鏈偵錯電路於積體電路晶片裡,即將編碼電路與解碼電路整合於晶片中,工程師可直接在晶片端進行掃描鏈的測試,藉此加速快速釐清測試序列失效是否為無法進入掃描模式所致,並降低自動測試機台除錯所增加的測試成本。
另外,上述例示包含依序的示範步驟,但該些步驟不必依所顯示的順序被執行。以不同順序執行該些步驟皆在本揭示內容的考量範圍內。在本揭示內容之實施例的精神與範圍內,可視情況增加、取代、變更順序及/或省略該些步驟。
雖然本案已以實施方式揭示如上,然其並非用以限定本案,任何熟習此技藝者,在不脫離本案之精神和範圍內,當可作各種之更動與潤飾,因此本案之保護範圍當視後附之申請專利範圍所界定者為準。
200‧‧‧測試方法
S210至S230‧‧‧步驟

Claims (9)

  1. 一種晶片測試方法,包含:由一編碼電路輸出複數個測試序列至複數個掃描鏈;由該些掃描鏈依據該些測試序列產生複數個掃描輸出資料;以及由一解碼電路依據該些掃描輸出資料判斷該些掃描鏈是否存在錯誤,其中該編碼電路更用以接收複數個掃描輸入資料,並依據該些掃描輸入資料以及至少一檢查資料以產生該些測試序列。
  2. 如請求項第1項所述之晶片測試方法,包含:由複數個多工器於一掃描鏈測試模式時傳送該些測試序列至該些掃描鏈;以及由一模式切換電路輸出一控制訊號至該些多工器,以切換該些多工器至該掃描鏈測試模式。
  3. 如請求項第1項所述之晶片測試方法,其中該些掃描輸出資料包含一最大長度,其中該晶片測試方法更包含:由一補位電路對該些掃描輸出資料進行補位處理,以產生長度相同的複數個補位後資料;以及由該補位電路進行補位處理以使該些掃描輸出資料的 長度相等於該最大長度。
  4. 一種晶片,包含:複數個掃描鏈;一編碼電路,用以輸出複數個測試序列至該些掃描鏈;以及一解碼電路,用以由該些掃描鏈接收複數個掃描輸出資料,並依據該些掃描輸出資料判斷該些掃描鏈是否存在錯誤,其中該些掃描輸出資料係由該些掃描鏈依據該些測試序列所產生,其中該編碼電路更用以接收複數個掃描輸入資料,並依據該些掃描輸入資料以及至少一檢查資料以產生該些測試序列。
  5. 如請求項第4項所述之晶片,更包含:複數個多工器,耦接於編碼電路以及該些掃描鏈,用以依據一控制訊號以切換至一掃描鏈測試模式,並於切換至該掃描鏈測試模式時傳送該些測試序列至該些掃描鏈;以及一模式切換電路,用以輸出該控制訊號至該些多工器。
  6. 如請求項第4項所述之晶片,更包含:一多工器,用以依據一控制訊號輸出一致能訊號至該些掃描鏈,以使該些掃描鏈操作於一掃描鏈測試模式;以及一模式切換電路,用以輸出該控制訊號至該多工器。
  7. 如請求項第4項所述之晶片,其中該解碼電路包含:一補位電路,用以對該些掃描輸出資料進行補位處理,以產生長度相同的複數個補位後資料。
  8. 如請求項第7項所述之晶片,其中該解碼電路更用以依據該些補位後資料產生一判斷資料,其中該判斷資料用以指示該些掃描鏈是否存在錯誤。
  9. 如請求項第7項所述之晶片,其中該些掃描輸出資料包含一最大長度,其中該補位電路更用以使該些掃描輸出資料的長度相等於該最大長度。
TW108135309A 2019-09-27 2019-09-27 晶片及其測試方法 TWI707150B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW108135309A TWI707150B (zh) 2019-09-27 2019-09-27 晶片及其測試方法
US17/028,021 US11287472B2 (en) 2019-09-27 2020-09-22 Chip and testing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108135309A TWI707150B (zh) 2019-09-27 2019-09-27 晶片及其測試方法

Publications (2)

Publication Number Publication Date
TWI707150B true TWI707150B (zh) 2020-10-11
TW202113386A TW202113386A (zh) 2021-04-01

Family

ID=74091723

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135309A TWI707150B (zh) 2019-09-27 2019-09-27 晶片及其測試方法

Country Status (2)

Country Link
US (1) US11287472B2 (zh)
TW (1) TWI707150B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017193A (en) * 2008-10-21 2010-05-01 Synopsys Inc Fully x-tolerant, very high scan compression scan test systems and techniques
TW201131571A (en) * 2009-09-18 2011-09-16 Advanced Risc Mach Ltd Supporting scan functions within memories
TW201742448A (zh) * 2016-05-19 2017-12-01 晨星半導體股份有限公司 條件式存取晶片、其內建自我測試電路及測試方法
TW201905482A (zh) * 2017-06-27 2019-02-01 聯發科技股份有限公司 掃描輸出正反器

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120102876A (ko) * 2011-03-09 2012-09-19 삼성전자주식회사 반도체 장치 및 이를 포함하는 테스트 시스템
CN103576076B (zh) * 2012-07-27 2019-02-01 恩智浦美国有限公司 用于执行扫描测试的***和方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017193A (en) * 2008-10-21 2010-05-01 Synopsys Inc Fully x-tolerant, very high scan compression scan test systems and techniques
TW201131571A (en) * 2009-09-18 2011-09-16 Advanced Risc Mach Ltd Supporting scan functions within memories
TW201742448A (zh) * 2016-05-19 2017-12-01 晨星半導體股份有限公司 條件式存取晶片、其內建自我測試電路及測試方法
TW201905482A (zh) * 2017-06-27 2019-02-01 聯發科技股份有限公司 掃描輸出正反器

Also Published As

Publication number Publication date
TW202113386A (zh) 2021-04-01
US11287472B2 (en) 2022-03-29
US20210096180A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
US5925144A (en) Error correction code circuit that performs built-in self test
US9852806B2 (en) System for generating a test pattern to detect and isolate stuck faults for an interface using transition coding
JPH0328094B2 (zh)
TWI541522B (zh) 待測裝置、測試器及用於測試待測裝置的方法
US6708301B1 (en) Functional block for integrated circuit, semiconductor integrated circuit, inspection method for semiconductor integrated circuit, and designing method therefor
US7447965B2 (en) Offset test pattern apparatus and method
US9151800B2 (en) Chip testing with exclusive OR
TWI707150B (zh) 晶片及其測試方法
CN117054864A (zh) 一种芯片测试***、方法、芯片和介质
US7895489B2 (en) Matrix system and method for debugging scan structure
CN112731121A (zh) 芯片及其测试方法
US8560932B2 (en) Digital system and a method for error detection thereof
US6496030B1 (en) Scan flip-flop providing both scan and propagation delay testing
JP6635602B2 (ja) 故障検出回路
JPS60219700A (ja) 誤り訂正機能内蔵半導体集積回路
US11152042B2 (en) Inversion signal generation circuit
CN110532127B (zh) 一种差错校验位协议转换器
Dang et al. A non-blocking non-degrading multiple defects link testing method for 3D-networks-on-chip
TWI399042B (zh) To detect the wrong position of the detection device
US8362795B2 (en) Semiconductor device capable of verifying reliability
US10031181B1 (en) Integrated circuit package receiving test pattern and corresponding signature pattern
JP2001345699A (ja) A/d変換器の試験回路及びその試験方法
US20230296671A1 (en) Memory tester and test method that uses memory tester
KR101556290B1 (ko) 저속 테스터에 급속 기능 테스트를 적용하기 위한 장치 및 방법
KR0179909B1 (ko) 데이타 검사 구조