TWI310219B - Semiconductor device and fabrication method thereof - Google Patents

Semiconductor device and fabrication method thereof Download PDF

Info

Publication number
TWI310219B
TWI310219B TW094113117A TW94113117A TWI310219B TW I310219 B TWI310219 B TW I310219B TW 094113117 A TW094113117 A TW 094113117A TW 94113117 A TW94113117 A TW 94113117A TW I310219 B TWI310219 B TW I310219B
Authority
TW
Taiwan
Prior art keywords
substrate
damaged layer
dielectric film
etching
film
Prior art date
Application number
TW094113117A
Other languages
English (en)
Other versions
TW200603275A (en
Inventor
Kazuo Hashimi
Hidekazu Sato
Original Assignee
Fujitsu Microelectronics Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Microelectronics Ltd filed Critical Fujitsu Microelectronics Ltd
Publication of TW200603275A publication Critical patent/TW200603275A/zh
Application granted granted Critical
Publication of TWI310219B publication Critical patent/TWI310219B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Drying Of Semiconductors (AREA)

Description

1310219 九、發明說明: 【明戶斤屬财々貝2 發明領域 本發明大致上係關於半導體元件之製造,以及更特別 5 地’係關於在半導體元件之製造過程中,自經摻雜之矽及 導電層之間的接觸區域去除受損矽的技術。 I:先前技術 發明背景 隨著半導體積體電路的微型化,接觸孔的縱橫尺寸比 10增加’但在半導體基板中的雜質擴散區域深度減小。閑板 的線及空間圖案亦變得更窄及微小。在此等情況下,必須 確保充分面積以供矽化物形成。 15 一般而言,接觸孔係藉由使用光阻圖案1004作為光 罩,蝕刻絕緣夾層介電質1〇〇3來形成,如第1A圖所示。 舉例而。,氧化矽(Si〇2)定義之絕緣夾層介電質係 在氣碳化合物氣體供應源下,藉由電1_祕刻,直至 曝露出形成於碎基板眶中的雜質擴散區域麵為止。 一為了在氧化矽層1003上進行蝕刻’電漿中的離子能息 升冋至1 KeV或更高。為了達到此目的,當去除氧化矽: 觸3後’在曝露之雜質擴散區域職上進行過度_,: 於離子衝擊,在雜f擴散區域刚2中產生受損層刚5。受 損層(或受損之石夕)係由電_刻期間,碳離子衝擊所二 結之參碳(叫所造成。受損層咖物 域1〇02之表面為5nm之深度。 ’'放£ 20 1310219 此—文損層亦可在側壁形成的過程中,藉由乾蝕刻來 產生再者,矽損害發生於製造閘極時,甚至是雜質注入 别主要是因為電磁波。在閘極蝕刻期間產生之受損層 。成在後續製程中开)成的LDD區域之寄生電阻增高,且 5將發生非所欲的接面漏電。 ★為了避免雜質擴散區域1〇〇2受損,已提議將電漿中的 離子月b里由1 KeV降低皿5 KeV或更低。在離子能量約〇5 KeV之下,可蝕刻氧化矽,且可減少受損層仍的深度。 然而,若離子能量降低,關於矽基板1〇〇1,氧化矽層 1〇腦3之綱選擇性下降。結果,碎基板1GG1被過度姓刻, 在床度50 nm或更深處,如第π圖所示。在目前的技術狀態 ,其中雜質擴散區域10 〇 2係設計成隨著元件比例尺之微型 化變得更淺,由於蝕刻選擇性降低造成之過度蝕刻1〇〇6為 一嚴重問題。因此,降低離子能量以防止受損層的產生是 15 不切實際的。 因為存在於雜質擴散區域1〇〇2之表面的受損層1〇〇5具 有高電阻,導致增高的接觸電阻,必須去除受損層1〇〇5。 為了去除受損層1005,傳統上應用使用例如CF4或SF6之氟 基團的各向同性钱刻。利用各向同性姓刻,钮刻不足1 〇〇9 20 係產生在接觸孔1020的底部,如第2 A圖之例示說明。若鈦 (Ti)或氮化欽(TiN )阻障金屬層1 〇〇7係形成於接觸孔1 〇2〇 中,接觸孔1020無法完全由阻障金屬層1〇〇7所覆蓋,在钱 刻不足1009處發生不連續,如第2B圖之例示說明。在此狀 態下,當以例如鎢(W)之金屬1〇1〇充填缺陷之阻障金屬 1310219 10〇7所覆盍的接觸孔1020時,金屬與阻障金屬1〇〇7中破裂 處的矽反應。結果,在蝕刻不足1〇〇9處異常地產生金屬矽 化物1008 ’以及接面漏電流增加。 JP 2000-91310A (公開案1)揭露一種去除此一受損層 5之方法,其係藉由利用氫電漿,在氫氣比例為8〇%或更高 ’氣體流的量為50 SCCM或更高,以及壓力為5〇毫托或更 咼的條件下,蝕刻基板來進行。 JP 10-209428A(公開案2)揭露一種增加界於半導體基 板及導電膜之間的晶界面積尺寸的技術,以致能降低接觸 10電阻。利用此技術,ν·型槽或錐形槽(其有倒梯形截面) 係形成於藉由各向異性蝕刻,在接觸孔底部曝露出之基板 的(111)或(100)平面中。藉由在接觸孔的底部形成槽 ,使接觸面積尺寸增加。 JP 2002-289554A (公開案3)揭露以指定的密度,將一 15金屬元素引入儲存電極的技術,此金屬元素之矽化反應活 化月b為1.8 ev或更低’以致能降低漏電流’以及改&DRAM 的充電特生。具有1>8 eV或更低之矽化反應活化能的金屬原 子的例子包括鈦(Ti)、鎳(Ni)、鈷(Co),以及鉑(Pt) 藉由帶正電地將一金屬引入矽基板,該金屬可容易地與
20矽反應以進行矽化反應,產生吸氣位置,以及可降低DRAM 漏電流。 然而,在公開案1 (2000-91310A)中未進行溫度控制 以及因此,基板的表面形狀於去除受損層後,應變為各 向同性。此意指無法防止蝕刻不足之發生,以及無法有效 1310219 地降低接觸電阻。 ; 在公開案2(10_209428A)中提出的方法,僅可應用於 - ㈣足絲之接觸面積的結構。此方料適㈣自具有高_ 縱橫比之接觸孔的底部去除受損層。 5 在公開案3 (2002-289554) +,金屬元素係引入氧化 物酿刻反應室内的基板中。因此,若處理多數晶圓,所 引入之金屬元素之量有可能波動。這是因為所引入的金屬 • &入量’因氧化物膜之姓刻過程期間產生之有機產物的影 響而改變。 10 【考务明内溶L】 發明概要 本發明係被構思來克服習知技術中的上述問題,以及 本發社-目的為提供-種半導體元件的製造方法,其即 使在高縱橫比之接觸孔中,在不造成蝕刻不足之下,可去 15 除受損層。 • 本發明之另一目的為提供一種半導體元件之製造方法 ’其藉由以穩定的方式,將-金屬元素弓丨入石夕基板中可 防止接觸部分之漏電流。 本發明之又一目的為提供一種半導體元件的製造方法 2〇 ,其可去除在閘極形成之後,或側壁蝕刻以降低寄生電阻 或接面漏電之後所產生之受損層。 本發明之另一目的為提供一種半導體元件,其具有增 加之面積,以供低電阻之矽化物形成。 為了達到上述目的’由於乾蝕刻在矽基板中產生的矽 1310219 受損或多晶矽插塞,係藉由原子氫來去除,該原子氫係藉 由在指定溫度條件下之熱分解(熱解(pyrolysis))。藉由在 指定溫度條件下,使用原子氫蝕刻晶圓,受損層可在未造 成非所欲之蝕刻不足之下,以各向異性的形狀來去除。 5 再者,含有具有矽化反應活化能為1.8 eV或更低之金屬 的金屬催化劑,係用於產生熱解氫,以致能利用蒸發作用 自金屬催化劑供應穩定量之金屬至半導體基板。因此,可 降低接面漏電流。 為了更明確,本發明之一方面為一種半導體元件之製 10 造方法,包括下述步驟: (a) 在半導體基板上形成一介電膜; (b) 藉由乾式處理蝕刻該介電膜;以及 (c) 在指定溫度條件下,供應經熱分解之原子氫至該半 導體基板,以去除由於該乾式處理在該半導體基板中所產 15 生的受損層。 原子氫可藉由引入例如將含有氫(例如氫氣)之分子 ,並使該分子與經加熱的催化劑接觸以熱分解該分子來產 生。 在一較佳實施例中,去除該受損層,但是用於固持該 20 半導體基板之承受器的溫度係維持在170°C或更高。 在一較佳實施例中,催化劑包括具有石夕化反應活化能 為1.8 eV或更低的金屬。在此例子中,此方法進一步包括將 該金屬引入該半導體基板的步驟。 利用此設置,指定量之金屬可以穩定的方式引入該半 1310219 導體基板。 « 在本發明之第二方面,一種半導體元件之製造方法, - 包括下述步驟: (a)在一半導體基板上形成一介電膜; 5 (b)在該介電膜上形成一導電層; (c)藉由乾式處理圖案化該導電層,以形成一電極;以 及 # (<3)於指定溫度條件下,使用經熱分解之原子氫,去除 至少=部分該介電膜之後,去除至少—部分由於乾式處理 1〇在半導體基板中產生的受損層。 在本發明之第三方面,一種半導體元件之製造方法, 包括下述步驟: (a)在半導體基板上,經由一介電膜,形成一問極; 0>)藉由乾蝕刻形成該閘極之側壁;以及 15 (C)在指定溫度條件下,藉由經熱分解之原子氫,去除 _ &於該側壁之形成’在該半導體基板巾所產生的受損層。 在上述任—方法中,可在未造成過度蝕刻之下,藉由 在指定溫度條件下,使用經熱分解之原子氫(氫自由基) 處理基板,將該受損層以具有倒梯形截面之錐形的形狀去 2〇 除。 在本發明之第四方面,提供一種具有較低寄生電阻及 接面漏電之半導體元件。此半導體元件包含一半導體板; 開極’其係經由一介電膜設置在該半導體基板上;一側 壁,其覆蓋該閘極之側壁;以及一雜質擴散區域,其係形 1310219 ::辭導體基板中,且在該閘極之 编部係形成具有倒梯形戴面的形狀,二,其中該 :公外側的該雜質擴散區域之表面係形成4, 面的形狀。 ,、有甸梯形戴 5 10 15 藉由將該雜質擴散 倒梯形,在▲ 錢的彡狀設計成 以及可實現具^ 月况下,減該㊉化反應區域, 圖式簡單朗ρ低之接面漏電及電_半㈣元件。 起研,二::ί他目的、特徵及優點,當配合附帶圖式- ㈣時’將變得更清纽明白,其中: 第1A圖及第1卩圍 產生之受損層之'm2說明絲11由接觸減刻所 ^ 白知方法的問題的概要圖; 圖為例示說明當利用習知技術去除受 丨毛之另-問題的概要圖; < —·及第3β圖為例示說明根據本發明之第-具體 例之受損層去除的概要圖; 去r第4圖展為例不祝明具有根據本發明第—具體例之用於 :貝層之經加熱之催化劑的晶圓處理裝置的概要圖; 」逮率為承受器溫度之函數的圖,顯示對結 晶平面位向的依賴性; =圖及第6B®|分別為例示說明在去除受損層之後 ,紐有經摻雜之⑪及金屬的接觸孔之概要圖; f ®為7C件之錯誤位元為根據本發明之第二具體例 入半導體基板之_度的函數之圖; 20 1310219 第8A圖至第8G圖為例示說明一半導體元件製造方法 ,包括根據本發明一具體例的受損層去除步驟; 第9A圖及第9B圖為例示說明受損層之去除的其他應 用; 5 第10A圖至第101圖為例示說明根據本發明之第三具體 例的半導體元件製造方法; 第11A圖及第11B圖為例示說明本發明對半導體元件之 微型化的適應性之概要圖; 第12 A圖及第12 B圖為例示說明本發明對半導體元件 10 之微型化的適應性之概要圖; 第13A圖至第131圖例示說明根據本發明之第三具體例 之半導體元件製造方法的另一實施例; 第14A圖至第14H圖為例示說明根據本發明之第三具 體例之半導體元件製造方法的又另一實施例; 15 第15A圖及第15B圖為例示說明根據本發明之第四具 體例之半導體元件的平面概要圖及截面概要圖;以及 第16A圖至第16M圖例示說明說明第15圖中所示之半 導體元件的製造方法。 ϋ實施方式3 20 較佳實施例之詳細說明 現將參考附帶圖式,說明本發明之較佳具體例。 本發明之第一具體例係配合第3圖至第6圖來解釋說明 。在第一具體例中,由於介電膜之乾蝕刻於矽基板中所產 生之受損層,係在半導體製造方法過程中,在具有經加熱 12 1310219 • 之催化劑的晶圓處理室中,利用經熱分解之原子氫去除。 ' 在下文中,以當乾蝕刻一絕緣夾層介電質以形成接觸孔時 產生於接觸孔底部之受損層的例子為基礎,解釋說明受 損層之去除。 5 第3A圖及第犯圖為例示說明受損層之去除的基本概 〜勺概要圖。在第3八圖中,接觸孔2〇係形成在接觸電阻測 量之一樣品中。n ·型雜質擴散區域12係形成於p -型矽基板( • 1〇Ω ) 11的指疋區域中。在製造此樣品時,η-型雜質擴散 區域12係藉由例如在3〇 KeV之注入能量下,注入Am 10之砷(As)離子來形成。 接下來’藉由化學汽相沈積法(CVD)形成厚度50 nm 之氧化石夕膜13。具有直徑〇 4# m之開口的光阻圖案14係使 用光微影術形成在氧化石夕膜13上。此晶圓係設置於氧化物 膜姓刻機中,使用光阻圖案14作為光罩,以供在氧化石夕膜 15 中形成接觸孔20。 • 氧化物膜蝕刻機係例如平行板型蝕刻機,以380 kHz之 放电頻率進行電衆處理。使用於姓刻之氣體種類包括c职 、CF4,以及Ar。在此等蝕刻條件下,電漿中的離子能量為 1.2 KeV。 20 將氧化矽膜13蝕刻50 nm。當曝露出雜質擴散區域12時 ’叉損層15達到距離雜質擴散區域12之表面的深度為$ nm 。文損層15含有經植入的碳離子,其在雜質擴散區域12中 產生矽-碳(si-c)鍵結。應考慮到Si_c鍵結造成接觸電阻 增加。 13 1310219 接下來,自接觸孔20之底部去除受損層15,如第犯圖 所例示說明者。在去除受損層15之前,具有接觸孔2〇之晶 圓係移入附有經加熱之催化劑的晶圓處理裝置中。在此處 理室中’原子氫係使用此催化劑藉由熱分解作用來產生, 5 以供在氣相中蝕刻受損層15。 第4圖為例示說明附有經加熱之催化劑43之晶圓處理 裝置40之一實施例的概要圖。處理裝置40包括用於將氫氣 引入處理室之氣體供應埠41,用於固持晶圓(具有接觸孔 之矽基板)45之工作臺42 ’以及催化劑43。在此第一具體 1〇 例中,鎮絲43係事先加熱至1800°C,以及氫氣係以12〇 SCCM之氣體流,經由氣體供應埠41來供應。氳分子與經加 熱之鎢絲43接觸,且被熱分解成原子氫。經熱分解之原子 氫與放置在工作臺42之石夕基板(晶圓)45反應,以及半導 體基板中的雜質擴散區域12在1.33 Pa之壓力下,被姓刻約8 15 nm。 於受損層15已被去除後,基板表面的形狀係依晶圓45 之溫度來決定。當晶圓45在170t或更高的溫度下處理時, 經姓刻之部分的截面為各向異性形狀’如第3B圖所例示說 明者,以及具有倒梯形截面之凹穴23係產生於接觸孔2〇之 20 底部。若晶圓溫度低於170°C,如第2A圖所示具有各向同性 蝕刻不足的凹穴係產生於經蝕刻部分。此一各向同性形狀 造成接觸電阻增加。 因此,晶圓45之溫度係定在17(TC或更高的溫度,但低 於雜質擴散溫度。較佳地,晶圓溫度係在30CTC至500。(:的 14 1310219 , 範圍内。在如第4圖所示之實施例中,工作臺42之溫度係維 - 持在420°C,該溫度可視為晶圓45之承受器溫度。於受損層 15在420°C下去除8 nm後,藉由SEM觀察可觀察到倒梯形截 面之β晰影像。凹穴23之此一錐形載面與石夕基板之姓刻速 5率對結晶平面位向的依賴性有關。 第5圖為(1〇0)平面及(111)平面之矽基板蝕刻速率 為承文器溫度之函數的圖。在(m)平面上的蝕刻速率在 • 約170°C下急遽下降,以及因此,(100)平面在17(TC或更 咼的溫度下優先被蝕刻,以及尤其是在2〇〇1或更高之溫度 10 下。 相反地,在低於170°c之溫度範圍内,在(111)平面 及在(100)平面上的蝕刻速率幾乎相同,以及因此,經蝕 刻部分之形狀變成各向同性,如同使用氟自由基之習知各 向同性蝕刻一般。利用此溫度範圍,可有效地防止接觸電 15 阻的增加。 φ 矽蝕刻速率之結晶位向依賴性的機制係考量如下。在 (100)平面之表面上的矽原子係由二個鍵結手部(b〇nding hand)所固定,而在(m)平面之表面上的矽原子係由三 個鍵結手部(bonding hand)所固定。鍵結愈多,矽鍵結解 20離所需要的能量愈高。再者,因為基板表面的溫度增加, 氫在基板之表面的吸附時間變得較短,且因此,在〇11) 平面無法吸收到足夠的能量。結果,在較高溫度下,(ι〇〇 )平面及(111)平面之間的蝕刻選擇性增加,以及在未造 成姓刻不足之下,受損層能以錐形或各向異性之輪廊被去 15 1310219 -除。 _ 第6八圖及第6B圖為例示說明於去除受損層15之後,充 填接觸孔20之方法的概要圖。在第6A圖中,沈積n_型摻離 之多晶矽膜19以充填接觸孔20。在第6B圖中,阻障金屬21 5係形成在接觸孔20中,以及接下來,形成金屬層22以充填 接觸孔20。第6A圖及第6B圖所示結構係應用至位元線接觸 或用於電氣連接電谷器及電晶體之接觸插塞。 • 接下來,電極及其他必要元件係形成於第6A圖所示之 樣°〇上,以供測量接觸電阻。此外,製備二種其他形式的 ίο 4_以供比較。比較例之—係在未去除受損層15之下,藉 由形成η-型摻雜多晶石夕接觸來製備(比較例丄)。另一比較 例係在利用習知各向同性㈣去除受損層之後藉由形成 &型摻雜多晶碎接觸來製備(比較例2 )。亦利用此二比較 例來測量接觸電阻。測量結果顯示於表丄中。 15 實施例 形式 -----— 比較例1 9ΠΛ D ----—_ 比較例2 第一肩i例·£~ 貫施例 接觸電阻 ^uu n 60 Q 40Ω 划衣i所清楚表示者 〜’皿反範固 >,使用經 熱分解之料氫去除受損層時,接觸電阻係有效地降低。 :反地’在未去除受損層之下(比較例i ),由於形成在雜 貝擴散區域之表面處的矽_碳鍵結,接 ^ — 啁免阻之增加是明顯 白。應用習知方法,其係藉由利用氟自由基之各向同性餘 相去除⑽層,㈣產生關不足,降低接觸電阻之效 16 20 1310219 : 果不足。 : —般而言,即使孔之底部產生_不足,接觸孔仍由 =石夕㈣滿足地塞滿。然而,無法完全避免空隙產生於 受損層已被去除之區域或產生於接近該受指層已被去除之 5區域。因此,接觸電阻降低之效果不足。 相反地,利用第-具體例之方法,去除受損層以致於 留下各向異性截面之輪廓,如第6A圖所解釋說明者,且多 # ㈣係在未於翻孔中造成空隙之下,生長於接觸孔中。 在此方式中’第-具體例之受損層去除方法呈現令人 10滿意之降低接觸電阻的效果,即使利用具有相對良好覆蓋 2的多晶雜觸。若形成如第_所示之^金屬接觸,與 習知方法相較,接觸電阻降低效果可進一步增進。 …雖然受損層之去除已使用形成位元線接觸或具有儲存 節點之接觸插塞的例子來解釋說明,本發明可應用於由於 則讀所產生之任意受損層之去除,舉例而言,藉由側 爆 壁餘刻所產生之受損層之去除。 _ n,在半導體元件製造方法中,側壁蚀刻係在 阳離物及閘極形成之後進行。當進行側壁飯刻時 ,曝露出 2〇石夕基板’且由於側壁钱刻在曝露之石夕基板中產生受損層。 ^一受損層亦可在指定溫度條件下,藉由經熱分解之原子 氫去除纟此例子中,經去除的部分變成錐形或各向異性 面形狀、、’。果,可有效地防止後續製程中矽化物形成上 '非所奴缺|\3。再者,於藉由側壁㈣造成受損層之去除 後因為提供良好調整之石夕表面,鍺石夕之蟲晶生長可適 17 1310219 當地進行。 接下來’描述本發明之第二具體例。在第二具體例中 ,使用如弟4圖所示之晶圓處理裝置4〇,在受損層去除時或 之後,將金屬引入雜質擴散區域。在此例子中,在處理裝 5置40中使用之經加熱催化劑43為含有鈦之金屬絲。鈦之矽 化反應活化能為1.8 eV或更低,以及容易造成鈦與石夕反應以 進行矽化反應且形成吸氣部位。 首先,如第3A圖所示之樣品係放置在處理裝置4〇之工 作臺42上。工作臺溫度(承受器溫度)及壓力係分別設定 10在42〇C及1.33 Pa。含有鈦之經加熱之催化劑43係事先加熱 至1900C。當由氣體供應埠41所供應的分子氫與經加熱之 催化劑43接觸時’產生經熱分解之原子氫。經熱分解之氫 與石夕反應並姓刻石夕表面。同時,自經加熱之催化劑43蒸發 之鈦顆粒係引入石夕基板(晶圓)45中。在此狀態中,受損 15層I5被去除約8 nm。 20 !攸太除艾損層及將金屬引入基板係在與氧化 膜餘刻室隔開之處理裝置4〇中進行,可排除由氧化物姓刻 =生之有機產物的影響。因此’引人砂基板之鈦的量可 =方法控制,即使有多數晶圓被處理。若金屬引入石夕 •^係與受損層之去除同時,考慮金屬餘留在已去除受 ^表面或接近該表面的量,以決定待引人基板之金屬 基板之金屬的量係基於受損矽之去除以及蒸發 至顆粒之引入之間的競爭反應。一旦決定此量,蒸發金 屬顆粒的敎量係幾乎無變動地?丨入基板。 18 1310219 〃在上迷樣品中’鈦引入基板係與利用經熱分解之原子 =去除受損層同時。然而,金屬之狀可於受損層去除之 \在相同的處理裝置中接續地進行。在此例子中,當受 4去除’氫氣供應停止。接下來,例如氦(叫或氬 幻Ar)氣體係由氣體供應埠41供應,以由經加熱之催化劑 士’〜鈦至基板45。利用此方法,與同時處理相較,處理 才門戈传更長;'然而,進—步改良對基板之金屬引入的控 10 15 20 W入金屬是否與受損層之去除同時,或在受損層之去 =後’可選雜地依元件所需之操作特絲綠。在任 〇子中’引人絲板之金屬元素的密度係控制於ΐχΐ〇11 原子^方公分平方公分的範圍内。 弟7圖為顯示錯誤位元及引入石夕基板之欽密度之間關 時、圖在測里之則,鈦引入石夕基板係與受損層之去除同 “或緊接受損狀錄讀。财來,_額係充填 ::矽’以形成接觸插塞,如第6A圖所例示說明者。覆蓋 一錢補3上之多晶柳9係圖案化成儲存電極(未顯 形成具有介電膜(未顯示)之電容器,以及沈積在 子=亡之上部電極(未顯示)。接下來,計算錯誤位元 錯誤位元。 何的位元係計算成 旦弟7圖之〉月楚顯示’錯誤位元計數值係藉由引入指定 置之鈦於絲板⑽質擴《射轉低。這是因為欽與 矽基板中的矽反應形成一吸氣部位並防止漏電流。 19 1310219 藉由組合第一具體例所述之受損層去除與第二具體例 所述之金屬$丨人’可確保具有接觸電阻較低及漏電流降低 之高品質接觸插塞。 第8A圖至第8G圖係解釋說明合併受損層之去除(以及 有必要時之金屬引人)之半導體元件之製造方法的一實施 例。
首先,如第8A圖所例示說明者,場氧化物隔離物52係 形成於P-型石夕基板51中,以及形成具有指定圖案之問極( 或字元線)53。使用閘極53及場氧化物隔離物似乍為光罩 1〇,將低密度η-型雜質注人石夕基板51中。接下來在基板” 之整個表面上沈積氧化石夕膜,以及在垂直方向上姓(亦即 各向異性地),卩形成側壁54。1有需I,石夕基板51係放置 於如第4圖所示之處理裝置中,以供於側壁姓刻後,藉由經 熱分解之原子氫去除受損層。在相同的處理裝置中,具有 1S高溶點及石夕化反應能量為! 8 eV或更低的耐火金屬可由經 加熱之催化劑引入石夕基板51中。此類金屬的例子包括鍊工( 、)鉑(Pt)、鈷(Co ) ’及鎳(Ni)。使用此側壁54作為 光罩,將高密度η-型雜質注入石夕基板51,以形成具有咖 結構之雜質擴散區域55a及55b。 20 接下來,如第8B圖所例示說明者,介電膜(包括氧化 ^及夹層介電質)57係沈積在碎基板的整個表面上。^ 指定孔洞_之光阻圖案59係形成在介電膜”上,以及 =58係藉由電漿_,以光_案59作為光罩,形成= 介電膜57中,直至曝露出雜質擴散區域现為止。由於電1 20 1310219 蝕刻,受損層61係產生於雜質擴散區域55b之表面或接近該 表面。 接下來,如第8C圖所例示說明者’矽晶圓係移動入具 有經加熱之催化劑的處理裝置中。催化劑係事先加熱至 5 1800°〇,處理室中的壓力設定為1.33?3,以及承受器溫度 係設定至42(TC。在此等條件下,供應氫氣至處理室中,以 致能藉由經熱分解之原子氫,去除受損層61。於去除受損 層61之後,在接觸孔58之底部形成具有各向異性(或倒梯 形)截面的凹穴62。金屬,例如鈦(Ti)、鉑(ρ〇、鈷( 10 C〇),或鎳(Ni)’可依需要由經加熱之催化劑引入矽基板 51中。於去除受損層61後,去除光阻圖案59。 接下來,如第8D圖所例示說明者’於接觸孔別中及在 介電膜57上,生長n-摻雜多晶矽膜’且將該矽膜圖案化成 指定形狀,以形成到達雜質擴散區域55b之位元線接觸63。 15 接下來,如第犯圖所例示說明者,夾層介電膜64,例 如氧化石夕,係形成在整個表面上,以及光阻圖細係形成 在夾層介電膜64上。 接下來,如第8F圖所例示說明者’使用光阻圖案65係 為光罩,進行電漿蝕刻,以連續地蝕刻夾層介電膜糾及介 2〇电膜57(包括氧化石夕膜),以致能形成到達雜質擴散區域55a 之接觸孔66。 接著將矽晶圓移入具有經加熱之催化劑之處理裝置中 ’該催化劑含有# (Ti)。在關子巾,催化_事先加熱 至180(TC,承受器溫度係設定至42〇。〇,以及處理室内的壓 21 1310219 力係5又疋至1.33 Pa。氫氣係供應至處理室中,以 分解之原子氫,去除因+骑益丨方4 、'里熱 卞Λ *除因電漿姓刻產生於接觸孔的之 =損層(未顯示)。同時,自經加熱的催化劑,將鈦引^ 5 10 15 20 質擴散區域…。於去除受損層之後,使接觸孔66之底部1 形,以致於具有倒梯形截面。因此,增加接觸面積,^ 降低接觸電阻。在此時,雜質擴散區域私含有㈣ /平方公分的鈦。 ’'子 接下來’如第__示綱者,於接觸祕中 層介電膜64上生長摻雜多晶石夕。讀雜多晶 成指定形狀’以形成儲存接觸插塞71a及儲存電極(底部·
極)m。接下來,沈積介電膜72及多晶梦頂部電極73, Z 形成電容器70。接下來,形成介電膜74,以及製成半 元件50。 ~ 界於位元線接觸63及雜質擴散區域55b之間的晶界面 積具有各向異性或倒梯形截面,且接觸電阻降低。同樣地 ’在無银刻不足之下,界於儲存接觸川及雜質擴散區域奴 之間的晶界面積亦具有各向異性截面,且接觸電阻降低。 此外,因為引人雜質擴散區域仏巾的鈦,來自儲存節點之 漏電流可減少。因此’整體而言’半導體元件如具有良好 的接觸特性。 雖然’在上述實施例中,使用含有鈦(Τι)之嗤加執 ^催化劑,此催化劑可含有其他具有高炫點及石夕化反應能 置為1.8 eV或更低的金屬來取代鈦,例如鉑(巧)、鈷 )’或鎳(Ni)。 ‘ 22 1310219 打且右, 室之氣體不⑽於氫氣。可使用任 = 生經熱分解之原子氣之分子結構的氣體。 舉例^,可供應氨氣⑽3)來取代氨氣。 5 於# 2 〃體例及第—具體例之去除受制的方法可應用 1用鐵^料的儲存節點。在此例子中,於受損層去除 雖…、引入金屬(例如鈦),經由覆蓋接觸孔之内表 面的阻障金屬,接觸孔係充填有—金屬,以形成一接觸插 塞。接觸電崎低效果更㈣,以及㈣基板的漏電流可 更有效率地降低。 1〇 +此外’受損層去除之方法可應用於藉由就#刻(配 合第8A圖描述)之自對準接觸(Sac)孔的形成,或到達 多晶石夕接觸插塞之接觸孔的形成,如第9a圖及第9b圖所例 不說明者。 第9A圖為例示說明當形纟SAC孔時所形成之受損層的 15概要圖。閘極83係經由閘極介電膜82形成在石夕基板^上, 以及雜質擴散區域85係形成於矽基板81中。閘極83係由側 壁84保護,以及側壁84及閘極83係由氮化物膜恥所覆蓋, 该氮化物膜係作為SAC蝕刻擋止層。整個表面接著由氧化 物膜87所覆蓋。 10 具有供SAC蝕刻之孔洞的光阻光罩(未顯示)係形成 在氧化物膜87上。使用平行板型雙頻rIE蝕刻機,依續進行 下述步驟: (1) 姓刻氧化物膜87 ; (2) 自氮化物膜86去除產物;以及 23 1310219 (3)蝕刻氮化物膜86 以形成自對準接觸孔88。為了氧化物膜87之蝕刻(第一步 驟),使用CiFs、CO、Ar,及〇2之氣體種類。為了產物之 去除(第二步驟),使用〇2及Ar。為了氮化物膜86之蝕刻( 5第三步驟)’供應CHF3、Ar,及02。 在第三步驟中’碳離子係注入雜質擴散區域85中,以 及產生受損層(矽-碳鍵結)89。碳離子能量為約ι·〇 keV。 受;損層89係藉由原子氫(氫自由基)去除。更明綠地 說,催化劑係在處理室中事先加熱至180crc,在該處理室 10中’壓力及承受器溫度係分別設定至1.33 Pa及420t。氫氣 係供應至處理室中以產生經熱分解之原子氫(氫自由基 經熱分解之原子氫與矽基板反應,且自矽基板去除受損層 89’留下倒梯形截面輪廓91a。 第9B圖為例示說明當形成到達下層多晶矽接觸插塞之 15接觸孔時產生之受損層的概要圖。在此實施例中,形成於 第9A圖中的接觸孔88係充填有多晶矽’以及形成多晶矽插 塞91。接下來,在整個表面上沈積氧化物膜%。到達多晶 石夕插基91之接觸孔94係使用光阻光罩(未顯示),藉由乾钱 刻形成於氧化物膜93中。乾蝕刻係例如放電頻率38〇 Hz之 2〇反應性離子侧(RIE)。餘刻氣體種類例如、Cf4, 及Ar ’以及離子能量係設定至口㈣。在乾姓刻期間,驅 使石厌離子進人多晶碎插塞91之表面,以及產生受損層dc 鍵Μ 92。為了去除受損層%,晶圓係放置於具有經加熱 之催化劑的處理室。在1 _ °C之催化劑溫度 、1.33 Pa 之壓 24 1310219 力,及420C之承文器溫度的條件下,供應氫氣至處理室, 以產生經熱分解之原子氫(氫自由基)。造成電阻上升之受 損層92,係使用經熱分解之原子氫,自多晶石夕插塞之表 面去除,可確保具有降低電阻之接觸插塞。 5 接下來,本發明之第二具體例係參考第10圖至第14圖 來描述。 第10A圖至第101圖係例示說明根據本發明之第三具體 例的半導體元件之氛造方法。在第三具體例中,於圖案化 閘極之後以及形成側壁之後’使用經加熱之催化劑,藉由 10熱分解作用產生之原子氫(氫自由基),去除受損層。 首先’如第10A圖所例示說明者,閘極氧化物膜1〇2係 藉由熱氧化作用形成在基板101上,以及多晶石夕膜1〇3及抗 反射SiN膜104係藉由CVD沈積。接下來,光阻膜係描繪成 一指定圖案,以形成光阻光罩105。 15 接下來,如第10B圖所例示說明者,SiN膜104及多晶石夕 膜103係藉由乾式處理來姓刻。為了 |虫刻多晶石夕1〇3,Cl2及 〇2係分別以50SCCM及10SCCM來供應,微波功率及偏壓 功率係分別設定為1000 W及50W,以及壓力係設定至3毫托 。在乾式處理期限,受損層107係經由閘極氧化物膜102產 20 生在基板101之表面。受損層1〇7主要係由於電磁波。 接下來,如第10C圖所例示說明者,去除用於多晶矽蝕 刻之光阻光罩105,以及形成用於LDD植入作用之另一光阻 光罩(未顯示),以及植入η-型或p-型雜質以形成LDD區域 109。 25 1310219 接下來,如第10D圖所例示說明者,去除]^1:)£)光罩光阻 。為了去除殘餘光阻,進行氫氯酸處理,以及亦自基板1〇1 去除氧化物膜102。在此時,曝露出在閘極姓刻過程中產生 之受損層107。 5 接下來,如第10E圖所例示說明者,藉由經熱分解之原 子氫去除受損層107。為了產生經熱分解之原子氫,置於處 理室中的催化劑係事先加熱至18〇〇°c,將壓力及承受器溫 度分別設定至1.33 Pa及420°c,以及供應氫氣至處理室。在 第10E圖中放大圓形部分A。於自LDD區域109去除受損層 10 後’表面區域的截面輪廓變成錐形或倒梯形。此結構設 計可降低寄生電阻及防止歸因於金屬矽化物之異常產生的 接面漏電。 接下來,如第10F圖所示,氧化物膜係沈積在整個表面 上,且在氧化物膜上進行乾姓刻以形成側壁111。在側壁蚀 15 刻期間,在1000毫托之壓力及400 W之外加功率下,氣體種 類 CHF3、CF4及 Ar係分別以 100 SCCM、150 SCCM,及600 SCCM來供應。由於側壁蝕刻中的離子植入作用,含有礙-石夕鍵結之受彳貝層113係產生在基板101之表面(尤其是在 LDD區域109中)。
2〇 如第10G圖所例示說明者,去除留在閘極103上的SiN 膜104,以及形成光阻光罩(未顯示)以植入η-型或p-型雜 質,以形成源極及 >及極區域112。 接下來,如第10H圖所示,藉由使用經加熱之催化劑, 產生經熱分解之原子氫以去除受損層H3。催化劑係事先加 26 1310219 熱至18〇crC ’壓力及承受器溫度係分別設定至1.33 Pa及420 C ’以及供應氫氣至處理室中。在第1〇H圖中放大圓形部分 B °於去除受損層113後’源極及汲極區域112的表面區域呈 現錐形或倒梯形截面輪廓115 。因此,可降低寄生電阻及接 面漏电°於去除受損層113後,蒸發之金屬顆粒可依續引入 基板中。若使用含有適於矽化反應之金屬(例如Ti、C〇、
Pt ’或Ni)的催化劑,該金屬之矽化反應活化能為18 eV或 更低’蒸發之金屬顆粒係與去除受損層113同時地引入基板 中。在此例子中,可以有效率的方式引入適量的金屬至基 1〇 板中’以及可降低接面漏電。 最後’如第101圖所例示說明者,夾層介電膜116係沈積 在整個表面上,以及方法進行至後續步驟。 第11圖及第12圖為用於解釋第三具體例應用於半導體 元件之微型化的目前趨勢的實用性。 15 第11A圖例示說明圖案化閘極103後,LDD區域109的形 成’以及第11B圖例示說明表面處理(受損層之去除)後, 凡件之截面輪廓係使用經熱分解之原子氫來形成。因為去 除藉由閘極蝕刻所產生之受損矽,但留下錐形或倒梯形截 面輪麼’如第11B圖所例示說明者,可在未改變閘極丨〇3之 2〇間的空間下,增加矽化反應的面積。因為去除蝕刻不足, 故可降低接面漏電。 第12A圖例示說明於側壁111形成後’形成源極/沒極 植入112,以及第12B圖例示說明使用經熱分解之原子氮, 去除藉由側壁蝕刻產生之受損層後,元件之截面輪廊。因 27 1310219 為又知層之去除係進行二次’換言之,於閑極蚀刻後,以 及於Hi刻後’在鄰近閘極搬之間的表面區域的截面變 成雙錐形(或雙倒梯形)。 同枚地’矽化反應之面積可在未改變閘極103之間的空 5 間下增加。一船而 s ’因為線及空間圖案變得微細,變得 y成夕化物。此問題可藉由使用含有一金屬之催化劑 來解决’ 5亥金屬當產生經熱分解之原子氫以去除受損石夕時 反應活化此為18 eV或更低。當藉由去除受損層來增 力“夕化反應面積時’適當量之金屬可引入基板中 (在雜質 擴政區域中)。此結構設計有利於製造使用TiSi或CoSi之微 細MOS結構。 文知層之去除可在閘極蝕刻或側壁蝕刻後進行。在此 例子中’可令人滿意地達到寄生電阻降低效果以及接面漏 電防止效果。 15 第13A圖至第131圖例示說明根據本發明之第三具體例 之半導體元件製造方法的另一實施例。在此實施例中,使 用L熱为解之原子氫去除受損石夕係應用於具有不同閘極介 電質厚度之元件陣列的製造。在LSI安裝多重功能性部分組 件單元時,操作電壓依功能性部分組件單元而改變。因此 20 ,電晶體之閘極氧化物膜的厚度係依不同的部分組件單元 而有不同的設計。 首先,如第13A圖所例示說明者,具有不同厚度之場隔 離物121及閘極氧化物膜122及123係藉由熱氧化作用形成 在基板101上。接下來,多晶矽膜103及抗反射SiN膜1〇4係 28 1310219 藉由CVD方法沈積。接下來,將光阻圖案化成指定形狀以 形成光阻光罩105。 接下來,如第13B圖所例示說明者,SiN膜104及多晶矽 膜103係藉由乾式處理蝕刻以形成閘極。多晶矽膜103之蝕 5 刻條件為在1000 W之微波功率及50 W之偏壓功率下,在3 毫托之壓力下,分別以50SCCM及10SCCM供應Cl2及02。 於蝕刻多晶矽後,將蝕刻條件切換成氧化物蝕刻的條件。 舉例而言,CHF3、CF4,及Ar氣體係在1000毫托之壓力及 400W之外加功率下,分別以100SCCM、150SCCM,及600 10 SCCM來供應。在氧化物姓刻中,由碳離子植入,受損層( 矽-碳鍵結)127係產生在基板101之表面上。在較薄的閘極 氧化物膜122中,受損是特別顯著的。 接下來’如第13C圖所例示說明者,去除用於多晶石夕钱 刻之光阻光罩105,以及形成用於LDD植入作用之另一光阻 15光罩(未顯示),以及植入η-型或p-型雜質以形成!^^區域 109。 接下來’如第13D圖所例示說明者,去除]^^)光罩光阻 〇 接下來,如第13Ε圖所例示說明者,藉由在133 ρ&之壓 2〇力以及wc之承受器溫度下,引入氫氣以與加熱至18〇〇= 之催化劑接觸,使用經熱分解之原子氫(或氫自由基)去 除受損層127。於去除受損層127後,基板1〇1之表面區域( 尤其是LDD區域109)具有錐形或倒梯形之截面輪廊。利用 此結構,石夕化反應的表面積增加,以及寄生電阻降低。因 29 1310219 為受損層可在無蚀刻不足之下去除,可防止接面漏電。
接下來,如第13F圖所示,氧化物膜係沈積在整個表面 上,且藉由乾蚀刻形成側壁111。蝕刻條件為在1〇〇〇毫托之 壓力及400W之外加功率下,分別a1〇〇SCCM、15〇sa:M 5 ,及600 SCCM來供應CHF3、CF4及Ar氣體。在側壁蝕刻時 ,由於碳離子植入,受損層(矽-碳鍵結)113係產生在基 板101之表面上。 接下來,如第13G圖所例示說明者,自多晶矽閘極1〇3 之頂部去除SiN膜104。接下來,形成用於形成源極及汲極 10區域112之光阻光罩(未顯示),以及植入η-型或p-型雜質。 接下來,如第13Η圖所示,藉由在丨.% Pa之壓力以及42〇 °(:之承受器溫度下,引入氳氣以與以㈨它之經加熱的催化 劑接觸,使用經熱分解之原子氫,去除在側壁蝕刻中產生 的受扣層113。於去除受損層113後,源極及汲極區域ιΐ2的 15表面區域具有錐形或倒梯形之截面輪廓112a。因此,寄生 電阻降低以及防止接面漏電。 接下來,如第131圖所例示說明者,沈積夾層介電膜116 以進行後續步驟。雖然在第13F圖至第131圖中僅以具有較 薄之閘極介電膜的單一電晶體來例示說明,相同的方法可 20應用於具有較厚閘極介電膜123之電晶體的製造方法,對此 二種形式之電晶體而言,受損層立刻被去除。 第14A圖至第14H圖例示說明根據本發明之第三具體 例之半導體元件製造方法的另一實施例。使用經熱分解之 原子氫(或氫自由基)去除受損層’再次應用於具有不同 30 1310219
厚度之間極介電膜之元件的製造。在此實施例中,於侧壁 形成後’钮刻閘極介電膜,其係不同於前述實施例(第13A 圖至第131圖),其中閘極介電膜係在多晶矽蝕刻後接著蝕 刻。 5 首先,如第14A圖所例示說明者,具有不同厚度之場隔 離物121及閘極氧化物膜122及123係藉由熱氧化作用形成 在基板101上。接下來,多晶矽膜1〇3及抗反射SiN膜104係 藉由CVD方法沈積。接下來,將光阻圖案化成指定形狀以 形成光阻光罩105。 10 接下來,如第14B圖所例示說明者,SiN膜104及多晶石夕 骐103係藉由乾式處理蝕刻以形成閘極。多晶矽膜103之餘 刻條件為在1000W之微波功率及50 W之偏壓功率下,在3 毫托之壓力下,分別以50SCCM及10SCCM供應Cl2及〇2。 在此例子中,留下閘極氧化物膜122及123,以及因此基板 15〖01發生些微受損。 接下來,如第14C圖所例示說明者,去除使用於多晶石夕 蝕刻之光阻光罩105,以及形成用於形成LDD區域131及132 之另一光阻光罩(未顯示)。經由具有不同厚度之閘極氧化 物膜122及123,將η-型或p-型雜質注入基板101,以及LDD 2〇 區域131及132具有不同的雜質密度。 接下來,如第14D圖所例示說明者,去除LDD光罩光阻 〇 接下來,如第14E圖所例示說明者,氧化物膜係沈積在 整個表面上,且藉由乾蝕刻形成側壁135。蝕刻條件為在 31 1310219
1000毫托之壓力及400 W之外加功率下,分別以100SCCM - 、150 SCCM,及600 SCCM來供應CHF3、CF4及Ar氣體。 - 在側壁蝕刻時,由於碳離子植入,受損層(矽-碳鍵結)133 係產生在基板101之表面上。閘極氧化物膜122及123亦藉由 5 側壁蝕刻來蝕刻。因為進行乾蝕刻,所以在LDD區域132上 ’未留下較厚的閘極氧化物膜123,位在較厚之閘極氧化物 122側的LDD區域131中,對基板101的危害是明顯的。 _ 接下來’如第14F圖所例示說明者,自多晶石夕閘極1〇3 之頂部去除SiN膜104。接下來’形成用於源極及汲極區域 10 136之光阻光罩(未顯示),以及植入η-型或p-型雜質。 接下來’如第14G圖所例示說明者,藉由在ι·33 pa之壓 力以及420。(:之承受器溫度下,引入氫氣以與18〇(rc之經加 熱的催化劑接觸,使用經熱分解之原子氫去除受損層133。 於去除受損層133後,源極及汲極區域136的表面區域具有 15錐形或倒梯形之截面輪廓137。因此,寄生電阻降低以及防 • 止接面漏電。 接下來’如第14H圖所例示說明者,沈積失層介電膜us 以進行後續步驟。 在第三具體例中,在閘極蝕刻或側壁蝕刻中產生之受 損層亦可以各向異性(倒梯形)截面輪廓的方式去除。因 此,可擴張矽化反應的面積,但防止蝕刻不足下金屬矽化 物的異常產生。可製造具有低電阻及接面漏電降低用 半導體元件。 接下來,本發明之第四具體例係參考第15圖及第“圖 32 1310219 來描述。在第四具體例中,製造具有快閃記憶體的邏輯元 件200,如第15A圖所例示說明者。半導體元件200包括主要 邏輯電路202、輸入及輸出電路204、快閃記憶體晶胞206, 以及快閃記憶體晶胞控制電路208。輸入及輸出電路204具 5 有PMOS區域204P及NMOS區域204N。同樣地,快閃記憶體 晶胞控制電路208具有PMOS區域208P及NMOS區域208N。 如第15B圖之截面圖所例示說明者,半導體元件200具 有形成在基板210之η-井190内之p-井178 (雙井結構)中的 快閃記憶體晶胞(快閃晶胞)、η-通道高電壓低閾值電壓電 10 晶體(N-HV低Vt),以及η-通道高電壓高閾值電壓電晶體 (N-HV高Vt),以及形成於η-井180中的ρ-通道高電壓低閾 值電壓電晶體(P-HV低Vt)及ρ-通道高電壓高閾值電壓電 曰曰體(P-HV两Vt)。此等南電壓電晶體係使用於快閃記憶 體晶胞控制電路208,且具有閘極介電膜304,該膜具有第 15 一厚度且對快閃記憶體晶胞之讀出電壓及寫入/抹除電壓 具有耐受性。 半導體元件200亦具有形成於卩-井182中的n_通道中度 電壓電晶體(N-MV),以及形成於11-井184中的p—通道中度 電壓電晶體(Ρ-MV)。具有中等電壓水平之此等電晶體係 20使用於輸入及輸出電路204,且具有閘極介電膜306 ,該膜 具有弟二厚度。 半導體元件200進一步具有形成在^井丨防中的n_通道 低電壓高閾值電壓電晶體(N-LV高Vt)以及n_通道低電壓 低閾值電壓電晶體(N-LV低Vt)’以及形成在〜井丨狀中的 33 1310219 p-通道低電壓高閾值電壓電晶體(?_1^高乂1)及p-通道低 電壓低閾值電壓電晶體(p_LVmVt)。此等具有低操作電壓 的電晶體係用於主要邏輯電路202 ’且具有閘極介電膜302 ,該膜具有適於高速操作的第三厚度。 5 第16A圖至第16M圖例示說明根據本發明第四具體例 之半導體元件200的製造方法。 首先,如第16A圖所例示說明者,淺溝槽隔離物211係 形成於基板210之指定區域中’以及η-型及P-型雜質係注入 指定區域以形成η-井區域180、184、188及190 ’以及ρ_井區 10 域178、182及186。沈積用於快閃記憶體晶胞(快閃晶胞) 之通道氧化物膜156,以及形成多晶石夕浮動閘極158。接下 來’氧化物-氮化物-氧化物(ΟΝΟ)堆疊膜160係形成在整 個表面上。光阻光罩192係形成在ΟΝΟ膜160上,以致能僅 覆蓋快閃記憶體晶胞,且自基板210之其他區域去除ΟΝΟ膜 15 160。接下來’亦藉由濕式蝕刻自快閃記憶體晶胞以外的區 域’去除通道氧化物膜156。 接下來’如第16Β圖所例示說明者,去除光阻光罩192 ’以及在主動區域中形成具有厚度13nm之氧化矽膜194。 接下來’形成光阻光罩196以致能覆蓋快閃記憶體晶胞(快 20閃晶胞)及高電壓電晶體(N-HV低Vt、N-HV高Vt、P-HV 低Vt ’ &p_HV高Vt)的區域。使用光阻光罩196來進行濕 式蝕刻,以供自用於中度電壓電晶體(n mv&p mv)及 低電壓電晶體(N-LV低Vt、N-LV高Vt、P-LV低Vt及p-LV wVt)的區域去除氧化矽膜194。 34 1310219 接下來,如第16C圖所例示說明者,去除光阻先罩196 ’且形成具有厚度4·5 nm之氧化矽膜198以致能覆蓋用於中 度電壓電晶體(N-MV及P-MV)及低電壓電晶體(N_LV低 Vt、N-LV高Vt、P-LV低Vt及P-LV高Vt)的區域。利用此膜 5 之形成’亦增加先前形成之氧化矽膜194的厚度。接下來, 形成光阻光罩199,以致能僅曝露低電壓電晶體(队^低 Vt、N-LV高Vt、P-LV低Vt及P-LV高Vt)之區域,以及自低 電愿電晶體區域去除氧化石夕膜198。 接下來’如弟16D圖所例示說明者’去除光阻光罩199 1〇 ’藉由熱氧化作用形成具有厚度2.2 nm之氧化石夕膜,以形 成用於低電壓電晶體(N-LV低Vt、N-LV高Vt、p_LV低Vt 及P-LV高Vt)的閘極氧化物膜302。藉此此膜之形成,亦可 分別增加先前形成之氧化矽膜194及198的厚度。結果,具 有厚度16 nm之閘極氧化物膜304係形成於高電壓電晶體( 15 N-HV低Vt、N-HV高Vt、P-HV低Vt及P-HV高 Vt)區域中, 以及具有厚度5.5 nm之閘極氧化物膜306係形成於中度電 壓電晶體(N-MV及P-MV)區域中。 接下來,如弟16E圖所例示說明者,多晶石夕膜係藉 由CVD方法生長,以及接下來,氮化矽膜31〇係藉由電漿 20 cvd生長在多晶矽膜308上。氮化矽膜310係作為抗反射膜 以及用於圖案化下層多晶矽膜308的蝕刻光罩。當在後續製 程中形成快閃§己憶體晶胞之閘極的側壁時,氮化石夕膜亦供 作為保δ蔓閘極之保護蓋。氮化石夕膜31 〇、多晶石夕膜308、〇n〇 堆疊膜160,以及浮動閘極158係藉由光微影術及乾蝕刻處 35 1310219 理,以形成快閃記憶體晶胞之閘極212。 接下來,如第16F圖所例示說明者’形成快閃記憶體晶 胞(快閃晶胞)之源極及;及極區域214 ’以及形成閘極212 之側壁216。其他電晶體區域之多晶矽膜308亦被圖案化以 5形成高電壓電晶體區域(N-HV低Vt、N-HV高Vt、P-HV低 Vt及P-HV高Vt)、中度電壓電晶體區域(N-MV及P-MV), 及低電壓電晶體區域(N-LV低Vt、N-LV高Vt、P-LV低Vt 穩| 及P-LV高Vt)中之閘極218。 接下來,如第16G圖所例示說明者,形成光阻光罩320 10以致能僅曝露p-通道低電壓電晶體(P-LV低Vt及P-LV高Vt ),但覆蓋所有其他區域’以及形成P-型延伸物222。 接下來,如第16H圖所例示說明者,去除光阻光罩320 ,以及形成光阻光罩324以致能僅曝露η-通道低電壓電晶體 (P-LV低Vt及P-LV高Vt),但覆蓋所有其他區域,以及形成 15 η-型延伸物226。 ® 接下來,如第161圖所例示說明者’以與第16G圖及第 16Η圖所例示說明者相同的方式’依續形成用於Ρ-通道中度 電壓電晶體(P-MV)之ρ-型延伸物23〇、用於η-通道中度電 壓電晶體(N-MV)之η-型延伸物234、用於ρ-通道高電壓 20 電晶體(P-HV低Vt及P-HV高Vt)之ρ-型延伸物238 ’以及 用於η-通道高電壓電晶體(N-HV低Vt及N-HV高Vt)之n-型延伸物242。去除用於最後區域中之離子植入的光阻光罩 。接下來,藉由熱CVD方法沈積氧化石夕膜’以及藉由回蝕 氧化矽膜以形成側壁244 ’以致能不留下閘極氧化物膜。在 36 1310219 此側壁蝕刻中,含有矽-碳鍵結之受損層(未顯示)係產生 於延伸物之表面區域。 接下來,如第16J圖所例示說明者,依續形成p_型源極 及汲極區域248及η-型源型及汲極區域252。 5 接下來,如第16Κ圖所例示說明者,去除當形成側壁244 時所產生的受損層。放置在處理室中的催化劑係加熱至 1800°C,以及在1.33 Pa之壓力及420°C之承受器溫度下,供 應氫氣至處理室中,以熱分解氫氣為原子氫(或氫自由基 )。原子氫(或氫自由基)蝕刻源極及汲極區域中的受損層 1〇 成倒梯形截面輪廓。若具有矽化反應活化能為1.8 eV或更低 的金屬係用於作為經加熱的催化劑,在去除受損層期間, 蒸發之金屬顆粒係引入源極及汲極區域248及252之表面。 在此方式中’具有不同厚度之閘極氧化物膜的電晶體係形 成在基板210上。 15 接下來,如第16L圖所例示說明者,介電膜254係沈積 在整個表面上,以及到達電晶體之源極及汲極區域248及 252的接觸孔256係形成於介電膜254中。此接觸孔蝕刻係伴 隨碳離子注入,以及受損層(未顯示)係產生在接觸孔256 的底部(在源極及汲極區域248及252之曝露表面)。接下來 20 ,在1800°C之經加熱之催化劑、1.33 Pa之壓力,以及420 °C之承受器溫度的條件下,藉由引入氫氣及熱分解氫氣以 產生原子氫(或氫自由基)以去除受損層。 接下來,如第16M圖所例示說明者,以導電材料充填 接觸孔256’以形成插塞258,以及具有指定圖案之互連260 37 1310219 係开y成在介電膜254上。若有需要,可重覆用於上層結構史 夾層介電質的沈積以及互連的形成。因此,製得半導體L 元件200。 在半導體元件2〇〇中,在任何功能性部分組件單元中, 可達到具有降低之電阻及減少之接面漏電的令人滿意的 件特性。 10 15 雖然在圖式中未顯示,第9B圖中所例示說明之形成到 達下層多晶矽插塞的接觸孔之方法,矸併入第四具體例之 半導體兀件的製造方法中。在此例子中,由於接觸孔飯刻 ,在多晶矽插塞表面上所產生之受損矽係使用經加熱之催 化劑’藉由經熱分解之原子氫(或氯自由基)去除。因此 達到具有上層互連之令人滿意的電氣連接。在第四具體 例之例示實施例中’由於側壁钱刻產生之受損層係於源極 聽極區域形成之後去除'然而,由於側壁_產生之受 損層可在源極及汲極區域形成之前去除。 φ 【圖式簡單說明】 第^圖及第1B圖為例示說明去除藉由接觸孔敍刻所 產生之文損層之習知方法的問題的概要圖; 第2A圖及第2B圓為例示說明當μ ^ π | 20 73田利用習知技術去除受 損層時所引發之另一問題的概要圖; 苐3Α圖及第祀圖為例示說明根據本發明之第一具體 例之受損層去除的概要圖; 第4圖為例示說明具有根據本發明第—具體例之用於 去除受損層之經加熱之催化劑的晶圓處理裝置的概要圖; 38 1310219 第5圖為蝕刻速率為承受器溫度之函數的圖,顯示對結 晶平面位向的依賴性; 第6 A圖及第6 B圖分別為例示說明在去除受損層之後 ,充填有經摻雜之矽及金屬的接觸孔之概要圖; 5 第7圖為元件之錯誤位元為根據本發明之第二具體例 引入半導體基板之鈦密度的函數之圖; 第8A圖至第8G圖為例示說明一半導體元件製造方法 ,包括根據本發明一具體例的受損層去除步驟; 第9A圖及第9B圖為例示說明受損層之去除的其他應 10 用; 第10A圖至第101圖為例示說明根據本發明之第三具體 例的半導體元件製造方法; 第11A圖及第11B圖為例示說明本發明對半導體元件之 微型化的適應性之概要圖; 15 第12A圖及第12B圖為例示說明本發明對半導體元件 之微型化的適應性之概要圖; 第13 A圖至第131圖例示說明根據本發明之第三具體例 之半導體元件製造方法的另一實施例; 第14A圖至第14H圖為例示說明根據本發明之第三具 20 體例之半導體元件製造方法的又另一實施例; 第15A圖及第15B圖為例示說明根據本發明之第四具 體例之半導體元件的平面概要圖及截面概要圖;以及 第16A圖至第16M圖例示說明說明第15圖中所示之半 導體元件的製造方法。 39 1310219
I:主要元件符號說明】 1001 石夕基板 42 工作臺 1002雜質擴散區域 43 經加熱之催化劑 1003 夾層介電質 45 晶圓 1004 光阻圖案 50 半導體元件 1005 受損層 51 z夕基板 1006過度蝕刻 52 場氧化物隔離物 1007 阻障金屬層 53 問極 1008金屬矽化物 54 側壁 1009蝕刻不足 55a 雜質擴散區域 1010阻障金屬 55b 雜質擴散區域 1020 接觸孔 57 介電膜 11 ^夕基板 58 接觸孔 12 雜質擴散區域 59 光阻圖案 13 氧化矽膜 61 受損層 14 光阻圖案 62 凹穴 15 受損層 63 位元線接觸 19 多晶矽膜 64 夾層介電膜 20 接觸孔 65 光阻圖案 21 阻障金屬 66 接觸孔 22 金屬層 70 電容器 23 凹穴 71a 儲存接觸插塞 40 晶圓處理裝置 71b 儲存電極 41 氣體供應埠 72 介電膜 40 1310219
73 頂部電極 112 源極及 及極區域 74 介電膜 112a 錐形或倒梯形之截面輪 81 矽基板 廓 82 閘極介電膜 113 受損層 83 閘極 115 錐形或倒梯形截面輪廓 84 側壁 116 夾層介電膜 85 雜質擴散區域 121 場隔離物 86 氮化物膜 122 閘極氧化物膜 87 氧化物膜 123 閘極氧化物膜 88 接觸孔 127 受損層 89 受損層 131 LDD區域 91 多晶矽插塞 132 LDD區域 91a 倒梯形截面輪扉 133 受損層 92 受損層 135 側壁 93 氧化物膜 136 源極及沒極區域 94 接觸孔 137 源極及没極區域截面輪 101 基板 廓 102 閘極氧化物膜 138 夾層介電膜 103 多晶矽膜 156 通道氧化物膜 104 抗反射SiN膜 158 浮動閘極 105 光阻光罩 160 ΟΝΟ膜 107 受損層 178 Ρ-井 109 LDD區域 180 η-井 111 側壁 182 ρ-井 41 1310219
184 η-井 186 ρ-井 188 η-井 190 η-井 192光阻光罩 194氧化矽臈 196 光阻光罩 198氧化石夕膜 199光阻光罩 200半導體元件 202邏輯電路 204輸入及輸出電路 204Ρ PMOS 區域 204Ν NMOS 區域 206快閃記憶體晶胞 208快閃記憶體晶胞控制電 路 208Ρ NMOS 區域 208Ν NMOS 區域 210基板 211淺溝槽隔離物 212 閘極 214源極及汲極區域 216 側壁 218 閘極 222 Ρ-型延伸物 226 η-型延伸物 230 ρ-型延伸物 234 η-型延伸物 238 ρ-型延伸物 242 η-型延伸物 244 側壁 248 Ρ-型源極及汲極區域 252 η-型源極及汲極區域 254 介電膜 256 接觸孔 258 插塞 260 互連 302 閘極介電膜,閘極氧化 物膜 304 閘極介電膜,閘極氧化 物膜 306 介電膜’閑極氧化 物膜 308 多晶石夕膜 310 氮化矽膜 320 光阻光罩 324 光阻光罩 42

Claims (1)

1310219 第 94113117號專利申請案 申請專利範圍修正本98.02.26 十、申請專利範圍: L —種半導體元件之製造方法,包含下述步驟: 在一石夕基板之一表面上形成一介電膜; 藉由乾式處理蝕刻該介電膜並且暴露該矽基板之 該表面;以及
10 15
20 供應經熱分解之原子氫至該矽基板之該表面,以去 除由於該乾式處理在該矽基板之該表面所產生的一受損層。 .如申請專利範圍第1項之方法,其中該經熱分解之原子 氫係藉由使含有氫的分子與一經加熱之催化劑接觸所產生。 如申印專利範圍第1項之方法,其中該經熱分解之原子 氫係在固持該矽基板之承受器的溫度維持在170。(:或更 高之下供應。 4·如申請專利範圍第2項之方法,其中該經加熱之催化劑 包括鎢。 5 > 申咐專利视圍第2項之方法,其中該經加熱之催化劑 包括一金屬,其矽化反應活化能為丨8 ev或更低,以及 該方法進一步包含下述步驟: 將該金屬自該經加熱之催化劑引入矽基板中。 6_如申請專利範圍第1項之方法,其中於去除該受損層之 後’該矽基板的表面區域具有倒梯形截面輪廓。 .如申請專利範圍第1項之方法,其中該介電膜之該蝕刻 步驟使用一含碳氣體,以及該受損層含有碳植入物。 43 7 1310219 : 8· Μ請專職圍第1項之方法,進-步包含下述步驟: ' 藉由蝕刻在該介電膜中形成一接觸孔; 使用該經熱分解之原子氫,去除產生於該接 觸孔之 底部的該受損層;以及 利用導電性材料充填該接觸孔以形成一接觸插塞。 9.如申請專利範圍第㈣之方法,進—步包含下述步驟: 在該矽基板上形成一多晶矽插塞; • 沈積一夾層介電膜在該多晶矽插塞上; 藉由乾蝕刻在該夾層介電膜中形成一接觸孔,以致 10 於到達該多晶矽插塞; 使用。亥經熱分解之原子氳,去除由於該乾餘刻產生 於該多晶矽插塞上的一第二受損層。 1〇.如申請專利範圍第1項之方法,其中該受損層之去除係 在與用於6亥介電膜之姑刻的餘刻室不同的 一處理室中 15 進行。
20 11.如申”月專利範圍第5項之方法其中該經加熱之催化劑 包括欽(Tl)、鎳(Ni)、鈷(Co)及鉑(Pt)中至少一 者,以及該金屬係與該受損層之去除同時地引入該矽基 板,或於該受損層之去除後,接續地引入該石夕基板。 12_ -種半導體元件之製造方法,包括下述步驟: 在一矽基板之一表面上形成一介電膜; 在該介電膜上形成一導電膜; 藉由乾式處理圖案化該導電膜,以形成一電極; 去除至少一部分該介電膜並且暴露該矽基板之該 44 1310219 表面;以及 於指定溫度條件下,使用經熱分解之原子氫,去除 由於該乾式處理在該矽基板之該表面所產生的一受損 層之至少一部分。 5 13.如申請專利範圍第12項之方法,其中該經熱分解之原子 氫係藉由使含有氫的分子與一經加熱之催化劑接觸所 產生。 14. 如申請專利範圍第12項之方法,其中當該矽基板之承受 器的溫度維持在170°C或更高時,該受損層係藉由經熱 10 分解之原子氫去除。 15. 如申請專利範圍第13項之方法,其中該經加熱之催化劑 係為鎢。 16. 如申請專利範圍第13項之方法,其中該經加熱之催化劑 包括一金屬,其矽化反應活化能為1.8 eV或更低,以及 15 該方法進一步包含下述步驟: 將該金屬自該經加熱之催化劑引入該矽基板中。 17. 如申請專利範圍第13項之方法,其中該經加熱之催化劑 包括鈦(Ti)、鎳(Ni)、鈷(Co)及鉑(Pt)中至少一 者,以及該方法進一步包含下述步驟: 20 該金屬係與該受損層之去除同時地引入該矽基板 ,或於該受損層之去除後,接續地引入該矽基板。 18. —種半導體元件之製造方法,包括下述步驟: 在一矽基板之一表面上,經由一介電膜,形成一閘 極; 45 1310219
藉由乾蝕刻形成該閘極之側壁並且暴露該矽基板 之該表面;以及 在指定溫度條件下,使用經熱分解之原子氫,去除 由於該乾蝕刻在該矽基板之該表面所產生的一受損層。 46
TW094113117A 2004-07-06 2005-04-25 Semiconductor device and fabrication method thereof TWI310219B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004199679 2004-07-06
JP2005042871A JP4032058B2 (ja) 2004-07-06 2005-02-18 半導体装置および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200603275A TW200603275A (en) 2006-01-16
TWI310219B true TWI310219B (en) 2009-05-21

Family

ID=35116147

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094113117A TWI310219B (en) 2004-07-06 2005-04-25 Semiconductor device and fabrication method thereof

Country Status (5)

Country Link
US (3) US7642192B2 (zh)
EP (1) EP1615265B1 (zh)
JP (1) JP4032058B2 (zh)
KR (2) KR100663828B1 (zh)
TW (1) TWI310219B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797525B (zh) * 2019-12-27 2023-04-01 日商日立全球先端科技股份有限公司 半導體裝置的製造方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4032058B2 (ja) 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
KR100558036B1 (ko) * 2004-12-28 2006-03-07 주식회사 하이닉스반도체 반도체메모리장치의 제조 방법
KR100657166B1 (ko) * 2005-08-30 2006-12-13 동부일렉트로닉스 주식회사 구리 금속 배선의 형성 방법
US8435873B2 (en) 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
US7615445B2 (en) * 2006-09-21 2009-11-10 Sandisk Corporation Methods of reducing coupling between floating gates in nonvolatile memory
US20080296778A1 (en) * 2007-05-30 2008-12-04 Qimonda Ag Interconnection Structure and Integrated Circuit
JPWO2008156182A1 (ja) * 2007-06-18 2010-08-26 日本電気株式会社 半導体装置及びその製造方法
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2011049315A (ja) * 2009-08-26 2011-03-10 Toshiba Corp 半導体集積回路
KR101660782B1 (ko) * 2010-07-29 2016-09-29 삼성전자주식회사 메모리 소자 및 이의 제조방법
US9184050B2 (en) 2010-07-30 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted trapezoidal recess for epitaxial growth
KR101096226B1 (ko) * 2010-10-28 2011-12-22 주식회사 하이닉스반도체 매립게이트를 구비한 반도체장치 제조 방법
JP5984044B2 (ja) * 2012-04-16 2016-09-06 須賀 唯知 金属触媒下及び不活性ガス雰囲気下で有機酸ガスを用いた表面酸化物除去方法及び接合装置
US9305796B2 (en) * 2013-11-05 2016-04-05 Applied Materials, Inc. Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber
CN104752246B (zh) * 2013-12-26 2018-03-27 中芯国际集成电路制造(上海)有限公司 用于判定gox击穿失效的样品制备方法
JP6354363B2 (ja) 2014-06-12 2018-07-11 富士通セミコンダクター株式会社 半導体装置の製造方法
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
KR102290538B1 (ko) 2015-04-16 2021-08-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
JP6861365B2 (ja) * 2017-08-29 2021-04-21 パナソニックIpマネジメント株式会社 炭化珪素半導体装置およびその製造方法
JP7283036B2 (ja) * 2018-07-13 2023-05-30 富士電機株式会社 半導体装置および製造方法
JP7349861B2 (ja) 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
FR2737734B1 (fr) * 1995-08-10 1997-08-29 Alcatel Optronics Procede de gravure d'un substrat par jets chimiques
JPH09306867A (ja) * 1996-05-14 1997-11-28 Toyota Central Res & Dev Lab Inc 半導体装置の製造方法
JPH10209428A (ja) 1997-01-27 1998-08-07 Sony Corp 半導体装置及びその製造方法
US6465842B2 (en) * 1998-06-25 2002-10-15 Kabushiki Kaisha Toshiba MIS semiconductor device and method of fabricating the same
JP2000223419A (ja) 1998-06-30 2000-08-11 Sony Corp 単結晶シリコン層の形成方法及び半導体装置の製造方法、並びに半導体装置
JP3305270B2 (ja) 1998-09-14 2002-07-22 宮崎沖電気株式会社 半導体装置の製造方法
JP2000100749A (ja) 1998-09-25 2000-04-07 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US6511575B1 (en) * 1998-11-12 2003-01-28 Canon Kabushiki Kaisha Treatment apparatus and method utilizing negative hydrogen ion
US6136698A (en) * 1999-06-04 2000-10-24 United Integrated Circuits Corp Method of increasing contact area of a contact window
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6316322B1 (en) * 1999-09-24 2001-11-13 Advanced Micro Devices, Inc. Method for fabricating semiconductor device
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
JP4657480B2 (ja) 2001-03-27 2011-03-23 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US6933243B2 (en) * 2002-02-06 2005-08-23 Applied Materials, Inc. High selectivity and residue free process for metal on thin dielectric gate etch application
US6743669B1 (en) * 2002-06-05 2004-06-01 Lsi Logic Corporation Method of reducing leakage using Si3N4 or SiON block dielectric films
KR101352995B1 (ko) * 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
JP4032058B2 (ja) 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797525B (zh) * 2019-12-27 2023-04-01 日商日立全球先端科技股份有限公司 半導體裝置的製造方法

Also Published As

Publication number Publication date
TW200603275A (en) 2006-01-16
US20060006477A1 (en) 2006-01-12
US8809919B2 (en) 2014-08-19
KR100663828B1 (ko) 2007-01-03
US20100072522A1 (en) 2010-03-25
US8114764B2 (en) 2012-02-14
EP1615265B1 (en) 2016-11-30
US20120104477A1 (en) 2012-05-03
US7642192B2 (en) 2010-01-05
KR20060101419A (ko) 2006-09-25
KR100652853B1 (ko) 2006-12-04
EP1615265A1 (en) 2006-01-11
KR20060047800A (ko) 2006-05-18
JP2006049808A (ja) 2006-02-16
JP4032058B2 (ja) 2008-01-16

Similar Documents

Publication Publication Date Title
TWI310219B (en) Semiconductor device and fabrication method thereof
US7256137B2 (en) Method of forming contact plug on silicide structure
TWI672740B (zh) 用於圖案化的遮罩蝕刻
US6429105B1 (en) Method of manufacturing semiconductor device
JP3523093B2 (ja) 半導体装置およびその製造方法
JP3851752B2 (ja) 半導体装置の製造方法
US7179702B2 (en) Semiconductor device including metal insulator semiconductor field effect transistor and method of manufacturing the same
US20050073051A1 (en) Semiconductor integrated circuit device and manufacturing method thereof
JP2007027680A (ja) 半導体装置の製造方法および半導体装置
KR20080093911A (ko) 반도체 디바이스 및 그 제조 방법
JPWO2005112089A1 (ja) 半導体装置及びその製造方法
JPH08274043A (ja) 半導体装置の製造方法
US20010025972A1 (en) Semiconductor device and method for fabricating the same
US6423593B1 (en) Semiconductor integrated circuit device and process for manufacturing the same
JP2007095872A (ja) 半導体装置の製造方法
JP2007234667A (ja) 半導体装置の製造方法
KR100241200B1 (ko) 반도체장치 및 그 제조방법
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
JP2005093816A (ja) 半導体装置の製造方法および半導体装置
KR20030088750A (ko) 저온에서 질화막을 형성하는 고집적 디바이스의 제조 방법
KR20070035362A (ko) 반도체 소자 및 그 제조방법
JP2012079792A (ja) 半導体装置の製造方法
JP2008258635A (ja) 半導体装置
JP2004356439A (ja) 半導体装置
JP2007324230A (ja) 半導体装置及び半導体装置の製造方法