TW506004B - Plasma processing method and apparatus - Google Patents

Plasma processing method and apparatus Download PDF

Info

Publication number
TW506004B
TW506004B TW089108609A TW89108609A TW506004B TW 506004 B TW506004 B TW 506004B TW 089108609 A TW089108609 A TW 089108609A TW 89108609 A TW89108609 A TW 89108609A TW 506004 B TW506004 B TW 506004B
Authority
TW
Taiwan
Prior art keywords
antenna
reaction chamber
vacuum reaction
center
frequency power
Prior art date
Application number
TW089108609A
Other languages
English (en)
Inventor
Tomohiro Okumura
Masaki Suzuki
Takuya Matsui
Original Assignee
Matsushita Electric Ind Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Ind Co Ltd filed Critical Matsushita Electric Ind Co Ltd
Application granted granted Critical
Publication of TW506004B publication Critical patent/TW506004B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

506004 五、發明説明(i) 發明之背景 本發明係有關-種電漿加工方法,諸如乾式蝕刻、 滅渡以及電衆CVD,和為此之裝置,以被使用於半導體 或其他電子元件以及微機械之製造。更特別地,本發明係 有關用於以特高頻(VHF)或超高頻(UHF)帶之高頻率的電 源所激勵之用途的電漿加工方法與裝置。 而日本公開專利申請案第8-83696號敘述為了迎合半 導體或其他電子元件之微結構的趨勢,高-密度電漿之使 用為重要的,低電子溫度電漿最近已經由於其高電子密度 以及低電子溫度受到注意。 在一具有高負性的氣體被形成電漿的情況下,即, 一趨向去產生負離子的氣體,諸如Cl2以及Sf6,當該電子 溫度成為約3 eV或更低時,比起具較高電子溫度,產生更 大量的負離子。利用此現象使其可去防止當正電荷由於正 離子的過度的射入被積聚於微型圖案的底部時,可能發生 蚀刻架構異常,所謂的凹口。此允許極端的微型圖案之触 刻以高度精確性被達成。 經濟部智慧財產局員工消費合作社印製 又’在一含有碳以及氟之氣體被形成電漿的情況下, 諸如CxFy或CxHyFz (X、y及z為自然數),其係通常被使 用作為絕緣膜之蝕刻,諸如氧化矽膜。當該電子溫度成為 約3 eV或更低時,比起具較高電子溫度,更加抑制氣體游 離,尤其,抑制F原子、F原子團以及此類之物的產生。 因為F原子、F原子團以及此類之物在矽蝕刻的速率較高, ^ -- ____ -4- 本紙張尺度適用中關家標準(CNS ) M規格(21GX297公褒) 506004 A7 B7 五、發明説明( 經- :濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 絕緣膜蝕刻可在對矽蝕刻較大選擇比例下被進行,具較低 電子溫度下比例更高^ 又,當該電子溫度成為約3 eV或更低時,離子溫度 以及電漿電位亦更低,以至於對在電漿CVD中之基體的 離子損害可以被降低。 使用VHF或UHF帶之高頻率的電源的電漿源,現今受 到注意作為一能夠產生具有低電子溫度之電漿的技術。 第10圖為一雙頻激勵平行板類型電漿加工裝置的截 面圖。參照第10圖,當一真空反應室1的内部係藉由引入 一特定的氣體從一氣體供應裝置2至該真空反應室1内且同 時藉由一汞3作為一抽氣裝置進行抽氣被維持到一特定的 壓力時,一 100 MHz之高頻率的電源係藉由一反向電極用 高頻率的電源供應器16被供應至一反向電極17。然後,在 該真空反應室1内產生電漿,在那裡電漿加工(諸如蝕刻、 沈積以及表面重新形成)可在一被放置在基體電極6上之基 體7上被進行。既然這樣,如在第1〇圖中所示的,亦藉由 一基體-電極用高頻率的電源供應器8供應高頻率的電源至 該基體電極6,延伸到該基體7之離子能量可被控制。另外, 該反向電極17係藉由一絕緣環18從該真空反應室1被絕 緣。 然而’已經得知在第10圖中所示之傳統方法在電漿 均一性之獲得上有困難。 第11圖顯示在第10圖電漿加工裝置中之該基體7正上 方20 mm的位置測量離子飽和電流密度的結果。用以電漿
m 1— — m ml _ 批衣-- (請先閱讀背面之注意事項再填寫本頁) 訂 • - - I............ 1----*-一 ................... · J06004 A7 ___B7_ 五、發明説明(3 ) (請先閲讀背面之注意事項再填寫本頁) 產生之條件為氣體類型以及Cl2 = 100 seem之氣體流速、1.5 Pa之壓力以及2 kW之高頻率的電源。從第11圖中可了解 到電漿係被偏差至一邊。 此電漿的非均一性係為一種不能以50 MHz或更少高 頻率的電源之頻率所看見的現象。而需要使用50 MHz或 更高高頻率的電源以降低在此頻率帶中所生成之電漿的電 子溫度,不但電漿係藉由反向電極被產生以及該電漿為電 容性地或電感性地被彼此耦合的優點,而且電漿係藉由在 電漿表面傳播之電磁波被產生的優點,其中該電磁波係從 該反向電極被幅射。在此頻率帶中,因為反向電極以及電 磁波波長的大小係彼此接近,大多數模式係被容許被呈現 作為一在該反向電極中所產生的電磁分配,使得一偏差的 電磁分配在該反向電極上發生。因此,可看做該反向電極 之電容性或電感性耦合以及所偏差的電漿造成從該反向電 極被幅射的電磁波亦被偏差,使得電漿係被偏差。 由習知技術的問題來看,本發明之一目的係提供能 夠產生均一電漿之電漿加工方法以及裝置。 經濟部智慧財產局員工消費合作社印製 發明之概要說明 在完成這些以及其他態樣中,根據本發明的第一個 態樣係提供一種電漿加工方法,該方法包括·· 藉由引入氣體至一真空反應室内且抽空該真空反應 室之内部,控制該真空反應室的内部到一特定的壓力; 在該天線之大致的中心與該真空反應室係彼此被短 路之情況下,供應一具有50 MHz至3 GHz頻率之高頻率的 _;__ -6-_ 本紙張尺度適用中關家標準(CNS ) A4規格(2獻297公釐)' --- 观004 A7 B7 五、發明説明() 4 、經濟部智慧財產局員工消費合作社印製 電源至一天線(5、5A、5B、5C、5D、5E)的一個非該天線 的中心以及周圍的部位,且而設置於該真空反應室内相對 於一基體(7)之該天線,同時該真空反應室之内部被控制 至該特定的壓力;以及 在該真空反應室内產生電漿以及在真空反應室内加 工被放置於一基體電極(6)之基體。 根據本發明之第二個態樣係提供一種如該第一個態 樣之電漿加工方法,其中具有50 MHz至3 GHz頻率之高頻 率的電源係經由被設於該介電材料的一個非該天線的中心 以及周圍的部位之一貫穿的孔被供應至該天線,加上被設 於該真空反應室内之天線以及加上一被夾在該天線與該真 空反應室之間的介電材料,在一個狀態下,其中該天線以 及該真空反應室係經由被設於該介電材料之大致的中心的 一貫穿的孔彼此被短路。 根據本發明之第三個態樣,其係提供一種如第一個 態樣之電漿加工方法,其中具有相同相位之高頻率的電源 係被供應至天線的數個部位,該等部位係大致的等距離的 圍繞該天線之中心被隔開,以便更確實獲得電漿的均一 性。 根據本發明之第四個態樣,其係提供一種電漿加工 方法,該方法包括·· 藉由引入氣體至一真空反應室内且抽空該真空反應 室之内部,控制該真空反應室的内部到一特定的壓力; 本紙張尺度適用中國國家榡準(CNS ) A4規格(21〇x297公釐) (請先閲讀背面之注意事項再填寫本頁) •裝- 訂 線 506004 A7
------L 五、發明説明(5) ^ Φ.1 (請先閱讀背面之注意事項再填寫本頁) 在該天線之一個非該天線的中心以及周圍的部位與 該真工反應至係彼此被短路之情況下,供應一具有% mhz 至3 GHz頻率之高頻率的電源至一天線之大致的中心,且 而设置於該真空反應室内相對於一基體(7)的該天線,同 時該真空反應室之内部係被控制到該特定的壓力;以及 在該真空反應室内產生電漿以及在真空反應室内加 工被放置於一基體電極(6)之基體。 根據本發明之第五個態樣,其係提供一種如第四個 態樣之電漿加工方法,其中具被設於在該真空反應室内之 天線且具一被夾在該天線與該真空反應室之間的介電材 料’該高頻率的電源係經由被設於該介電材料的大致的中 心之一貫穿的孔被供應至該天線,在一個狀態下,其中該 天線以及該真空反應室係經由被設於該介電材料的一個非 該天線的中心以及周圍的部位之一貫穿的孔彼此被短路。 線一 經濟部智慧財產局員工消費合作社印製 根據本發明之第六個態樣,其係提供一種如第四個 態樣之電漿加工方法,其中具有50 MHz至3 GHz頻率之高 頻率的電源係被供應至該天線之大致的中心,於一個狀態 下’其中該天線的數個非該天線的中心以及周圍的部位與 該真空反應室係彼此被短路,其中該數個部位係大致的等 距離的圍繞該天線之中心被隔開,以便更確實獲得電漿的 均一性。 根據本發明之第七個態樣,其係提供一種如第一個 態樣之電漿加工方法,其中具有50 MHz至3 GHz頻率之高 本紙張尺度適用中國國家標準(CNS ) A4· ( 210X297^ ) 506004 A7
請 先 閲 讀 背 面 之 注 意 事 項 再 填 養 訂 線 506004 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 f 合 作 社 印 製 五、發明説明(7) 根據本發明之第十二個態樣,其係提供一種電聚加 工裝置,該裝置包括: 一個真空反應室; 一種用以供應氣體至該真空反應室内之氣體供應裝 置; 一種用以抽空該真空反應室之内部的抽氣裝置; 一個用以該真空反應室之内部上方放置有一基體之 基體電極; 一相對於該基體電極被設有的天線;以及 一種用以供應一具有50 MHz至3 GHz頻率之高頻率 的電源至該天線之高頻率的電源供應器, 其中該天線之大致的中心以及該真空反應室係彼此 被短路,且該高頻率的電源係被供應至該天線的一個非該 天線的中心以及周圍的部位。 根據本發明之第十二個態樣’其係提供一種如第十 二個態樣之電漿加工裝置,該裝置進一步包括:一被灸在 該天線與該真空反應室中間的介電材料, 其中具被設置於該真空反應室内部的天線,該天線 與該真空反應室係藉由一穿過被設置於該介電材料大致的 中心之孔彼此被短路,且該高頻率的電源係藉由一穿過被 設置於該介電材料的一個非該介電材料的中心與周圍的部 位之孔被供應至該天線。 根據本發明之第十四個態樣,其係提供一種如第十 二個態樣之電漿加工裝置,其中該高頻率的電源係被供應 I I—IT^t~ (請先閲讀背面之注意事項再填寫本頁}
、發明説明() 8 至該天線之數個部位,被供應以該高頻率的電源之該天線 的該等部位係以大致的等距離的圍繞該天線之中心被隔 開’且具有相同相位的高頻率的電源係被供應至該高頻率 的電源所要被供應的該等個別的部位。 根據本發明之第十五個態樣,其係提供一種如第十 四個態樣之電漿加工裝置,其中該天線之尺寸、該介電材 料之介電常數以及用來使該天線與該真空反應室彼此被短 路之導體的厚度係如此被設計使得TMw模式之電磁分配 被給予該天線。 根據本發明之第十六個態樣,其係提供一種電漿加 工裝置,其包括: 一真空反應室; 一用以供應氣體至該真空反應室内之氣體供應裝 置; 一用以抽空該真空反應室内部之抽氣裝置; 一用以在該真空反應室内放置一基體於上方之基體 電極; 一設有相對於該基體電極之天線;以及 一用以供應具有50 MHz至3 GHz頻率之高頻率的電 源至該天線之高頻率的電源供應器, 其中該天線非為中心以及周圍之部位與該真空反靡 室係彼此被短路,且該高頻率的電源係被供應至該天線之 大致的中心。 506004 A7 ___ B7 五、發明説明()9 根據本發明之第十七個態樣,其係提供一種如第十 六個態樣之電漿加工裝置,其進一步包括一被夾在該天線 與該真空反應室中間的介電材料, 其中具設於該真空反應室内部之天線,高頻率的電 源係藉由一穿過被設置於該介電材料大致的中心之孔被供 應至該天線,且該天線與該真空反應室係藉由一穿過被設 置於該介電材料的一個非該介電材料的中心與周圍的部位 之孔彼此被短路。 根據本發明之第十八個態樣,其係提供一種如第十 六個態樣之電漿加工裝置,其中該真空反應室係在該天線 之數個部位被短路,在該天線之該等部位,該真空反應室 係環繞該天線5的中心被等距離的隔開而被短路。 根據本發明之第十九個態樣,其係提供一種如第十 七個態樣之電漿加工裝置’其中使該焉頻率的電源係被供 應至該天線之該天線的尺寸、該介電材料之介電常數以及 導體的厚度係如此被设什使传TMG1模式之電磁分配被給 予該天線。 根據本發明之第二十個態樣,其係提供一種如第十 二個態樣之電漿加工裝置’其中該天線之表面係以一絕緣 的遮蓋物所覆蓋。 根據本發明之第二十一個態樣,其係提供一種如第 十二個態樣之電漿加工裝置’其中一環狀的與嵌壁式的電 漿陷阱係被設置於該天線與該真空反應室之間。 -12- ^氏張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 霉 ' (請先閲讀背面之注意事項再填寫本頁) •場· 經濟部智慧財產局員工消費合作社印製 506004 A7 B7 -經濟部智慧財產局員工消費合作社印製 五、發明説明( 根據本發明之第二十二個態樣,其係提供一種如第 十二個態樣之電漿加工裝置,其中該天線係被形成一遠離 該基體之外凸的圓蓋形。 根據本發明之第二十三個態樣,其係提供一種如第 十二個態樣之電漿加工裝置,其中該天線係被形成一朝向 該基體之外凸的圓蓋形。 根據本發明之第二十四個態樣,其係提供一種如第 十二個態樣之電漿加工裝置,其中狹長孔係從該天線之周 圍朝向中心被設置。 根據本發明之第二十五個態樣,其係提供一種如第 十二個態樣之電漿加工裝置,其中既未設置一線圈也未設 置一永久磁鐵來施加一DC磁場至該真空反應室内。 根據本發明之第二十六個態樣,其係提供一種如第 十二個態樣之電漿加工裝置,其中該天線係呈平板形狀。 根據本發明之第二十七個態樣,其係提供一種電漿 加工裝置,其包括: 一真空反應室; 一用以供應氣體至該真空反應室内之氣體供應裝 置; 一用以抽空該真空反應室内部之抽氣裝置; 一用以於該真空反應室内部上方放置有一基體之基 體電極; 一設有相對於該基體電極的天線;以及 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------^------1T------^ (請先閲讀背面之注意事項再填寫本頁) 506004 A7 B7 11 五、發明説明( 一用以供應一具有50 MHz至3 GHz頻率之高頻率的 電源至該天線高頻率的電源供應器, 其中該天線的面積係小於該基體的面積。 圖式之簡要說明 本發明的上述和其他態樣以及特徵從下列所採與較 佳的實施例有關的敘述參照隨文所附的圖式將易於瞭解, 其中: 第1圖為一顯不一被應用於本發明第一個實施例之電 漿加工裝置構造之截面圖; 第2圖為一被應用於本發明第一個實施例之天線的平 面圖; 第3圖為一顯示一 TM01模式的電磁分配之平面圖; 第4圖為一顯示在本發明第一個實施例中離子飽和電 流密度之測量結果的圖表; 第5圖為一被應用於本發明第二個實施例之電漿加工 裝置構造之截面圖; 經濟部智慧財產局員工消費合作社印製 第6圖為一被應用於本發明第三個實施例之電漿加工 裝置構造之截面圖; 第7圖為一被應用於本發明第四個實施例之電漿加工 裝置構造之截面圖; 第8圖為一被應用於本發明第五個實施例之電漿加工 裝置構造之截面圖; 第9圖為一被應用於本發明第六個實施例之天線的平 面圖; _ -14· 本紙張尺度適用中國國家檬準(CNS ) M規格(21〇x297公釐> .經濟部智慧財產局員工消費合作社印製 506004 A7 _____B7 _ 五、發明説明() 12 第10圖為一被應用於習知技術例示中之電漿加工裝 置構造之截面圖; 第11圖為一顯示在習知技術例示中離子飽和電流密 度之測量結果的圖表; 第12圖為一被應用於本發明第六個實施例的第一種 變型之天線的平面圖; 第13圖為一被應用於本發明第六個實施例之第二種 ί 變型之天線的平面圖;以及 第14圖為一被應用於本發明第六個實施例之第三種 變型之天線的平面圖。 較佳的實施例之詳細說明 在本發明的說明開始之前,指明遍及於該等隨文所附 的圖式之類似的部件係藉由類似的參考數字被標出。 參照第1至4圖說明,本發明之第一個實施例係如下。 第1圖顯示一被應用於本發明第一個實施例之電漿加 ^ 工裝置。參照第1圖,當一真空反應室1之内部藉由從一氣 體供應裝置2引入一特定的氣體至該真空反應室1内且同時 地藉由一作為一抽氣裝置之汞3進行抽氣以被維持至一特 定的壓力之時,一 100 MHz高頻率的電源係被供應至一 藉由一天線用高頻率的電源供應器4被設置於該真空反應 室1内之天線5。然後,電漿係在該真空反應室1内被產生, 在該真空反應室1内電漿加工(諸如蝕刻、沈積以及重新形 成)可在放置於一基體電極6上之一基體7上被實行。亦設 置用以供應高頻率的電源至該基體電極6之一基體-電極用 _______·15- _____ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) , I^, 訂 I ^—線 f請先閲讀背面之注意事一?再填寫本頁j 506004 A7 B7_ 五、發明説明() 13 (請先閲讀背面之注意事項再填寫本頁) 高頻率的電源供應器8,以便到達該基體7之離子能量可以 被收集。饋送至該天線5之高頻率的電源係藉由一分配器9 所分配,以便具有相同相位的電力係被供給至該天線5的 數個非該天線5的中心以及靠近饋送銷10的周圍的部位。 又,該天線5之大致的中心以及相對於該基體7之該真空反 應室1的一表面la係藉由一短銷11被短路。一介電材料12 係被夾在該天線5以及該真空反應室1中間,且該饋送銷1〇 使該天線5以及該分配器9彼此連接,且該短銷11使該天線 5以及相對該基體之該真空反應室1的表面ia彼此連接❶該 饋送銷10以及該短銷11係穿過被設置於該介電材料12中之 孔12b以及12a分別地被***。再者,該天線5之表面係被 覆蓋以一絕緣的遮蓋物13。如在第1圖中所示,該天線5的 面積係小於該基體7的面積。 第2圖顯示該天線5之一平面圖。如在第2圖中所示, 在三處設有該等饋送銷1〇,該等銷1〇環繞該天線5的中心 被等距離的隔開以便更確實獲得電漿的均一性。 經濟部智慧財產局員工消費合作社印製 該天線5的尺寸、該介電材料12之介電常數以及該短 銷11的厚度被設計成使得TMG1模式之一電磁分配被給予 該天線5。而供給TM1G模式之一電磁分配至該天線5的該 天線5之一尺寸、該介電材料12之一介電常數以及該短銷i i 之一厚度有無數的組合,例如設定該天線5的直徑到235 mm、該短銷11的直徑到23 · 5 mm以及該介電材料12的介 電常數到20允許一 TM1G模式之電磁分配被給予該天線5。 ^紙張尺度適用中國國家標準(CNS) A4· ( 21GX297公幻 -- -經濟部智慧財產局員工消費合作社印製 506004 A7 B7 五、發明説明() 14 另外’如在第3圖中所示,該7“10模式之電磁分配在該天 線5中心的周圍具有一對稱的分配。 第4圖顯示離子飽和電流密度在一正好在該基體7之上 20 mm之位置的測量結果。用以電漿產生之條件為氣體種 類以及C12之氣體流量=1〇〇 seem、L5 Pa之大氣壓力以 及2 kW之高頻率的電源。從第4圖可知均一電漿係被產生 而無如在第11圖中所見如此的電漿偏差。 ® 與如在習知技術例示之第10圖中所示之電漿加工裝置 比較為何電漿均一性如此被改善的原因為TM10模式之一 電磁分配被給予該天線5。那就是,該天線5與該電漿之電 容性或電感性耦合係在該天線5中心的周圍集中的產生, 且電磁波係相對於該天線5之中心對稱地被發射。 雖然’本發明的第一個實施例於上文關於三個饋送銷 10係被使用以供給該電源至該天線5的情形被描述,亦允 許設有一個饋送銷10。然而,希望可設有數個饋送銷1〇來 I 抑制不必要的非TM1G模式之電磁分配。 然後,關於第5圖係描述本發明的第二個實施例。 第5圖顯示被應用於本發明第二個實施例之電漿加工 裝置的截面圖。參照第5圖,當一真空反應室1之内部藉由 從一氣體供應裝置2引入一特定的氣體至該真空反應室1内 且同時地藉由一作為一抽氣裝置之汞3進行抽氣係被維持 至一特定的壓力時,一 100 MHz高頻率的電源係被供應至 一藉由一天線用高頻率的電源供應器4被設置於該真空反 應室1内之天線5。然後,電漿係在該真空反應室1内被產 --—----17- _ 本紙張尺度適用中國國家標準(CNS )A4規格(2ι〇χ297公釐) ----1------1T-----1^ (請先閱讀背面之注意事項再填寫本頁) 506004 A7 _B7__ 五、發明説明(ι5) (請先閲讀背面之注意事項再填寫本頁) 生,在該真空反應室1内電漿加工(諸如蝕刻、沈積以及重 新形成)可在放置於一基體電極6上之一基體7上被實行。 此外,設有用以供應高頻率的電源至該基體電極6之一基 體-電極用高頻率的電源供應器8,以便到達該基體7之離 子能量可以被收集。被供給至該天線5之高頻率的電源係 藉由一饋送銷10A被供給至在該天線5中心的附近。又, 該天線5的數個非該天線5的中心以及周圍的部位,與相對 於該基體7之該真空反應室1的一表面la係藉由一短銷ha 被短路。一介電材料12係被夾在該天線5以及該真空反應 室1中間。該饋送銷10A使該天線5以及該天線用高頻率的 電源供應器4彼此連接,且該短銷11A使該天線5以及相對 該基體之該真空反應室1的表面la彼此連接。該饋送銷i〇A 以及該等短銷11A係穿過被設置於該介電材料12中之孔 12d以及12c分別地被***。再者,該天線5之表面係被覆 蓋以一絕緣的遮蓋物13。 經濟部智慧財產局員工消費合作社印製 該天線5之平面圖係類似於第2圖,但是介於該饋送銷 以及該短銷之間的位置關係為相反的。那就是,該等短銷 11A係被設置於三處,該等短銷11A環繞該天線5的中心被 等距離的隔開以便更確實獲得電漿的均一性。 該天線5的尺寸、該介電材料12之介電常數以及該饋 送銷10A的厚度為被設計成使得TMw模式之一電磁分配被 給予該天線5。而供給TM1()模式之一電磁分配至該天線5 的一該天線5之尺寸、一該介電材料12之介電常數以及一 該饋送銷10之厚度有無數種組合,例如設定該天線5的直 本紙張尺度it财關家標準(CNS ) A4· ( 210 X297“ ) "— ------ 506004 A7 B7 -經濟部智慧財產局員工消費合作社印製 五、發明説明( 徑到235 mm、該饋送銷10的直徑到23.5 mm、該介電材料 12的介電常數到20以及該短銷11的直徑到5 mm允許一 TMi❹模式之電磁分配被給予該天線5。 又,在本發明的第二個實施例,能獲得如在第4圖中 之均一離子飽和電流密度而無任何偏差。 與如在習知技術例示之第10圖中所示之電漿加工裝置 比較為何電漿均一性如此被改善的原因為TM1()模式之一 電磁分配被給予該天線5。那就是,該天線5與該電漿之電 容性或電感性耦合係在該天線5中心的周圍集中的產生, 且電磁波係相對於該天線5之中心對稱地被發射。 雖然,本發明的第二個實施例於上文關於三個短銷i 係被使用予該天線5與該真空反應室1之彼此短路的情形被 描述,亦可能設有一個短銷丨丨。然而,希望可設有數個短 銷11為了抑制不必要的非TM01模式之電磁分配。 僅經由作為許多變化之部分的例示給予如以上所述之 本發明前述的該等實施例,關於真空反應室之架構、天線 之架構及配置、介電材料之架構及配置等等,落入本發明 的適用範疇内。無庸置疑的本發明除了以上所給的例示之 外可被適用於其他不同的方式。 又’本發明之前述的實施例已經於一 1〇〇 MHz之高頻 率的電源係被供應至天線的情形被描述。然而,該頻率並 不限於此且本發明對使用5〇 MHz至3 GHz頻率之電漿加工 方法以及裝置有效。 ----------疼------1T------0 (請先閲讀背面之注意事項再填寫本頁) 506004 A7 B7 五、發明説明() ^ 17 (請先閲讀背面之注意事項再填寫本頁} 又,前述的實施例已經於一介電材料係被夾在天線與 真空反應室之間,且一饋送銷或饋送銷以及一短銷或短銷 經由被設於該介電材料中之一貫穿的孔或貫穿的諸孔使該 天線以及分配器’以及真空反應室,以及該天線用高頻率 的電源供應器之任一者彼此連接。然而,一裝置,其中該 介電材料係由數個分離的部件所組成,一裝置,其中電力 係藉由使用電磁耦合被饋送,以及其他裝置亦有可能。此 等構造亦可被解釋為如在本發明之適用範疇内被包括的。 亦,本發明之前述的實施例已經於該天線之尺寸、該 介電材料之介電常數以及該短銷或該饋送銷之厚度被設計 成使得TM01模式之一電磁分配被給予該天線的情形被描 述。然而,至於在該介電材料之厚度足夠大且該天線之頻 率波段天線為寬之處,不需要去做一嚴厲的設計以便激勵 該TMG1模式。那就是,差異很大的數值,像該天線之尺 寸、該介電材料之介電常數以及該短銷或該饋送銷之厚 度,係被允許的。 經濟部智慧財產局員工消費合作社印製 又’本發明之前述的實施例已經於該天線之表面係以 一絕緣的遮蓋物所覆蓋的情形被描述。然而,該絕緣的遮 蓋物可被省略。不過,因為不具絕緣的遮蓋物之一可能性, 由於構成該天線物質可能出現諸如基體之污染的問題,最 好’設有一絕緣的遮蓋物為針對易受污染的處理所設置 的。再者’因為不具該絕緣的遮蓋物之該天線電容性耦合 與該電漿的比例增加,以至於在該基體中央部分之該電漿 密度有增加的傾向,有某些取決於所使用的氣體種類以及 I紙張尺度適用中國國家標準(CNS )从祕(21Gx297公餐) —— •經濟部智慧財產局員工消費合作社印製 506004 A7 ____ B7 五、發明説明() 18 餐 氣體壓力不具絕緣的遮蓋物者比具絕緣的遮蓋物者可獲得 更均一的電漿分配之情形。 又,本發明之前述的實施例已經於未有藉由固體表面 所圍繞的間隔存在於該天線的附近的情形被描述。然而, 如於第6圖中所示之本發明第三個實施例中,一環狀的與 嵌壁式的電漿陷辨14可被設置於該天線5與該真空反應室1 之間。在此一構造中,因為從該天線5發射出之電磁波係 ,藉由該電漿陷牌14被增強’且因為空心陰極放電為易於在 低電子溫度發生,其變得更簡單以該藉由固體表面所圍繞 的電漿陷阱14來產生高-密度電漿(空心陰極放電)。因此, 在該真空反應室1内,該電漿密度在電漿陷阱14變得最高, 且該電漿係藉由擴散被輸送達到在該基體7的附近,藉此 可獲得更均一的電漿。 又,本發明之前述的實施例已經於該天線係呈平板形 狀的情形被描述。然而,如在本發明第7圖中所示之第四 _ 個實施例,一天線5D可被塑造成一遠離基體7之外凸的圓 蓋形,且具分別地與該介電材料12以及該絕緣的遮蓋物13 相對應的一介電材料12B以及一絕緣的遮蓋物13B。反而, 如在本發明第8圖中所示之第五個實施例,一天線5E可被 塑成一朝向基體7之外凸的圓蓋形,且具分別地與該介電 材料12以及該絕緣的遮蓋物13相對應的一介電材料12C以 及一絕緣的遮蓋物13C。 根據第四個實施例,當等離子體團係局部地於氣體 内,諸如Ar,氦、氙,被產生時,其中該氣體傾向移動電 ------- -^_ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) ----------装------1Τ-----1^ (請先閲讀背面之注意事項再填寫本頁) 506004 A7 B7__ 五、發明説明() 19 (請先閲讀背面之注意事項再填寫本頁) 漿至正好在該天線5D下之間隔外,該等離子體團可被容 納於一正好在該凸狀天線5D下之間隔内,以便不移動至 該正好在該天線5D下之間隔外,以至於電漿可被容納於 該天線5D與該基體7之間。較佳地,該凸狀天線的深度為 該天線直徑的10-30%,即,假設該天線周圍邊緣的距離 為g2且該天線中心的距離為gl , —比例(g2/gl)為從0.7至 0.9。較隹地,該天線之凸狀表面為一成曲線的表面,諸 如球面的或非球面的。 經濟部智慧財產局員工消費合作社印製 又,本發明之前述的實施例已經於無狹長孔被設於該 天線内的情形被描述。然而,如在本發明第9圖之第六個 實施例中,三個狹長孔15可從天線5之周圍朝向該天線5之 中心被設置。三個狹長孔15係等距離的圍繞天線5F之中 心被隔開,加上各個狹長孔15位於該等鄰近的饋送銷10之 間,以便更確實獲得電漿的均一性。如在第3圖中所示, 因為TM01模式之電流對稱地在天線5中心的附近流動,該 電流係不被該等狹長孔15所阻擋。另一方面,狹長孔15具 有一阻擋在模式上之電流的效應,其中一非對稱之電流在 天線5中心的附近流動,狹長孔15在抑制此等模式上為有 效的。狹長孔之數量並不被限制至三個,而是可為二個或 四個或更多。例如,如在第12圖中所示,二個狹長孔15 A 係從天線5A之周圍朝向該天線5A之中心被設置。或者, 如在第13圖中所示,各個區域具有三個楔形的狹長孔15C 之三組狹長孔區域係從天線5C之周圍朝向該天線5C之中 心被設置。此外,各個狹長孔可以一孔製成而代替該從天 _—_—---— -07- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公着) 506004 五、發明説明(,) 線之周圍邊緣被切割的狹長孔。例如,如在第14圖中所示, 三個狹長孔15B係從天線5B之周圍朝向該天線沾之中心被 設置。假設該等狹長孔之總面積為S1且該天線之總面積為 S,較佳的,符合下列方程式:〇<Si<(s/1〇) β又,較佳 的’各個狹長孔具有相同的面積且狹長孔之長度為半徑的 一半或更多。 裝 訂 又,本發明之前述的實施例已經於無DC磁場存在於 真空反應室之内的情形被描述,例如,即,既無一線圈也 無一永久磁鐵係針對施加一 DC磁場至真空反應室内被設 置的。然而,本發明亦對無如此大的DC磁場以允許高頻 率的電源穿過至電漿内的情形為有效的,例如,一數十個 高斯大的DC磁場係被使用以改進可燃性的情形。但是, 本發明特別對於真空反應室内無DC磁場存在的情形為有 效的。 如從以上的敘述明顯的,根據本發明的第一個態樣, 提供一種於一真空反應室内用以產生電漿以及於該真空反 應室内用以加工一被安置於一基體電極上的基體之電漿加 工方法,該電漿藉由供應一具有50 MHz至3 GHz頻率之高 頻率的電源至一相對於該基體被設有之天線被產生,當該 真空反應室之内部係藉由引入一氣體至該真空反應室内 且,同時地,又抽空該真空反應室之内部被控制至一特定 的壓力時’其中該基體係被加工,而該天線之大致的中心 與該真空反應室係彼此被短路,且同時該高頻率的電源係 被饋送至該天線的一個部位而非該天線的中心以及周圍。 $氏張尺度適家標準(CNS ) Α4· (21〇χ肺釐 506004 A7 B7 經濟部智慧財產局員工消費合作社印製 本紙張尺度適财國國家標準(CNS ) M規格(21()χ29·ν>Α ) 五、發明説明() 21 因此’藉由此電漿加工方法,可產生均-電漿,以至於該 基體可均一地被加工。 又,根據本發明的第二個態樣,提供一種電漿加工方 法,其用於在一真空反應室内產生電漿以及在該真空反應 室内加工一被放置於一基體電極上之基體,該電漿藉由供 應一具有50 MHz至3 GHz頻率之高頻率的電源至一相對於 該基體被設有之天線被產生,當該真空反應室之内部係藉 由引入一氣體至該真空反應室内且,同時地,又抽空該真 空反應室之内部被控制至一特定的壓力時,其中該基體係 被加工,而該天線的一個部位而非該天線的中心以及周圍 與該真空反應室係彼此被短路,且同時該高頻率的電源係 被饋送至該天線之大致的中心。因此,藉由此電漿加工方 法,可產生均一電漿,以至於該基體可均一地被加工。 又,根據本發明的第三個態樣,提供一種電漿加工裝 置,其包含··一真空反應室;一用以供應氣體至該真空反 應室之氣體供應裝置;一用以抽空該真空反應室内部之抽 氣裝置;一用以於該真空反應室内上放置有一基體之基體 電極;一設有相對於該基體電極的天線;以及一用以供應 一具有50 MHz至3 GHz頻率之高頻率的電源至該天線高頻 率的電源供應器,其中該天線之大致的中心與該真空反應 室係彼此被短路,且該高頻率的電源係被饋送至該天線的 一個部位而非該天線的中心以及周圍。因此,藉由此電漿 加工裝置,可產生均一電漿,以至於該基體可均一地被加 工〇 I— I I I I n I I n I n — 訂— — I I I I 線 ~ (請先閲讀背面之注意事項再填寫本頁) 506004 A7 """" η ........................ Β7 ____ 五、發明説明() 22 又’根據本發明的第四個態樣,提供一種電漿加工裝 置’其包含:一真空反應室;一用以供應氣體至該真空反 應室之氣體供應裝置;一用以抽空該真空反應室内部之抽 氣裝置;一用以於該真空反應室内上放置有一基體之基體 電極;一相對於該基體電極被設有的天線;以及一用以供 應一具有50 MHz至3 GHz頻率之高頻率的電源至該天線之 高頻率的電源供應器,其中該天線的一個部位而非該天線 ® 的中心以及周圍與該真空反應室係彼此被短路,且該高頻 率的電源係被饋送至該天線之大致的中心。因此,藉由此 電漿加工裝置,可產生均一電漿,以至於該基體可均一地 被加工。 僅管,本發明已經聯於本發明較佳的實施例參照隨文 所附之圖式被充分的描述,注意,不同的變化及變型對熟 習此項技藝者為顯而易見的。此等變化及變型如藉由隨文 所附的申請專利範圍所界定的係被包括於本發明之範· .内,除非該等變化及變型背離本發明之範疇。 I---------疼------’玎------^ (請先閱讀背面之注意事項再填寫本頁) *經濟部智慧財產局員工消費合作社印製 準 標 家 國 國 中 用 適 度 尺;錄 I本 釐 公 7 29

Claims (1)

  1. 506004 六、申請專利範圍 第89108609號專利申請案申請專利範圍修正本 • 一 修正日期·· .91年2月 (請先閲讀背面之注意事項再填寫本頁) 1. 一種電漿加工方法,其包含: 藉由引入氣體至一真空反應室内以及抽空該真空 反應室之内部,以控制該真空反應室(1)的内部到一特 定的壓力; 在一天線之大致為中心之處與該真空反應室彼此 間為短路之情況下,供應一具有50 MHz至3 GHz頻 率之高頻率的電源至一天線(5、5A、5B、5C、5D、5E) 的一個部位,該部位不是該天線的中心以及周圍,且 該天線於該真空反應室内相對於一基體(7)而設置,同 時該真空反應室之内部係被控制至該特定的壓力;以 及 在該真空反應室内產生電漿,及在真空反應室内 加工被放置於一基體電極(6)上之該基體。 .· 2. 如申請專利範圍第1項之電漿加工方法,其中,藉由一 被設置於一介電材料之大致為中心之處的穿孔(12a), 一天線與該真空反應室彼此間為短路之情況下,該具 有50 MHz至3 GHz頻率之高頻率的電源,係經由一 穿孔(12b)被供應至該天線,該孔(12b)被設置於該介 電材料的一個部位,該部位不是該介電材料的中心與 周圍,而該天線設置於該真空反應室内,且一介電材 料(12)被夾在該天線與該真空反應室中間。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) wchjU4 _ A8 B8 C8 D8 、申請專利範圍 3·如申請專利範圍第1項之電漿加工方法,其中該具有相 •同相位之高頻率的電源,係艰供應至該天绛之數個部 位,該等部位係圍繞該天線之中心且大致以相等距離 隔開,以便更確實獲得電漿的均一性。 4 · 一種電聚加工方法,其包括: 藉由引入氣體至一真空反應室内以及抽空該真空 反應室之内部,控制該真空反應室之内部至一特定 的壓力; 在一天線的一個部位與該真空反應室彼此間為短 路’且該部位並非該天線的中心以及周圍的情況下, 供應一具有50 MHz至3 GHz頻率之高頻率電源至該 天線(5)之大致為中心之處,而該天線於該真空反應室 内相對於一基體(7)而設置,同時該真空反應室之内部 係被控制到該特定的壓力;以及 在該真空反應室内產生電漿以及在真空反應室内 加工被放置於一基體電極(6)上之基體。 5·如申請專利範圍第4項之電漿加工方法,其中,該天線 設置於該真空反應室内,且一介電材料(12)被夾在該天 線與該真空反應室中間,一穿孔(12c)被設置於一介電 材料之一部位,且該部位並非該介電材料之中心及周 圍,經由該穿孔(12c),該天線與該真空反應室彼此間 為短路之情況下,該高頻率的電源係經由一穿孔(12d) 被供應至該天線,該孔(12d)被設置於該介電材料大致 為中心之處。 ........ ...... 裝 如v -----------線 (請先閲讀背面之注意事項再填寫本頁) 範圍 6·如申請專利㈣第4項之電焚加玉方法,其中,在該天 .線之數個部位與該真空反應i彼此間為短路 ,該等部 位並非該天線之中心及周圍,且係圍繞該天線之中心 以大致相等之距離隔開,該具有50 MHz至3 GHz頻 率之高頻率的電源,係被供應至該天線之大致為中心 之處,以便更確實獲得電漿的均一性。 7.如申請專利範圍第丨項之電漿加工方法,其中該具有5〇 MHz至3 GHz頻率之高頻率的電源係被供應至該天 線,β亥天線具有一表面,該表面為一絕緣的遮蓋物(ι3) 覆蓋。 8·如申凊專利犯圍帛1項之電聚加工方法,其中當該基體 上之電聚分配,受控於設置於該天線與該真空反應室 間之一環狀與嵌壁式的電漿陷阱(14)時,加工該基體。 9·如申請專利範圍第i項之電漿加卫方法,其中該具有% MHz至3 GHz頻率之高頻率的電源係被供應至該天 線,同時,在一模式中之一電流為數個狹長孔(15、 15A、15B、15〇所_,於該模式中電流非對稱於該 天線中心流動,而該等狹長孔(15、15A、l5B、15〇 從該天線之周圍朝向中心設置。 10.如申請專利範圍第丨項之電漿加工方法,其中當該直空 反應室内無DC磁場存在時,於該真空反應室内產Z 該電漿並加工該基體。 11 · 一種電漿加工方法,其包括: 3 506004 A8 B8* C8 D8 申請專利範圍 藉由引入氣體至一真空反應室内以及抽空該真空 •反應室之内部,控制該真空反應室(1)之的内部至一特 定的壓力; 在天線的面積係小於基體之面積的情況下,供應 一具有50 MHz至3 GHz頻率之高頻率的電源至一天 線(5、5A、5B、5C、5D、5E),且該天線於該真空反 應室内相對於一基體(7)而設置,同時該真空反應室之 内部係被控制至該特定壓力;以及 在該真空反應室内產生電漿以及在真空反應室内 加工被放置於一基體電極(6)上之基體。 12.—種電漿加工裝置,其包括: 一真空反應室(1); 一氣體供應裝置(2),其用以供應氣體至該真空反 應室内; 一抽氣裝置(3),其用以抽空該真空反應室内部; 一基體電極(6),其用以在該真空反應室將一基體 (7)放置在該基體電極(6)上; 一天線(5、5A、5B、5C、5D、5E),其相對於該基 體電極而設置;以及 一高頻率的電源供應器(4),其用以供應具有50 MHz至3 GHz頻率之高頻率的電源至該天線,其中該 天線之大致為中心之處與該真空反應室彼此間為短 路,且該高頻率的電源係被供應至該天線之一個部 位,該部位並非該天線之中心以及周圍。 4 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公愛) -----------------------裝-----—------------ΤΓ------------------線 (請先閲讀背面之注意事項再填寫本頁) A8 B8. C8 _ D3___ 、申請專利範圍 13.如申請專利範圍第i2項之電漿加工裝置,其進一步包 •括一被夾在該天線與該真空^反應室中間的介電材料 (12),其中該天線設於該真空反應室内部,經由一穿孔 (12b),該天線與該真空反應室係而彼此間為短路,該 牙孔(12b)被δ又置於介電材料之大致為中心之處,且該 高頻率的電源係藉由一穿孔(12a)被供應至該无線,該 穿孔(12a)被設置於該介電材料的一個部位,而該部位 非該介電材料的中心與周圍。 14·如申請專利範圍第12項之電漿加工裝置,其中該高頻 率的電源係被供應至該天線之數個部位,被供應有該 高頻率的電源之該等部位,係環繞該天線的中心並以 相等之距離隔開,且該具有相同相位之高頻率的電 源,係被供應至要被供應有該高頻率的電源之各個部 位。 15.如申請專利範圍第13項之電漿加工裝置,其中該天線 的尺寸、該介電材料之介電常數以及使該天線與該真 二反應至彼此間為短路之導體的厚度,以可給予該天 線TMG1模式之電磁分配之方式設計。 16·一種電漿加工裝置,其包括: 一真空反應室(1); 一氣體供應裝置(2),其用以供應氣體至該 真空反 應室内; 抽氣裝置(3),其用以抽空該真空反應室内部; % 一適(210X297‘ -———--------—訂---------------費 (請先閲讀背面之注意事項再填寫本頁) _ A8 Β8· C8 D8 申請專利範圍 一基體電極(6),其用以在該真空反應室内放置一 •基體(7)於該基體電極(6)上;一 一天線(5、5A、5B、5C、5D、5E),其相對於該基 體電極設置;以及 一高頻率的電源供應器(4),其用以供應具有5〇 MHz至3 GHz頻率之高頻率的電源至該天線,其中該 天線之一個部位與該真空反應室彼此間為短路,該部 位並非天線之中心以及周圍,且該高頻率的電源係被 供應至該天線之大致為中心之處。 17·如申請專利範圍第16項之電漿加工裝置,其進一步包 括一被夹在該天線與該真空反應室中間的介電材料 (12),其中該天線設於真空反應室内部,該高頻率的電 源係藉由一穿孔(12d)被供應至該天線,該穿孔(12句被 設置於該介電材料之大致為中心之處,且經由一穿孔 (12c),該天線與該真空反應室彼此間為短路,該穿孔 (12c)被設置於該介電材料的一個部位,且該部位並非 5亥介電材料的中心與周圍。 18·如申請專利範圍第16項之電漿加工裝置,其中該真空 反應室在該天線之數個部位為短路的,真空反應室於 該天線之疋要為短路的該等部位,大致上圍繞在該天 線之中心且以相等之距離隔開。 19·如申請專利範圍第17項之電漿加工裝置,其中該天線 的尺寸該介電材料之介電常數以及將該高頻率電源 ..............—.........裝........ (請先閱讀背面之注意事項再填窝本頁) •訂丨. ..........線-
    /、、申請專利範圍 供應至該天線之導體厚度,以可給予該天線TMG1模式 之電磁分配之方式設計。一 20·如申請專利範圍第12項之電漿加工裝置,其中該天線 之表面為一絕緣的遮蓋物(13)所覆蓋。 21.如申請專利範圍第12項之裝置,其中一環狀的與嵌壁 或的電漿陷阱(14)係被設置於該天線與該真空反應室 之間。 22·如申請專利範圍第12項之電漿加工裝置,其中該天線 (5D)係被形成為一遠離該基體之外凸的圓蓋形。 23·如申請專利範圍第12項之電漿加工裝置,其中該天線 (5E)係被形成為一朝向該基體之外凸的圓蓋形。 24. 如申請專利範圍第12項之電漿加工裝置,其中該狹長 孔(15、15A、15B、15C)以從該天線之周圍朝向中心之 方式設置。 25. 如申請專利範圍第a項之電漿加工裝置,其中既未設 置一線圈,也未設置一永久磁鐵,以施加一 DC磁場 至該真空反應室内。 26·如申請專利範圍第12項之電漿加工裝置,其中該天線 係呈平板形狀。 27·—種電漿加工裝置,其包括: 一真空反應室(1); 一氣體供應裝置(2),其用以供應氣體至該真空反 應室内; 一抽氣裝置(3),其用以抽空該真空反應室内部; 7 本—·、度適用中國國家標準(〇^〉人4規格(210\297公愛) (請先閲讀背面之注意事項再填寫本頁) -?η
    8.800 8 A B c D 506004 六、申請專利範圍 一基體電極(6),其放置於在該真空反應室内之一 • 基體(7)上; 一 . 一天線(5、5A、5B、5C、5D、5E),其相對於該基 體電極設置;以及 一高頻率的電源供應器(4),其用以供應一具有50 IvIHz至3 GHz頻率之高頻率的電源至該天線,其中該 天線的面積係小於該基體的面積。 8 —--- .............. ΙίΜί L - -_丨丨丨1 丨丨丨丨"—~ 本鉍蒗尺、度 .都國家標準(CNS) A4規格(210X297公釐) -----------------------裝.......-.........-訂.................·線 (請先閲讀背面之注意事項再填窝本頁)
TW089108609A 1999-05-10 2000-05-05 Plasma processing method and apparatus TW506004B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP12818599A JP3482904B2 (ja) 1999-05-10 1999-05-10 プラズマ処理方法及び装置

Publications (1)

Publication Number Publication Date
TW506004B true TW506004B (en) 2002-10-11

Family

ID=14978551

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089108609A TW506004B (en) 1999-05-10 2000-05-05 Plasma processing method and apparatus

Country Status (4)

Country Link
US (1) US6355573B1 (zh)
JP (1) JP3482904B2 (zh)
KR (1) KR100394484B1 (zh)
TW (1) TW506004B (zh)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
KR100373491B1 (ko) * 2000-06-27 2003-02-25 최대규 플라즈마 건식 가스 세정기
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JP3625197B2 (ja) * 2001-01-18 2005-03-02 東京エレクトロン株式会社 プラズマ装置およびプラズマ生成方法
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
AU2002236273A1 (en) * 2002-03-08 2003-09-22 Tokyo Electron Limited Plasma device
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
JP4329770B2 (ja) * 2006-02-20 2009-09-09 パナソニック株式会社 整合回路、プラズマ処理方法及び装置
WO2008088110A1 (en) * 2007-01-15 2008-07-24 Jehara Corporation Plasma generating apparatus
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
JP2008305736A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびプラズマ処理装置のクリーニング方法
US20090151636A1 (en) * 2007-11-16 2009-06-18 Applied Materials, Inc. Rpsc and rf feedthrough
US20090218315A1 (en) * 2008-02-28 2009-09-03 Steven Shannon Method and system for controlling center-to-edge distribution of species within a plasma
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105390362B (zh) * 2015-10-29 2017-06-23 上海华力微电子有限公司 用于更换压力控制阀上的o型圈的***及方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10923818B2 (en) 2017-09-21 2021-02-16 City University Of Hong Kong Dual-fed dual-frequency hollow dielectric antenna
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109633362A (zh) * 2019-01-30 2019-04-16 努比亚技术有限公司 智能终端设计电路、智能终端及智能终端短路检测方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3105403B2 (ja) 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置

Also Published As

Publication number Publication date
KR20000077195A (ko) 2000-12-26
KR100394484B1 (ko) 2003-08-09
JP3482904B2 (ja) 2004-01-06
JP2000323458A (ja) 2000-11-24
US6355573B1 (en) 2002-03-12

Similar Documents

Publication Publication Date Title
TW506004B (en) Plasma processing method and apparatus
US6346915B1 (en) Plasma processing method and apparatus
JP3905502B2 (ja) 誘導結合プラズマ発生装置
KR100974845B1 (ko) 플라즈마 처리 장치 및 방법
US6433297B1 (en) Plasma processing method and plasma processing apparatus
JP3438696B2 (ja) プラズマ処理方法及び装置
US5767628A (en) Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
TW578449B (en) Plasma generating apparatus having an electrostatic shield
TW507253B (en) Plasma processing apparatus and method thereof
TW516113B (en) Plasma processing device and plasma processing method
TW564574B (en) Method and apparatus for producing uniform process rates
JPH10261621A (ja) プラズマ処理装置
TW201012311A (en) Plasma generating apparatus and plasma processing apparatus
JPH11260596A (ja) プラズマ処理装置及びプラズマ処理方法
US6827870B1 (en) Method and apparatus for etching and deposition using micro-plasmas
US20040231797A1 (en) Apparatus for manufacturing semiconductor device
CN106415776B (zh) 作为全平面源的集成式感应线圈和微波天线
KR100290158B1 (ko) 대면적 평면 안테나를 이용한 플라즈마 가공장치
KR101585891B1 (ko) 혼합형 플라즈마 반응기
JP2000243707A (ja) プラズマ処理方法及び装置
US20010021550A1 (en) Plasma processing method and apparatus
KR100290159B1 (ko) 플라즈마 가공장치 및 플라즈마를 이용한 전자소자 가공방법
JP4223143B2 (ja) プラズマ処理装置
JP3374828B2 (ja) プラズマ処理方法及び装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees