TW320741B - - Google Patents

Download PDF

Info

Publication number
TW320741B
TW320741B TW083110112A TW83110112A TW320741B TW 320741 B TW320741 B TW 320741B TW 083110112 A TW083110112 A TW 083110112A TW 83110112 A TW83110112 A TW 83110112A TW 320741 B TW320741 B TW 320741B
Authority
TW
Taiwan
Prior art keywords
crystal
heat treatment
processed
coating
wafer
Prior art date
Application number
TW083110112A
Other languages
English (en)
Inventor
Hidetami Yaegashi
Original Assignee
Tokyo Electron Co Ltd
Tel Kyushu Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05294479A external-priority patent/JP3118681B2/ja
Priority claimed from JP30096093A external-priority patent/JP3240449B2/ja
Priority claimed from JP30713393A external-priority patent/JP2920462B2/ja
Priority claimed from JP30746893A external-priority patent/JP2984969B2/ja
Priority claimed from JP30746793A external-priority patent/JP3066519B2/ja
Application filed by Tokyo Electron Co Ltd, Tel Kyushu Kk filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW320741B publication Critical patent/TW320741B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)

Description

320741 A7 B7 經濟部中央樣準局員工消費合作社印«. 五、發明説明(1 ) 本發明係有關於一種在被處理酱之表面形成塗膜之基 板處理裝置以及基板處理方法。 一般而言,在半導雔裝置之製造工程中,係利光石印 技術在例如半導髏晶圖(以下簡稱爲晶圓)等之被處理懷 的表面上形成一定的《路圚案。又,近年來隨著半導體元 件之稹镰度的提高,電路圓案,乃.麗見免厥化.,在該..镡多服, 配線構造中,最重要的是要儘可能地減少下屉配線的凹凸 。因此必須要檢肘如何使用於使下層配線與上靨配線之間 彼此成爲絕緣之餍間絕緣膜能夠平坦化的技術。 以往使層間絕緣膜能夠平坦化的方法,則已知有 SOG (Spin On Glass)的方法。賅SOG塗佈方法, 係將由成爲膜之成分的Si (OH) 4等之砂烷醇化合物 與乙醉等之溶媒等混合而成的處理溶液(S OG液)塗佈 在作爲被處理體的晶圓上,而對此實施熱處理令溶媒蒸發 ,保一促進矽烷醇化合物的聚合反應而形成絕緣膜的技術 。具髗地說,首先將晶圃載置在旋轉夾盤上,以旋轉數 2 0 0 0〜6 0 0 0 rpm令晶園旋轉,而令SOG液的 溶液邊滴下到晶圃上而塗佈形成S OG膜。其次則得 SOG膜實施1 0 0〜1 4 0°C的預熱(preheat)處理 ,在讓溶媒蒸發後,則更在大約4 00 °C的溫度下實施熱 處理,而令構成S OG膜的矽烷醇化合物進行矽氧烷結合 而產生聚合。又在將S OG膜形成多層的時候,則可在反 覆地實施將S OG液塗佈在晶園上而令溶媒蒸發的工程後 實施熱處理或是在晶園上塗佈S OG液而讓溶液蒸發後反 請 先 閱 背 Λ •之 •注 意 事 項 再 本紙張尺度逍用中國國家梯準(CNS ) A4规格(210X297公釐) 經濟部中央梂準局員工消費合作社印袋 32〇74χ A7 _B7_____ 五、發明説明(2 ) 覆地實施熱處理的工程。 在晶園表面塗佈S 0G袜的工程,如上所述,係藉一 能夠令晶園邊旋轉而在晶酣表面滴下S 0G液而使之擴散 的旋轉被覆法,而對毎强晶圔塗佈S 0G液(片頁處理) 。另一方面,在對已塗佈S 0G液的晶圓實施熱處理的工 程中,若是考慮到作業效率,.則.逋免一甬如晶_板般.的恨 持檐構來保持多個晶圓,將賅保持機箏搬入到加熱裝讎内 而進行熱處理的批次處理。因此,在以往之技術中,片頁 處理之塗佈處理與批次處理時熱處理工程則分別在不同的 、.、 .· 一 - 裝置中進行。 然而,在不同的裝置進行塗佈工程與熱處理工程,必 須要有宽廣的空間,而使得整個裝置大形化。又,由於被 處理體,在塗佈工程後,係在暫時被搬送到塗佈裝置之外 部後再重新地搬入到熱處理裝置,因此處理效率降低。更 者,由於被處理《I在經塗佈處理後乃被暴霣在大氣中,因 此有機物或是微細的灰塵會附著在塗佈面,而此會變成粒 子而導致良品率降低。馬了要解決該些問題,對於塗佈處 理後之被處理酱的管理方面要細心的注意。 本發明即有鑑於上述之事情,其目的在於提供一種可 以連績地進行被處理體之塗佈處理與熱處理,而能夠提髙 良品率與生產率之基板處理裝®以及基板處理方法。 該目的可以藉以下之基板處理裝置來達成。該基板處 理裝置備有:可藉片頁處理對被處理慨塗佈處理液的塗佈 處理部,對經實施塗佈處理之多個上述被處理镰,藉批次 本紙張尺度逍用中國國家梂準(CNS ) A4规格(210X297公釐) ---------f -裝------訂-----線 (請先M'tt背面之注意Ϋ項再填寫本頁) A7 B7 經濟部中央標準局員工消费合作社印製 五、發明説明(= ) 處理 實 施熱處理的熱處理部以 及 可將上述被處 理體搬送於 上述 塗 佈處 理 部與上述 熱處理 部 之 間的介面部 ,上述介面 部則 具有可 白 上述塗佈 處理部 將 上 述被處理髖 移到被處理 髗保持構件 的 第1移送 機構以 及 除 了可以將多 個上述被庳 理體 保持構 件 呈可自由 裝卸狀 地 苄 以載置外, 亦可令多個 上述 被 處理 懷 保持機構 同時移 數 殷 移,動機構, 上述熱處卑...., 部則 備有可 將被載置在 上述被 處 理 體保持機構 的上述被處 .理體 • ... 移 到上 述 熱處理部 的第2 移 送 機構。 圖面 之 簡單 說 明 / 圖 1以 及 圖2係表 本發明 之 基 板處理裝置 之一實施例 的概 略 圖0 圖 3以 及 園4係表 圖1所 示 之基板處理裝 置中的介面 部0 圖 5〜 圖 7係表介面部的 定位機構。 圖 8係表板移送器 之一部 分 的 平面圓。 圖 9係 表 沿著圓8 之9 - 9 線 的断面圖。 圖 10 係 表將被處 理體保持機構安裝在板 移送器之狀 態的 分 解立 酱 圖。 圚 11 A 係表被處理保持機構 的側面圖、 圖1 1 B係 表沿 著 圚1 1 A之1 1 B - 1 1 B 線的斷面圓 〇 圖 12 A 係表假晶 園用板 的 側 面圖、圈1 2 B係表沿 著圖 1 2 A 之 1 2 B - 1 2 B 線 的 断面圖。 圖 13 係 表用於檢 測被搬 入 到 被處理《1保持機構之晶 訂 線 本紙張尺度逋用中國國家梂準(CNS ) A4規格(210X297公釐) 請 先 閲 讀 .背 面 之 注 意 事 項 再 % 寫 本 頁 民國8碑月修
33〇74i 第83110112號專利申請幸 t文說明畜修正頁 五、發明説明(4 ) 園W的飛出置之飛出檢測器的安裝狀態圖。 圖1 4係表除了晶圓飛出檢測器以外,亦安裝有飛出 距離檢測器的安裝狀態圖。 圖1 5係表晶圓Wt飛出位置檢測器與晶圓推入機構 的立體圖。 圖1 6 A、圚1 6 B保分別表晶園W相對於被處理體 保持機構的搬入狀態與推入狀態的說明圖。 圖1 7係表本發明之基板處理裝置的熱處理部的說明 圖。 圖1 8係表被處理髏保持機構的移送機構的說明圖。 圖1 9係表以模式地表示第2實施例之基板處理裝置 之塗佈處理部的整體構造的側面圚。 圖2 0係表以模式地表示圖1 9之塗佈.處理部之整體 構造的平面圚。 圖2 1係表在第2實施例之基板處理裝置之塗佈處理 部之塗佈機構中的杯(cup)部之具體構造的部分斷面圖 0 圖2 2係表在塗佈處理部之塗佈機構中之噴嘴待機部 的具體構造的部分斷面圖。 圖2 3係表以模式地表示塗佈處理部之塗佈機構中之 S .OG調溫機構之構造的立體圖。 圖2 4係表在塗佈處理部之塗佈機構中之SOG供給 噴嘴之具體構造的縱斷面圖。 圖2 5係表在塗佈處理部之塗佈機構中之SOG供給 部之構造的配管圖。 圖2 6係表塗佈處理部之乾燥單元內之要部之構造的 立體圖。 圖2 7係表在塗佈處理部之乾燥單元中之遮閂匡( shutter seat)之構造的平囬圖。 圖2 8係表第2資施例之基板處理裝置之介面部之內 部之整體構造的立體圖。 圖2 9係表晶圓板之晶圓收容構造的大略側面圖。 本纸張尺度逋用肀國®家揉準(CNS) A4規格(2!OX297公釐)_ 7 — ^ 成------1Τ------^ ^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央揉準局負工消費合作社印衷 經濟部中央橾準局貞工消費合作社印製 3^〇74χ A7 B7 五、發明説明(5 ) 圇3 0以及圖3 1係表示在介面部ΐ,搬入·搬出機 構移送(mapping )位於晶圓板內之晶園的情形。 圖3 2係表在介面部中,搬入•搬出機構將自晶圚板 突出的晶園推入到內部之狀態的大略側面圖。 圖3 3係表在介面部中,利用搬入•搬出機構之移送 (mapping)功能而檢測出自晶圓板突出之晶圓之狀態的 大略側面圖。 ^ 圇3 4以及圖3 5係表在熱處理部中之被處理體保持 機構的移送機構的說明圖。 3 6係表第3實施例之基板處理裝置之概略情形的 平面圖。 圖3 7係表圖3 6所示之基板處理裝置的縱斷面圖。 圇3 8係表圇3 7之V部分的擴大斷面圖。 圖3 9 A係表第3實施例之表面塗佈形成防止帶電膜 之處理液供給管要部的擴大斷面圖。 圖3 9 B係表第3實施例之表面捲繞導電帶之處理液 供給管要部的擴大斷面圖。 圖4 0係表第3實施例之基板處理裝置之要部之配管 系統的概略構造圖。 圚4 1係表圖4 0之要部擴大圖。 圖4 2 A、.圖4 2 B係分別表在第3實施例之基板處 理裝置中處理.液供給噴嘴之虛擬分配部與暫時待機部的斷 面圖。 圖4 3係表第4實施例之膜成形裝置之立體圖。 圖4 4係表圖4 3所示裝置之概略圖。 圖4 5係表本實施例移載機構之立體圖。 圖4 6係表藉旋轉被稷法將S 0G液塗液在晶圓表面 之塗佈裝置之概略圖。 圖4 7係表圖4 6塗佈裝置之平面圖。 圖4 8係表設置可將晶圓板相對於熱處理爐內裝載或 取出之板昇降器。 圈4 9係表構成熱處理爐之概略圖。 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項寫本頁) .裝 3^〇74i A7 B7 經濟部中央揉準局貝工消费合作社印裝 五、發明説明 ( 6 ) 1 I 圖 5 0 係 表 圖 4 9 熱處 理 爐 加 熱 部 之 斷 面 圖 0 1 1 I 圇 5 1 A 係 表 反 應 管 內 部 溫度與 時 間 之 關 係 圖 0 I 圖 5 1 B 係 表 至 加 熱部 之 電 阻 發 熱 線 供 給 電 力 與 時 間 1 之 關 係 圖 0 /—S 請 1 圖 5 1 C 係 lii ί 表 處 理 氣 體 的 流 量 與 時 間 之 關 係 圖 0 先 閱 1 I 圖 5 1 D 係 表 冷 卻 空 氣 送 風 量 與 時 間 之 關 係 圖 0 讀 背 1 hen 圖 5 1 E 係 表 冷 卻 用 氮 氣 流 量 與 時 間 之 關 係 圖 0 之 1 I ΠΒΠ 圖 5 2 係 表 第 5 實 施 例 之 膜 成 形 裝 置 整 體 構 造 之 概 略 意 本 1 圖 0 項 1 ran 圖 5 3 係 表 圖 5 2 膜 成 形 裝 置 整 體 構 造 之 平 面 圈 0 填 寫 、1 圖 5 4 係 表 調 整 待 機 領 域 的 環 境 之 最 佳 具 體 例 0 本 頁 系" I 圖 5 5 係 表 •熱處 理 爐 內 之 溫 度 曲 線 圖 0 1 I 實 施 例 1 I 本 發 明 之 基 板 處 理 裝 置 之 特 徵 在 於 包 括 可 藉 片 頁 處 1 理 9 白 對被處 理 體 塗 佈 處 理 液 之 上 述 塗 佈處 理 部 將 上 述 被 訂 I 處 理 體 移 到 被 處 理 體保持構件 的 第 1 移 送 機 構 , 除 了 可 將 1 1 多 個 上 述 被處 理 體 保持構件 呈 可 白 由 裝 卸 狀 地 予 以 載 置 外 1 , 亦 具 有 可 以 令 多個 上 述 被 處 理 體 保 持 機 構 同 時 移 動 之 移 1 I 動 機搶 稷稱 而 構 成 時 介 面 部 以 及 具 有 可 將 被 載 置 在 上 述 被 處 理 1 體 保 持 機構 之 上 述 被 處 理 體 移 到 可 對 已 實 施 有 塗 佈 處 理 之 、線 多 .個 上 述 被 處 理 體 藉 批 次 處 理 實 施 熱 處 理 之 熱 處 理 部 的 第 1 2 移 送 機 構 而 構 成 的 熱 處 理 部 0 1 1 根 據 如 此 所 稱 成 之 基 板 處 理 裝 置 , 可 將 — 定 數 巨 之 在 塗 佈 處 理 部 藉 片 頁 處 理 已 實 施 塗 佈 處 理 之 被 處 理 體 搬 入 到 1 I 介 面 部 之 被 處 理 體 保 持 機 構 在 藉 移 動 4M 概 Μ 稱 將 保 持 有 被 處 Ί 理 體 之 被 處 理 體 保 持 機 構 移 動 — 定 距 離 後 , 則 藉 第 2 移 送 1 俄 構 將 被 處 理 體 保 持 機 構 搬 入 到 加 熱 裝 置 內 9 而 藉 批 次 處 1 I 理 對 被 處 理 體 實 施 一 定 溫 度 的 熱 處 理 0 1 1 又 經 實 施 熱 處 理 之 被 處 理 體 則 再 度 被 搬 送 到 介 面 部 1 內 而 白 被 處 理 體 保 持 傲 構 一 個 個 地 被 搬 出 而 取 出 到 外 部 或 1 I 是 再 度 被 搬 送 到 塗 佈 處 理 部 而 實 施 塗 佈 處 理. Ο 因 此 , 被 處 1 1 1 張 紙 本 中 用 逋 準 標 家 胁 A4
I A7 32〇74χ B7 五、發明説明(7 ) 理體之塗佈處理與熱處理可藉由介面部而連績進行,而能 夠提髙生產率。又由於經塗佈處理之被處理嫌不會暴露在 外部之大氣中,因此有機物或是微細灰塵不會附著在被處 理髄而能夠提髙良品率。 在本發明之基板處理裝置中,雖然至少1個被處理體 保持機構可呈自由裝卸狀地被《 茧,Jj辑構_[:,...但舞.兔,. 好是將用於收容虛擬用被處理體之虛擬用被處理髏保持檐 構配置在移動機構上。藉此,在將被處理«搭載在被處理 «保持機構的情況下,當被處理酱保持機構所保有之數目 不足時,則只有不足個數的部分虛擬用被處理酱會被搭載 在不足部分,而經常能夠以相當於被處理«保持機構所能 保有的個數來實施熱處理。結果可以對被處理«均勻地資 施熱處理,而使得塗膜得以均勻化。特別是配設多個被處 理镰保持機構,則在對由被搬送到熱處理部內之被處理體 保持機構所保持之被處理髗的熱處理中,可以使被處理镰 相對於其他之被處理《保持機構進行被處理慊之搬入或搬 出。如此般在配設多個被處理體保持機構時,藉配設多個 虛擬用被處理镰之收容部可以有效率地補充或回收虛擬用, 被處理髏。 雖然將虛擬用被處理體搬入到被處理體保持機梅之形 態可任意設定,但是最好是利用被處理雠之搬入•搬出機 構。此時,雖然虛擬用被處理雅之收容部最好是接近被處 理«保持機構而設在介面部內,但是亦可以設置在介面部 外。 本紙張尺度適用中國國家揉準(CNS)A4规格(210X 297公釐) -10 - ---------^ I裝------訂-----A線 (請先聞讀背面之注意事項再填寫本頁) 經濟部中央橾準局員工消費合作杜印製 320741 A7 B7 五、發明説明(8 ) 又,本發明之基板處理裝置,將多個(η )被處理體 保持機構配設在介面部,而將η - 1個被處理髏保持機構 配股在被處理體載入部,藉此可以將經塗佈處理完畢之被 處理雅收容在預備的被處理嫌保持機構而等待。因此可以 進行多次的塗佈處理。又根據賅構造,即使是在洗淨或是 更換被處理镰保持機構時,不坚_曼使..整JP麩置停下.來..,见, 以連績地進行塗佈處理以及熱處理。 又將被處理《保持構件載置在移動機構上的形態,最 好是載置在里同心園狀而依逋當間隔設在移動機構上且具 有耐藥性以及耐蝕性的固定銷上。此時,在移動機梅側最 好是設置能夠用於檢測有無被處理《保持機構的機構及/ 或用於檢測被處理慷保持機構之位置偏移的機構。更者, 在移動機構側最好是設置可防止被處理馥保持機梅翻倒的 防止翻倒機構。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) _ r 1 _______ 又,在移動機構或是被處理«保持機構則最好是設置 能夠檢測出爲被處理酱保持機構所保持之被處理體之遽當 位®的檢測機構。此時,用於修正被處理慷之位置偏差的 機構則最好是使用可以將被處理雔相對於被處理體保持機 構搬入以及搬出的搬入•搬出棋構。 在本發明之基板處理裝置中,若在介面部至少設置可 將被處理體相對於被處理體保持機構搬.入以及搬出之作爲 第第1移送機構的搬入•搬出機構,則塗佈處理部之片頁 處理與熱處理部之批次處理即可互相地轉換。此時最好是 設置可將被處理《正確地搬入到被處理髋保、持機構的定位 本紙張尺度適用中國國家標率(CNS ) A4规格(210X297公嫠) -11 - ~ 經濟部中央橾準局員工消費合作社印製 320741 A7 B7 五、發明説明(9 ) 機構。
以下請參照圖面來具體說明本發明之基板處理裝置的 實施例。在此係就將本發明之基板處理裝置應用在„3 0G 液塗佈以及熱處理的情況來加以說明。 實施例 1 ........................... .k ........ 第1圓係表本發明之基板處理裝置之概略的平面圖。 又第2圓係表本發明之基板處理裝置之概略的立酱圓。胲 基板處理裝置之主要部分是由可將被處理舊,例如晶圃搬 入(供給)或搬出之裝卸部1 0 (晶圓供給部),藉片頁 處理對由作爲搬送機梅之晶園搬送臂21所搬送之晶圓W 塗佈處理液(SOG液)的塗佈處理部2 0,在作爲加熱 裝置之加熱爐31內對收容保持有經塗佈SOG液之多個 晶圆W的晶圓板41(被處理«保持機構)實施熱斑理之 熱處理部3 0以及將晶圆W搬送於塗佈班理部2 0與熱處 理部3 0之間的介面部4 0所_成。 在裝卸部1 0之載置台1 3上則呈直線狀地載置有用 於收容未處理之晶園W的晶圓卡匣11以及用於收容處理 後之晶圓W的晶圔卡匣1 2。在賅些晶圓卡匣1 1,1 2 之開口側則配置可在X,Y(水平)Z方向自由移動的晶 圓搬送臂1 4。在胲裝卸部1 0中,由晶圓搬送用臂1 4 .自晶園卡匡11所取出之未處理的晶圃W則被搬送到中央 部附近之轉送位置而被轉送到作爲塗佈處理部2 0之搬送 機構的晶圓搬送器21,而被設置在塗佈機構而資施塗佈 本紙張尺度逋用中圃國家檩率(CNS ) Α4洗格(210 X 297公釐) -12 - (請先閲讀背面之注意事項再填寫本頁) ,1Τ 320741 A7 B7 經濟部中央橾準局貝工消费合作社印製 五、發明説明(1Q ) 處理。又經資施塗佈處理以及熱處理之晶園W則爲晶園搬 送器21所保持而被搬送到上述轉送位置而藉晶圖搬送用 臂1 4被轉送搬送到已處理完畢用晶圖卡匣1 2內。此外 ,塗佈處理部2 0之晶園搬送器2 1則可沿著延伸於X方 向之搬送路徑2 2而自由移動,且可在Y方向、0方向以 及Z方向移動。 在塗佈處理部2 Ό則在相對於搬送路徑2 2之其中一 側配設可將塗佈前之晶園W冷卻到一定的溫度爲止之冷卻 機構2 3以及將塗佈後之晶園W加热到一定的溫度(例如 1 0 0 — 1 4 0 °C)而_300液中之溶媒蒸發分成多段 而稹屠之多個烘焙機檮。又在伟對的另一面則配設有可對 藉冷卻機構2 3而被冷卻之晶園W的表面供給,例如滴下 而塗佈作爲處理液的SO G液的塗佈機構2 5以及用於收 容SOG液等之藥品槽(未圖示)之收容室2 6。 此時,塗佈機構2 5則由可用於保持晶園而能夠旋轉 之旋轉夾頭2 5 a與被設在其外周之杯部2 5 b所構成, 而在該杯部2 5 b之外側則配設有SOG液供給喷嘴 2 5 c與用於溶解除去位於晶園W之周邊部分之SOG的 除去喷嘴2 5 d。骸些噴嘴2 5 c,2 5 d則構成可藉臂 2 5 e而在晶園W之上面側搬送的狀態。此外,在冷卻铷 構2 3之上部則可設置可藉臭氧(〇3)分解附著在塗佈 前之晶園表面的有機物而使其灰化而除去之UV(Ultra Violet)照射裝置2 7。 在介面部4 0內,則如圖3以及圖4所示,在幾乎被 (請先閲讀背面之注意事項再填寫本頁) 丨裝 訂 線 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公嫠) -13 - 經濟部中央梂準局員工消費合作社印装 320741 A7 B7 五、發明説明(11 ) 密閉之箱4 3內配設有可接受由塗佈處理部2 0搬送而來 之晶園W之定位機構4 3,接受由該定位機構4 3所定位 之晶圆W而將之搬入到晶圓板41或是自晶圃板41搬出 晶圓W之搬入•搬出機構4 4以及除了可里自由裝卸狀地 載置η個(在圖面正爲3個)的晶園板41外,亦立股固 定有1個虛擬用被處理慷,例軋庫農畢5,ϋ 在Υ方向往復移動之板移送器4 6 (移動機構)。: 此時,定位機構43 (如圖5〜圖7所示,係由徐了 在同一園周上具有3個晶園保持銷4 3 a外,在相對於中 心點之位置,於內同部分具有園弧狀之定心導引部( clntering guide〉4 3 b的對準台4 3 c,被配股在對 準台4 3 c之中央部而對晶圃W之下面實施眞空吸著之夾 頭4 3 d以及晶園W之定向邊(Orientation flat)的位 ft檢測用檢測器4 3 e所構成。 夾頭4 3 d可藉步進馬達4 3 f而旋轉(自轉)。又 ,步進馬速4 3 f則被安裝在馬Μ安裝板4 3g,藉空壓 缸4 3 h可移動到對準台4 3 c的上方。 在如此所構成之定位機構4 3中,當晶圓W被搬送到 對準台4 3 c上時,除了晶匯W會被晶園保持銷4 3 a所 保持外,亦可藉定心導引部4 3 b而被定心。之後,夾頭 4 3 d會藉空壓缸4 3 b之作動而上昇,在將晶園W上奉 到晶圓支持銷4 3 a之上方的狀態下,可以藉步進馬達 4 3 f而使晶園W在水平面上旋轉。此時,可藉定向邊位 置檢測用檢測器4 3 e而檢測出晶園W之定向邊Wa的位 本紙張尺度適用中國國家標準(CNS〉A4规格(210X297公釐) -14 - ~ I-------Γ —裝-------訂-----、線 (請先閲讀背面之注意事項再填寫本頁) S2〇74l A7 B7 __ 五、發明説明(12 ) 置,根據賅檢測信號,可以藉步進馬速4 3 f自定向邊 W a的端部開始依一定的旋轉角度作正旋轉或逆旋轉後則 停止。藉此可將晶圓W之定向邊W a的方向定位在一定的 方向上。或是將晶園W搬送到對準台4 3 c上,而由藉空 壓缸4 3 h之作動而上升的夾頭4 3 d的保持,在將晶圖 W舉列上方之狀態下,藉步進.馬_寒J_3 J而在水平面上爽.. 轉。此時,可藉定向邊位置檢測用檢測器4 3 e來檢測出 晶画W之定向邊Wa的位置,根據胲檢測信號,在藉步進 馬達4 3 f自定向邊Wa的端部依一定的旋轉角度旋轉後 而停止。藉此可將晶圃W之定向邊Wa的方向定位在一定 的方向。之後,夾頭4 3 d則藉空壓缸4 3 h之作動而下 降,晶園W除了由晶圓保持銷4 3 a保持外,亦藉定心導 引部4 3 b而實施定心。 經濟部中央標準局員工消費合作社印裝 又,搬入•搬出機構4 4,如圖Γ、圖3以及圖4所 示,係由:可沿著被舖股在介面部4 〇之Y方向的導軌 4 7而自由移動的移動镰4 4 a,被安裝成可藉未圖示之 昇降裝置而相對於該移動镫4 4 a而昇降的昇降台4 4 b ,在該昇降台4 4 b上可繞著旋轉軸4 4 c而旋轉的搬送 基台4 4 d以及被安裝在搬送基台4 4 d上,在旋轉於6» 方向的同時可在水平面上伸縮移動的臂4 4 e等所梅成。 此時,臂4 4 e係由用於保持晶画W之附設階梯部之叉部 4 4h與被設在賅叉部4 4 f之基部側的兩側之一對內周 側呈園弧狀的定位片4 4 g所構成。又,在搬送基台 4 4 d之前端側的兩側面,則如圖1 5所示,被安裝在安 -15 - (請先閲讀背面之往意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) A7 320741 B7 _ 五、發明説明(13 ) (請先閲讀背面之注意事項再填寫本頁) 裝構件而由發光部4 8 a與受光部4 8 b所構成的檢測器 4 8則設成與上述臂4 4e呈獨立地進退。藉胲檢測器 4 8可以檢測出在晶園板4 1有沒有收容晶圃W。爲了要 藉胲檢測器4 8來檢測有沒有晶園W,在晶園板4 1內之 晶園W之周緣部的一部分前進到可以進入發光部4 8 a與 受光部481)之間後,則將搬華_奉台~4.4薄績难肖.晶._,板_ 41之最上段下降到最上段或是自最下段上昇到最上段的 高度。藉此可以檢測出光束之遮断,透過的狀態,而能夠 高速地檢測出有無晶圃W與晶圓W之高度位置。 板移送器4 6,如圖8以及圖9所示,係藉由步進馬 達4 6 b與滾珠螺桿4 6 c所構成的滾珠鏢桿4 6 d使可 在沿著Y方向配設之一對的線性導引器4 9上自由滑動之 板基台4 6 a能夠在Y方向移動一定置。在該板基台 4 6 a之上面則分別呈同心圓地突設有可依等間隔呈直線 狀地載置1個虛擬晶園用板4 5與多個,例如3個晶圈板 4 1之4個石英製的固定銷46e,而將晶園板41以及 虛擬用晶圃用板4 5載置在骸些固定銷4 6 e上。該板 4 0,4 1可同時4個被移動。 經濟部中央標準局員工消費合作社印裝 如此般藉將晶園板4 1與虛擬晶園用板4 5鄰接配設 ,在藉搬入•搬出機構4 4將晶圓W搬入到晶園板4 1內 之際,可以將虛擬晶圖Wd迅速地搬入到晶園板4 1之上 部以及下部。具«地說可將例如6 0個晶圓W收容在晶圃 板4 1。例如將最多5 0個晶園W收容在晶園板4 1內, 而在骸5 0個晶圓W之上部以及下部則分別收容虚擬 16 本紙張尺度淨用中國國家標準(CNS ) A4规格(210X297公釐) 32〇74l A7 B7_ 五、發明説明(14 ) 晶園Wd,在合計收容6 0個之狀態下,在熱處理部3 Ο 中對晶圓板4 1實施熱處理。如此般,在晶園板4 1內之 上部以及下部配設虛擬晶圖Wd之其中一個理由即是在對 晶園W實施熱處理時之上部以及下部與中間部之溫度狀態, 不同,例如位於上部與下部之晶園的溫度較位於中間部之 晶園的溫度低,而可以防止因溫度的差異所導致之熱處理 ,H Λ . · i. «· *.· - -*· ·- * · * '· 經濟部中央標準局員工消費合作社印製 (請先Μ讀背面之在意事項再填寫本頁) 的差異,遂得以獏得均勻的热處理。因此,在對晶園板 4 1所保有之最大數目的晶園W實施熱處理時,在土部以 及下部分別設置5個,共計10個的虛擬晶園Wd,而在 中間部配置5 0個晶園W。又當被配置在中間部之晶圖W 的個數不知何原因而不足,而不滿晶圓板41所保有之晶 園W的個數(5 0個)時,則藉搬入•搬出機構4 4自虐 擬晶圓用板4 5取出胲不足部分而加以補充,而經吊在晶 園板4 1內,晶圃W與虛擬晶園Wd合計爲6 0個。藉此 可以對被處理饈實施均勻時熱處理。要將晶園板41內部 充滿6 0個的理由,即是由於發生亂氣流會對熱處理之均 匀性產生惡劣的影響。此外,被搬入到晶園板41之上部 以及下部之虚擬晶圓Wd,當判別爲數目不足時,則在搬 入晶園W之前,會事先自動地賅不足個數搬入,藉此可以 省略在搬入晶圆W時要搬入虚擬晶圓Wd的手績。 又,當3個晶園板4 1載置在板移送器4 6時,藉 將2個晶園板41的保有個數之晶圓W配設在裝卸部10 ,而使1個晶圓板4 1經t確保在預備狀態,因此即使是 在洗淨或是更換晶園板4 1時,亦不必停止整讎裝置的動 本紙張尺度適用中國國家橾率(CNS ) A4规格(210X297公釐)_ - 17 -" S2〇74i A7 B7 ___ 五、發明説明(15 ) 作,即可進行晶園W的塗佈處理以及熱處理。又當超過晶 _板41之2個單位之個數的晶園W時,爲了使晶圃W不 會被搬入到塗佈處理部2 0,則最好是梅成一能夠經常將 晶圔板所保持之晶園W之個數的資料予以回娥(feedbac-k),而藉此限制投入數目的系統。 載爾在板移送器4 6之板氣舍:A J...,a上的,畢_痗.4 1.— ,則如圖1 0 ,圖1 1A以及圖11B所示,係由在上下 方向互相呈對向之上部基板4 1 a以及下部基板4 1 b與 位於兩基板之間,而在長邊方向依逋當的間隔形成6 0個 晶園保持溝41c的4個晶園保持槔41d所構成。在下 部基板4 1 b之下方側則固股有简部4 1 e,而形成被載 置在上述固定銷4 6 e之上端的凸緣部4 1 f。如此所構 成之晶圈板41全部是由石英製的構件所形成,而此是馬 了在與晶園W之接觸點,例如與晶園保持溝4lc以及固 定銷4 6 e之接觸部不會產生晶園W之材料或是石英以外 之金觸的粒子。 經濟部中央梂準局員工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) 在位於板基台4 6 a之晶園板載置用的固定銷4 6e 的外側附近則設有用於檢測晶圈板41之有無的板檢測器 5 0與用於檢測距晶圓板4 1之正規位置的位置偏移的板 偏移檢測器5 1,因此可以檢測出有無晶圓板4 ^與位置 偏移。該些檢測器5 0,5 1可以使用光透過型的光中斷 器(photo interupter)。板檢測器_5 0係根據位於上方. 之晶園板4 1的凸緣部4 1 f的存在,亦即藉檢測出藉由 該凸緣部4 1 f而移動之光遮蔽板(未圖示)來遮住光中 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 18 _ A7 B7 經濟部中央標準局員工消費合作社印装 五、發明説明(16 ) 断器的光朿,可以確聪出已經載置有晶圖板41。又板偏 移檢測器51,則藉識別設在晶園板41之凸綠部41f 之外周緣的缺口41g而檢測出晶圓板41的正常位置, 具有若缺口41g偏離即通知晶圓板41已自正常位置偏 移的功能。此外,在檢測有無上述晶園板4 1時使用光反 射型的光檢測器,藉檢測來自凸_释.部、.4 J f的反射光可風 識別其載置情況。 .... » 又,如圖1 0以及圖1 3所示,在位於板基台4 6 a 中之晶園板載置用固定銷4 6 e的晶圃搬入•搬出機構側 附近則依一定的間隔立設一對可防止晶圓板41翻倒的防 止翻倒銷5 2。骸防止拥倒銷5 2係由即使晶圓4 1等發 生傾斜而接觸亦不會破損之材料,例如不銹鋼所形成,而 在不與晶園41發生接觸的範園內儘可能被立設在接近的 位慨。而使防止翻倒銷5 2在通常時不與晶圓板4 1發生. 接觸即是爲了防止因接觸而發生塵埃。 此外,虛擬晶園用板4 5,如圈1 2A以及圖1 2B 所示,係由在上下方向呈相對向之上部基板4 5 a以及下 部基板4 5 b與位於兩者之間,而在長邊方向依一定的間 隔形成例如6 0個虛擬晶圈保持溝4 5 c的一對的保持板. 4 5 d所構成。虛擬晶園用板4 5則如圓1 3所示,係在 將下部基板4 5 b載置在固定銷4 6 e上之狀態下,藉固 定軸(未圖示)將下部基板4 5 b固定在板基台4 6 a。 在上述板基台4 6 a之上部,如圖1 3所示,則如包 園晶圓板4 1以及虛擬晶園用板4 5般地架設框«5 3。 (請先閲讀背面之注意事項再填寫本頁) -裝. 訂 線 本紙張尺度適用中國國家揉準(CNS)A4洗格(210X297公釐) -19 - 經濟部中央標準局員工消費合作杜印裝 A7 B7 五、發明説明(17 ) 在賅框體5 3之上部横桁架5 3 a之各晶園板41以及虚 擬晶圓用板4 5之晶園搬入•搬出機構4 4側中1 0部以 及與上部横桁架5 3 a里對向之板基台4 6 a則分別安裝 有由發光部5 4 a與受光部5 4 b所構成之光透過型之晶 園突出檢測器5 4。胲發光部5 4 a與受光部5 4 b之配 置位置最好是設成上下逆向。翔典较_,释在各畢现梅4 . I 以及虛擬晶圃用板4 5之載置位置安裝晶圓突出檢測器 5 4,可以檢測出由搬入•搬出檐構4 4被搬入到晶園板 4 1,虛擬晶園用板4 5之晶MW或是虛擬晶園Wd是否 未被正確地搬入到板4 4,4 5內而突出到外部的狀態, 接受到胲檢測信號,則讓警告器(未圖示)嗚叫,而自動 地通知作業貝晶圓未被正確地搬入到板41,4 5內。 • ·, - 除了賅晶園突出檢測器5 4以外,如圖1 4所示,在 晶_飛出檢測器5 4之發光部5 4 a以及受光部5 4 b之 前方側,則取代晶圓突出檢測器5 4而安裝由可發光直線 狀之光的發光部5 5 a以及將微細檢測元件配列成直線狀 之受光部5 5 b所構成的行檢測器5 5,可以檢測出晶園 W,Wd的突出距離。又,如圖1 5所示,在框镰5 3之 左右縱框架5 3 b之互相對向的位置則安裝有由相當於被 收容在晶園板4 1 ,虛擬晶園用板4 5之晶圃W,虛擬晶 園Wd之個數(例如6 0個)單位之發光部5 6 a與受光 部5 6 b所構成之光透過型之突出位置檢測器5 6,藉自 左右方向加以檢測可以限定突出之晶圓W,W d的位置。 此外,對每個板同樣地安裝突出位置檢測器5 6。如此般 本紙張尺度適用中國國家搞率(CNS ) A4洗格(210X297公釐) -20 - (請先閲讀背面之注意事項再填寫本頁) 丨裝_ 訂 線 經濟部中央棣準局員工消費合作社印製 320741 A7 B7__ 五、發明説明(18 ) ,藉安裝行檢測器5 5與突出位置檢測器5 6可以正確地 檢測出那個板4 1 ,4 5內之那個晶圓W,Wd突出多少 m m ° 將突出之晶園W,Wd推入到板4 1,4 5內之機構 則例如可以使用搬入•搬出機構4 4的臂4 4 e。亦即, 將臂4 4 e之叉部4 4 f之位奄華部農_的段部f 4 h形成― 稍髙,在通常搬入晶圓W時,則如圖1 6 A所示,係在被 保持在叉部4 4 f上之狀態下,將晶圓W搬入到晶園板 4 1內,或是當晶圖W突出時,則如圖1 6 B所示,則不 讓晶園W保持在叉部4 4 f上,藉臂4 4 e在板4, 1, 4 5之內部前進可以藉胲段部4 4 b來抵接而將晶園W, .j, :.‘:i rtt:丨㈣八’ *
Wd推入。此外,由於晶圓W之突出距離是由行檢測器 5 5所檢測,因此在臂4 4 e移動之際,可以阻止突出之 晶圓W與臂4 4 e發生衝突或是接觸。此外,推入動作亦 可在晶園W保持在叉部4 4上之狀態下進行。 在本實施例中,雖然是在框«5 3之左右縱框架 5 3 b安裝突出位爾檢測器5 6而檢測出晶圓W之突出位 置,然而並不限於此,利用設在搬入•搬出機構4 4之臂 4 4 e之下部之搬送基台4 4 d的檢測器4 8可以檢測出 晶圆W之突出位置。亦即,檢測器4 8之發光部4 8 a與 受光部4 8 b,在被收容在晶園板4 1內之正規位置之晶 園W的周緣切線部(具體地說爲定向部〉前進到進入其間 之位置後,藉讓搬送基台4 4 d自晶園板4 1之最上段下 降到最下段或是自最下段上昇到最上段的高度,可以检測 本紙張尺度逍用中國國家梯準(cns)a4規格( 2iox297公釐) -21 - I--------f -裝-----—訂-----Γ線 (請先W讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消费合作社印褽 A7 B7 五、發明説明(19 ) 出突出之晶圓W的位置。當突出時,則自發光部4 8 a所 發光之光朿則會藉晶圓W而被遮斷。 在介面部4 0之箱部4 2內,則如圓4所示,在位於 頂部之定位機構4 3以及搬入•搬出機構4 4之上方部位 設有供氣口 5 7,而在連接到該供氣口 57之供氣管5 8 則經由過濾器5 9配設有供氣風扇6 0。又,在位於底琰 之定位機構4 3的下方部位則設有排氣口 61,而在連接 於胺排氣口 6 1之排氣管6 2中,則在相對於圓4之紙面 呈垂直相交之方向(Υ方向)設置1個或是依間隔配設多 個排氣風扇6 3。骸排氣風扇6 3係藉著一隨著被安裝在 設在介面箱4 2之側壁之出入口 6 4的門65的開閉可以 進行ON,OFF動作之磁鐵式開關6 6而囅動或停止, 在門65開放時,則開關66 (例如磁鐵式)會作動而使 排氣風扇6 3自動地停止。如此般,在箱4 2之頂郝配設 供氣風扇6 0,而在底部配設排氣風扇6 3,而且將供氣 能力設定成較排氣能力爲大,藉此通常時,淸淨的空氣會 下流(down f 1 οι )到箱4 2內,而可以使室內部脫成微 弱的正壓狀態,當門6 5開放時,則開關6 6會作動而使 排氣風扇6 3停止而提髙室內的麈力,藉此空氣不會自外 部流到室內,能夠防止塵埃侵入到室內。 又在介面箱4 2之頂部之板移送器4 6的上方部位乃 設有除濕空氣導入口 6 7,而在與該除濕空氣導入口 6 7 連結之除濕空氣供給管6 8則經由過濾器6 9 (ULPA 過濾器),除濕空氣供給管6. 9 a可自外部供給除濕空氣 本紙張尺度逍用中國國家標準(CNS)A4規格(210X;297公釐) _ 22 _ . (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 32〇741 Λ7 B7 五、發明説明(20 ) 。又可在過濾器6 9之上流側設置除濕供給風扇7 0。而 在除濕空氣導入口 6 7與供氣口 5 7之間則垂下有垂簾 7 1,可將供氣口 5 7與除濕空氣導入口 6 7之間加以分 隔,而防止在頂部側下流之空氣與除濕空氣發生混合。此 外藉垂簾71區隔供氣口側與除濕空氣導入口側之其他理 由即是要防止作樂貝進入室內西,.在..纖.„汉《费或零仵之保聲. 檢修或更換作業時之位於頭上的危險。藉此構造,自除濕 空氣導入口 6 7被供給到室內之除濕空氣則朝下方集中流 動,而藉排氣風扇6 3自排氣口 61被排出,而如圓4之 虛線之箭頭所示,乃會集中流向被搬入到晶圓板4 1之晶 園W的前方(周園)(如沿蓍或是覆董般)而與晶_W2 表面接觸,而防止被塗佈在晶園表面之吸濕性的S OG膜 因爲吸濕而產生劣化,而得以維持在一定的濕度。因此可 以使用除濕能力較小的產品,而不必要配«大型的防濕器 ,即可將被搬入到晶園板41之晶園W的濕度維持在一定 的狀態。 經濟部中央標準局員工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) 此外,如圃4之假想線所示般,藉循環管7 2來連接 除濕空氣導入口 6 7與排氣口 6 1,葙在骸循環管7 2設 置空氣淸淨器7 3以及除濕器7 4,可以將除濕空氣循環 地供給到介面箱4 2內。此時可以將除濕器設成更小。 另一方面,熱處理部3 0,如圓1以及_1 7所示, 乃經由開口窗7 5與介面部4 0連通,在賅熱處理部3 0 內則配置有在斷面呈倒U字狀之石英製處理管3 2的外周 圍繞設置加熱器3 3的縱型熱處理爐3 1 (加熱裝置), 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) _ 23 _ A 7 B7 五、發明説明(21 ) 被配置在賅熱處理爐31之下方,而將晶圃板41搬入到 處理管3 2內之板昇降器3 4以及可將晶圖板4 1在介面 部4 0之板移送器4 6與板昇降器3 4之間移送的移送機 請 先 閲 讀 背 面 之 注 意 事 項 再 填 寫 本 頁 構3 5。 此時,在處理管3 2之開口下端則連接有岐管3 6, 而在胲岐管3 6則分別連接有.莨_展二X名摩理第《導Λ意 處理管3 2內之導入管(未圓示)與用於將處理後之氣酱 排出的排氣管(未圖示)。又在板昇降器3 4則設有可與 岐管3 6抵接而將處理管3 2內部維持在密閉狀態的董體 3 7。在該蓋镰3 7之上部則搭載有保溫简3 8。 訂 經濟部中央標準局負工消費合作社印製 板移送機構3 5,如圓1 8所示,係由藉利用滾珠鏢 桿之昇降機構3 5 a而昇降之昇降基台3 5 b,可在0方 向自由旋轉而被安裝在胲昇降基台3 5 b之上部的旋轉願 動部3 5 c以及可沿著設在旋轉驅動部3 5 c之上面的導 溝3 5 d而自由移動,目前端部呈U字狀的板載置一臂 3 5 E。如此所構成之板移送機構3 5則在板移送器4 6 之板基台4 6 a上移送而接受.位在移動到開口窗75之板 移送器4 6之板基台4 6 a的晶園板4 1而將之搬送到板 昇降器3 4,且將之轉送到板昇降器3 4上或是接受收容 有板昇降器3 4上之經加熱處理後之晶圓W的晶圆板41 ,而將之移送到板移送器4 6之板基台4 6 a,且將之轉 送到板基台46a上。 其次就本發明之基板處理裝置的動作加以說明。在此 就SOG來說明。SOG具有無機SOG與有機SOG。 24 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^〇74ι A7 B7 五、發明説明(22 ) 無機 S 0G 是一以 Si (0H)4或是(OR)„Si ( 〇H) 4 — n(R:碳化氫基)所表示之矽烷醇化合物爲原 料而得到者。又無機S 0G膜的構造則鶬以下述之化學式 Ϊ所表示者,膜厚爲5 0 0 — 1 5 0 0A。該無機SOG Μ由於含有多置的水分而顯得脆弱,因此具有容易發生裂
痕的性質。另一方面,有機S OG係一次RnS
OR )m(0H) 411(R :碳化氫基)所表示之矽烷醇化合 物爲原料而得到者。又有機SOG膜的構造則爲以下述之 化學式I I所表示者,其膜厚爲1 0 0 0 — 5 0 〇 0A。 該有機S OG膜,由於具有可藉甲基等之官能基而使結合 關閉的部分,因此具有不容易產生裂痕的性質。因而 s OG有2種,可以因應用途。使用條件而適當選擇而笨 用1次或是多次。 0 I 0
CH 0
Si—0 — Si—Ο S i - Ο - S i - Ο ---------f -裝------訂-----5線 (請先閲讀背面之注意事項再填寫本頁) t 經濟部中央榡準局員工消费合作杜印裝 Ι 0 0 0 0 —Si—〇-Si—〇 — —Si—Q 一 Si—0 — I 0
I 0 I
I 0 I
C H 化學式11 首先,就在晶園W塗佈S O G液的情形加以說明。將 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) -25 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(23 ) 裝卸部10之晶圖搬送用臂14移動到用於收容未處理之 晶圓W之晶園卡匣11的前方,而自晶圃卡匣11接受到 晶画W,且將之搬送到轉送位置爲止。被搬送到轉送位置 之晶園W,在爲塗佈處理部2 0之晶圓搬送器2 1接受後 ,則被搬送到冷卻機構2 3而被冷卻到一定的溫度。之後 ,則再度爲晶圓搬送器2 1所m辨赛送興奠佈埤舞 2 5,且被載置在塗佈機構2 5之旋轉夾頭2 5 a上。 被載置在旋轉夾頭2 5 a上的晶園W則與旋轉夾頭 2 5 a —起地旋轉,而用於保持S 0G液供給喷嘴2 5 c 之臂2 5 e則在晶園W上移動,且SOG液被滴在晶圓W 上。此時,由於晶園W高速旋轉(2 0 0 0〜6 0 0 0 rpm),因此SOG液會藉離心力而自晶圖W之中心部 朝向周緣部擴散,而在晶園W上形成S OG膜。在形成 S 0G膜後,則側清洗液供給嘖嘴25 d會在晶圃W上移 動而對晶園W實施處理。此時藉淸洗液可以將位在同邊部 之S 0G膜予以溶解除去。如此般經實施塗佈處理之晶園 W,則再度爲晶園搬送器21所接受而被搬送到烘焙機構 2 4,在此以大約1 0 0〜1 4 0°C的溫度來加熱,而使 SOG液中之溶液(例如乙醇)蒸發。藉該烘焙機構2 4 完成預焙作業之晶園W則再度爲晶園搬送器2 1所接受, 而被搬送到介面部側,且被移送到定位機構4 3,在此可 將晶園W之定向邊Wa的方向定位在一定的方向。 被定位在一定之方向時晶圓W,在由搬入•搬出機構 4 4的臂4 4 e所接受後,則在自上方朝向下方依序被排 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) -26 - ' -----------Γ 裝------訂------N银' (請先閲讀背面之注意事項再填寫本頁) 經濟部中央榡隼局員工消費合作社印製 A7 _B7__ 五、發明説明(24 ) 列整齊的狀態下被搬入到被載置在板移送器4 6上之空的 晶園板41內。如此般,在塗佈處理部2 0中實施片頁處 理之晶刪W則依序1個個地搬入到晶圓板41,而將一個 數目的晶圓W搬入到晶圓板41。對於不足晶園板41所 能保有之數目(例如6 0個)之不足部分,則被收容在虚 擬晶園用板4 5之虛擬晶圓W汇上1.释辨A . ·搬出搏.樣-4 4的臂4 4 e被搬入到晶園扳4 1內。此時不讓晶圓板 4 1道一側移動,而利用能夠迅速移動之臂4 4 e來搬送 可以縮短所酱要的時間。又,由於將虛擬晶園用板4 5與 晶圓板4 1 一起並設在板基台4 6 a上,因此,臂4 4 e 在Y方向移動的距離,在晶圓板41爲3個的情況下,最 大可爲3倍於板間隔間距的距離,又由於移動距齦也是W 隔間距的整數倍,因此可以迅速地搬送,且搬送控制亦變 得容易。
當將一定數目之晶_W與虛擬晶園Wd搬入到晶園板 4 1時,則板移送器4 6會以使晶園板4 1不會搖榥之程 度的低速度移動一定的距離,例如板間隔間距之整數倍, 而將晶圓板4 1移動到開口窗7 5的正面位置爲止。其次 ,熱處理部3 0之板移送機構3 5之板載置臂3 5 e會侵 入到晶圖板41之下方,在載置且支撑晶圃板41而接受 後,即將晶圓板4 1移送到板昇降器3 4之上面,將晶圓 板4 1載置在板昇降器3 4上。’其次,板昇降器3 4會上 昇,而將晶園板4 1搬入到熱處理爐3 1之處理管3 2內 。此外,藉熱處理爐3 1,大約4 0 0 °C的溫度對晶圃W 本紙張尺度逡用中國國家標準(CNS ) A4規格(210X297公羡) -27 - ---------f -裝------訂-----f線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央梂準局員工消费合作社印裝 A7 B7 五、發明説明(25 ) 加熱,即可對塗佈在晶阃W表面之S 0G膜實施熱處理( 燒固)。此外,在胲晶圓W被實施热處理之期間,則以與 上述同樣的順序將其他的晶園W搬入到另外的晶園板41 0 在熱處理爐3 1中矣成热處理後,板昇降器3 4會下 降,當晶園板4 1被取出到熱蹲_嫿,,3 1的下方時,則藉. 與上述呈相反的動作,板移送機構3 5之板載置臂3 5 e 會侵入到晶園板41的下部而接受晶園板41,之後則移 動到板移送器4 6之板載置位置,而將晶園板4 1轉送到 板移送器4 6上。胲板移送器4 6在接受晶圃板4 1後即 移動一定的距離而將收容有實施熱處理前之晶圃W的晶園 板4 1移動到開口窗7 5的正面位置。胲晶園板4 1則與 上述同樣地藉板移送機構3 5被搬送到板昇降器3 4而被 搬入到熱處理爐31內開始實施熱處理。如此般,板移送 器4 6,在晶圓W轉送到塗佈處理部2 0時並不會移動, 而只有在晶園板4 1相對於熱處理部3 0搬入•搬出時才 會移動。 另二方面,已實施熱處理之晶園W,在藉搬入•搬出 機構4 4之臂4 4 e自晶園板4 1 一個個被搬出,且經由 定位機構且爲塗佈處理部2 0之晶圓搬送器2 1所接受後 ,則藉裝卸部1 0之晶圖搬送用臂4 4被收容在已經處理 完畢之晶園所使用的晶園卡匣1 2內,如此般完成一次塗 佈之處理過程。因此,藉使用3個晶園板41,則晶圃W 搬入到晶園板41,對搬入到晶園板41之晶圓W的熱處 本紙張尺度逍用中國國家揉準(CNS ) A4规格(210X297公釐) .28 - ---------Γ I裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 線 32〇7幻 A7 __B7 五、發明説明( 26 ) 理以及自晶園板41將經熱處理後之晶園W搬出等之作業 可分別各5 0個地同時進行,因此可對1 5 0個晶園W連 績地實施S 0G塗佈處理與熱處理。 其次說明,二次塗佈SOG液的情形。此時有2個方法 。此外,此時,則將被配設在介面部40之晶圆板41所 能保有之晶M W的個數(例畢圓® 4, 1,時.丄 則較3個以1個之2個晶園板4 1所能保有之晶圓W的個 數,即爲5 0X2 = 1 0 0個)的晶園配設在裝卸部1 0 Ο 首先,第1個方法,與上述同樣地,藉晶園搬送用臂 1 4自晶園卡匣1 1取出晶圓W,而在轉送位置由晶園搬 送器2 1來加以轉送。此外,晶園W則藉晶涵搬送器2 1 被搬送到冷卻機構2 3,在此被冷卻到一定的溫度後,則 被搬送到塗佈機構2 5而塗佈S O G液,其次,會被搬送 到烘焙機構2 4而讓SOG液中之溶媒蒸發。 經濟部中央樣準局員工消費合作社印裝 (請先閲讀背面之拄意事項再填寫本頁.) 溶媒被蒸發後的晶圓W則會被搬送到介面部4 0而被 搬入晶園板41內。如此般,形成第一次SOG膜的晶園 W,在藉定位機構4 3被定位後,則藉搬入•搬出機梅 4 4依序被搬入到晶園板4 1 ,而將一定數目的晶圃W收 容在晶圓板4 1內。到此爲止,則與第一次塗佈诗相同。 已形成第一次之S OG膜的晶園W,則再度藉搬入· 搬出機構4 4自晶圚板4 1被搬出而被轉送到塗佈處理部 2 0之晶圃搬送臂21,與上述同樣地,在藉冷卻機構 2 3而被冷卻後,則在塗佈機構2 5中形成第二次的 本紙張又度逍用中國國家標率(CNS ) A4規格(210X297公釐) -29 - "" " 經濟部中央棣準局員工消费合作社印製 A7 B7 五、發明説明(27 ) SOG膜。之後,則被搬送到烘焙機構2 4而讓300液 中之溶媒蒸發。如此般,形成第二次S 0G膜的晶圖W, 在藉定位機構4 3而被定位後,則藉搬入•搬出機構4 4 依序被搬入到晶圓板41,而將一定之個數收容在晶園板 4 1內。對於不足晶_板4 1內之所能保有之個數(例如 6 〇個)的不足部分,則與上_m.,藜辨入·.搬炎氣 構4 4的臂4 4 e將被收容在虛擬晶圆用板4 5之虚擬晶 園Wd搬入到晶園板41內。 當將一定個數之晶圓W與虛擬晶圓Wd搬入到晶園板 4 1時,則板移送器4 6會移動一定的距離,且晶_板 4 1會移動到開口窗7 5的正面位置。此外,移動到開口 窗7 5之晶園板4 1則藉熱處理部3 0之板移動機構3 5 而被移送到板昇降器3 4之上面,且被搬入到熱處理爐 3 1之處理管3 2內。此外,藉熱處理鱸3 1以大約 4 0 0 °C的溫度來加熱晶圃W,而將塗佈在晶園W表面之 5 0G膜予以燒固。此外,在對晶圓W實施热處理之期間 ,則藉與上述同樣的順序將其他的晶園W搬入到其他的晶 園板4 1 〇 在热處理爐3 1中進行熱處理後,則板昇降器3 4會 下降,當晶圓板41被取出到熱處理爐31之下方時,則 藉與上述呈相反的動作,板移送機構3 5之板載置臂3 5 e會侵入到晶圓板4 1之下部而接受晶圖板4 1,之後則 移動到板移送器4 6之板載置位置而將晶圃板4 1轉送到 板移送器4 6上。賅板移送器4 6在接受晶園板4 1後則 本紙張尺度逍用中國國家梯準(CNS ) A4洗格(210X297公釐)
----------C .裝------訂----->>線 (請先閲讀背面之注意Ϋ項再填寫本頁) ^ I__|....... —^1 _________II 320741 A7 B7 五、發明説明(28 ) 移動一定的距離,而將其他之晶園板41移動到開口窗 7 5之正面位置。胲晶園板4 1,則與上述同樣地藉板移 送機構3 5被搬送到板昇降器3 4,而被搬入到熱處理爐 3 1內開始實施熱處理。 另一方面,經實施熱處理之晶園W,則藉搬入•搬出 機構4 4的臂4 4 e而自晶園棋,4.,L被JR出Λ且辑由.定位„ 機構4 3而爲塗佈處理部2 0之晶園搬送臂2 1所接受, 之後則藉裝卸部10之晶圃搬送用臂14而被收容在已處 理完畢晶圓所使用之晶圔卡匣1 2內。如此般完成第二次 塗佈的處理工程。 第2個方法,則與上述同樣地,藉晶園搬送用臂14 自晶圃卡匣11取出晶圓W,而在轉送位置被轉送到晶園 搬送器21。此外,晶圓W,則藉晶園搬送器21被搬送 到冷卻機構2 3,在此被冷卻到一定的溫度後,則被搬送 到塗佈機構2 5而塗佈SOG液,其次則被搬送到烘焙機 構2 4,而譃SOG液中之溶媒蒸發。 經濟部中央榡準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 溶媒被蒸發後之晶園W則被搬送到介面部4 0,且被 搬入晶園板41內。如此般,形成第一次SOG膜之晶園 W,在藉定位機構4 3而被定位後,則藉搬入•搬出機構 4 4依序被搬入到晶園板41,而將一定數目之晶園W收 容在晶園板4 1內。 其次,收容有一定個數之晶園W-的晶園板4 1,則藉 板移送器4 6移動到開口窗7 5之正面位置。晶園板4 1 則藉熱處理部3 0之板移動機構3 5而被移送到板昇降器 本紙張尺度逍用中國國家梯準(CNS )八4規格(2ΙΟΧ297公釐) -31 - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(29 ) 3 4之上面,而被搬入到熱處理爐3 1之處理管3 2內, 在熱處理爐31內對晶園W實施熱處理。到此爲止則與第 —次塗佈時相同。 之後,則再度讓S 0G液塗佈在晶圓W上而讓溶媒蒸 發,而搬入到熱處理爐3 1內,反覆實施熱處理。亦即, 形成第一次S 0G膜的晶BIW 在自,晶.调铒41藉定.位微 梅4 3被定位後,則在冷卻機構2 3 Ψ被冷卻,在塗佈機 構2 5中塗佈SOG液,此外則在烘焙機構2 4中讓 SOG液中之溶媒蒸發,之後則經由定位機構4 3被搬入 到晶園板41,而在熱處理爐31中實施熱處理。 另一方面,已實施熱處理之晶園W,在自晶園板41 被搬出而經由定位機構4 3而爲塗佈處理部2 0之晶圓搬 送器21所接受後,則藉裝卸部10之晶園搬送用臂14 而被收容在已經處理完畢之晶園所使用之晶圓卡匣12內 。如此般完成第二次塗佈的處理工程。 在上述中,雖然是就塗佈二次加以說明,但是在塗佈 3次以上時,則亦可遵循上述的操作來進行。又,當爲多 \ r以塗佈時,使用無機S 0G與有機S 0G的順序以及次數 則可逋當地選擇。 又,在上述實施例中,雖然是就將1個虛擬晶園用板 4 5載置在介面部4 0之板移送器4 6上面的情形加以說 明,但是藉將該虛擬晶圃用板4 5設置在介面部4 0或是 如圖1之假想線所示般地,在裝卸部1 0設ff用於收容虛 擬晶園Wd的晶圃卡匣4 5 a,可以補充不足的虚擬晶Μ 本紙張尺度逍用中國國家標準(CNS)A4规格(2丨0X297公釐) -32 - ---------Γ -裝-------訂 ------f 線 (請先閲讀背面之注意Ϋ項再填寫本頁) . I.--- - - ---------- S20741 A7 B7 經濟部中央標準局貝工消费合作社印裝 五、發明説明(3G) Wd,而自晶園板4 1回收虛擬晶園Wd,且將之收容在 虛擬晶園用晶圓卡匣45 a。 此外,在上述實施例中,_然是就將本發明之基板處 理裝S應用在半導體晶圓之S 0G塗佈♦熱處理裝置之St 形來加以說明,但是被處理髗亦可連用於晶》以外,例如 LCD基板等,此外對於在將SO G液以外之處理_佈. .· V , · ·.· ' , « .*«1 在被處理體後需要熱處理者亦可逋用。 第2實施例 在此就本發明之基板處理裝置之其他實施例加以脫明 。在本實施例中,片頁處理之塗佈處理部與批次處理之熱 處理部係經由介面部而以線上(in-line)方式來連接, 而被處理基板則在可保謨免於水分等有害物質之侵害的狀 態下迅速地在兩處理部之間進行轉送。藉此,可以在兩處 理部之間有效率且安全地進行一貫的連績處理。 、 以下則就本資施例之基板處理裝置加以說明。至於與 第1實施例中之基板處理裝置相同的部分,則附加與第1 實施例相同的符號,且省略其脫明。 請參照圖1 9 —圚2 5來詳細說明塗佈處理部2 0內 之各部分的構造。圖1 9以及圖2 0分別是將塗佈機構 2 5內之構造予以模式化表示之側面圖以及平面圓。骸塗 佈機構2 5係一藉旋轉被覆法將SOG液塗佈在晶圃W之 • 1 表面的裝置,係在晶園W藉旋轉夾頭2 5 a被眞空吸著保 持在形成爲有底園简狀之杯部2 5 b內之狀態下,藉旋轉 Μ ---------C -^.— (請先Η讀背面之.注意事項再填寫本頁) 訂 線 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) -33 - 經濟部中央樣準局員工消費合作杜印製 A7 B7五、發明説明(31 ) «動馬逹8 1而以一定的速度而旋轉,而自位在上方之 SOG液供給喷嘴8 2將處理液,例如SOG液供給(滴 下)到晶圓W的表面,在此滴下的S 0 G液則藉醺心力被 擴散在徑向而均勻地塗佈在晶園整個表面。 如圓1 9所示,在塗佈機構2 5之頂面(至少杯部 2 5 b之上方的頂部)則安裝m防塵功能名通濾舉, 例如HEPA過濾器8 3,而在其背側(上側)設置空氣 導入室8 4。又在塗佈機構2 5之底面(至少杯部2 5 b )之下方的底面)則設有多個開口 8 5 ,而在各開口則安 裝風扇8 6。經由空氣供給管8 7被導入到空氣導入室 8 4之空氣,則自Η EPA過濾器8 3當作淸淨空氣之下 流氣流而被供給到室內,而藉底面之風扇8 6被排出到室 外。藉該淸淨空氣之下流氣流,可以防止對晶園W有害之 粒子侵入到杯部2 5 b內。又,在ΗΕΡΑ過濾器8 3之 下方設置可用於檢測下流氣流之風速的風速檢測器8 8。 胲風速檢測器8 8之输出信號則被回婧到空氣供給部或是 風扇8 6的控制部,而將下流氣流之風速被控制在逋當的 値以便於防止S 0G膜之膜厚變得不均勻。 圖2 1係表杯部2 5 b之具髓的構成例。在該杯部 2 5 b,則在旋轉夾頭2 5之周園設置爲被固著在杯部外 壁面8 8之上端部之環狀的上部導引板8 9與被固定在基 部構件9 0之環狀之下部導引板9 1所包園而形成之環狀 的排液•排氣導引通路9 2,骸排液•排氣導引通路9 2 之內周側開口端則面向被載置在旋轉夾頭2 5 a上之晶圃 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) -34 _ --------_Λ裝------訂 《線 (請先閲讀背面之拄意事邛再填寫本頁.) 經濟部中央樣準局負工消費合作社印裝 A7 _B7五、發明説明(32 ) w的外周端側,而外周側開口端則面向杯部底面的排出口 9 3。自晶園W之外周端飛散到外側之S 0 G液則經由排 液·排氣導引通路9 2而自排出口 9 3被排出到排出管9 4側。此外,在下部導引板9 1之下方則配置有園简形狀 的分隔板9 1 d,其下端部被固著在杯部2 5 b之底面, 而上端部則與下部導引板9 1.名T面形成些微的閱障。典 外,在胲分隔板9 Id與基部構件9 0之間則設有分成上 下之分隔板9 1 e,而排氣管(未圖示)則被連接在該分 隔板9 1 e。 除了在上部導引板8 9以及下部導引板9 1之上端部 分別在周園方向設置溶媒通路8 9 a,9 1 a,此外則在 周園方向依一定的間隔設置多個可與該些溶媒通路8 9 a ,9 1 a連通的溶媒吐出口或是狹縫8 9b,9 lb。在 未進行S 0 G液之塗佈作業之休息時間,爲了要因應所醫 將附著在排液•排氣導引板9 2之S O G液沖落,因此作 爲洗淨液之溶媒,例如異丙烯醇(I PA )或是環己雨液 自未圖示之溶媒供給部乃被供給到各溶媒通路8 9 a, 9 1 a,而自各溶媒吐出口或是狹縫8 9 b,9 1 b沿著 排液·排氣導引通路9 2的壁面8 9 c,9 1 c而流動。 在杯部2 5 b之底面之排出口 9 3與排出管9 4之連 \ 接管則有SOG液容易附著或是當放置時,會因凝固的 SOG液而使配管塞住的顧慮。在此,則在胲杯部2 5 b ,在接頭9 5之內側設置圓周方向的溶媒備留部9 5 a, 而將溶媒供給管9 6連接到骸溶媒備留部9 5 a,自未圖 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) -35 ~ ~~ ---------f -裝------訂-----f線 (請先閲讀背面之‘注意事.項再填寫本頁) 經濟部中央棣準局貝工消費合作社印製 320741 A7 B7 五、發明説明(33 ) 示之溶媒供給部將溶媒(洗淨液)供給到溶媒傭留部 9 5 a,藉此能夠以自溶媒镩留部9 5 a在園周方向均勻 地溢出的溶媒來洗淨配管連接部之內部。 基部9 0,由於要求正確的加工精度與物理的强度, 因此是由鋁或不銹鋼等之金屬所製成。但是金靥有.容易受 到上述洗淨液(特別是環己酮.農上默.触_的lui題.。在本.寫碑_ 例中,則對基部9 0之表面實施耐菜性處理,而提高其耐 藥性。 又,空氣供給管8 7或是各種《動用空壓紅用的空氣 配管(未圓示)則使用鐵弗龍(商槲名)管之氰樹脂而具 有耐菜性。此外氟樹脂容易帶電,當帶電時,則灰塵會附 著;而有產生火花的顧慮。因此,對於氟樹脂製的配管, 則在配管之四周捲總導電帶以使除去或是減低帶電。 如圖1 9以及圖2 0所示,SOG液供給喷嘴8 2則 設成可由一設在可動臂9 8之前端部的把持機構(未圈示 )而把持的狀態,可勖臂9 8則被支撑在導引棒9 7上而 可在圖之左右方向自由移動,在晶圃的上方不只可移動於 晶園的半徑方向,且可移動到被配設在杯部2 5 b之側方 之嘖嘴待機部9 9以及虛擬分配部1 0 0。 圚2 2係表喷嘴待機部9 9之構成例。在該喷嘴待機 部9 9之溶媒容器9 9 a內則經常被供給I PA等之溶媒 以便於經常保持一定的液面,該S OG液供給喷嘴8 2被 設置成在喷嘴前端部8 2 a未浸溃在溶媒中之狀態下可以 封住溶媒容器9 9 a之上面開口的狀態。藉此,喷嘴前端 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) -36 - I-------Γ -裝------訂丨----f線 (锖先閲讀背面之注.意事項再填寫本頁) ----~ ------------ I I I :——·. 320741 A7 經濟部中央橾準局員工消费合作社印袋 B7五、發明説明(34 ) 部8 2 a可被置放在溶媒容器9 9 a內之溶液蒸氣(環境 )中,因此在未進行塗佈處理之休息期間,位於喷嘴前端 部8 2 a之SOG液不會凝固。此外,在喷嘴待機部9 9 中不臃嘖嘴8 2之前端部8 2 a溲溃在溶媒中的原因,是 因爲當喷嘴前端部8 2 a浸溃在溶媒中時,凝固的S 0G 液會附著而導致喷嘴前端部8 2 a反而會寒住的緣故。又 ,SOG液供給喷嘴8 2之虛擬分配是藉一與喷嘴待機部 9 9有別之另外的虛擬分配部1 〇 〇而進行,藉賅虛擬分 配部1 0 0,自SOG液供給喷嘴8 2被排出之SOG液 ,則會經由配管1 0 1被送到排出槽(未圖示> 。 SOG液供給喷嘴8 2,則經由SOG液供給管 102被連接到3〇〇液供給源(未圖示)。自3〇〇液 供給源所供給之S 0G液,雎然保存溫度係使用在自例如 一 1 〇°C回到室溫附近之溫度的範國內,但是爲了要得到 均勻的膜厚,必須要以遽當之一定的溫度被塗佈在晶圓W . 上。因此,在塗佈機構2 5設置可將S 0G液以設定溫度 自SOG液供給喷嘴8 2吐出的調溫機構。 圖2 3係表該調溫機構之構造圖。SOG液供給管 1 〇 2係經由有經控制在一定之溫度的調溫水,亦即恆溫 水流過之調溫水通路1 0 3之中'而被連接到S 0 G液供給 噴嘴8 2。藉該雙層管之構造,流經SOG液供給管 1 〇 2內側之SOG液,藉著與流經管之外側之®溫水的 熱交換可被調溫成設定溫度,例如2 3 . 5 °C。 圖2 4係表SOG液供給喷嘴8 2之具《構成例。 (請先閲讀背面之在意事項再填寫本頁) 本紙張尺度適用中國國家梂準(CNS ) A4规格(210X297公釐) -37 - 經濟部中央橾準局員工消費合作社印製 A7 B7 ___ 五、發明説明(35 ) SOG液供給管1 0 2係在軸方向貫穿SOG液供給嘖嘴 8 2的中央部,而SOG液供給管1 〇 2之前端部則形成 喷嘴前端部8 2 a。經過調溫水通路10 3之中而流來的 調溫水(恆溫水),則在嘖嘴上部之空8 2 b暫時被描住 ,而自形成在賅室8 2 b之側壁的排出口(未圈示)被排 出到外部。在嘖嘴中間部則設f里辑,,U 口 β 2 c.以.及療 媒導入室8 2 d,胲溶媒導入室8 2 d則經由在軸方向形 成在S Ο G液供給管1 0 2之周園的溶媒通路8 2 e而與 下端部之間賺或是小孔8 2 f相通。在SOG液供給嘖嘴 8 2被殷置在虛擬分配部1 0 0之狀態下,當I PA等之 溶媒自外部之溶媒供給部(未圖示)由溶媒導入口 8 2 C 被、導入到溶媒導入至8 2 d時,則被導入之溶媒會經由溶 媒通路8 2 e自下端部之間陳82 f被吐出,而將附著在 嘖嘴前端部8 2 a之外周面的SOG液予以沖落。 圖2 5係表SOG液供給部之具《構成例。在連接 SOG液供給嘖嘴8 2與三向閥10 4之出口的SOG液 供給管則依序朝著SOG液供給喷嘴8 2設置有過濾器 1 〇 5,異物檢測器1 0 6,空氣操作閥1 0 7以及吸入 閲(suck bade valve) 1 〇 8。其中三向閥1 0 4之其 中一個入口則經由SOG液供給管109被連接到SOG 液容器1 1 0。除了在SOG液容器1 1 0經由配管 112,113連接有氦氣供給源1 1 1外,亦經由配管 1 1 5,1 16,1 1 3連接有氮氣供給源,此外則經由 配管1 1 8,1 6,1 1 3連接有溶媒供給源1 1 7。 I紙張尺度適用中國國家梂準(CNS ) A4规格(210X297公釐) -38 - ~ ---------f -裝------訂-----,線 (請先閲讀背面之.Vi意事項再填寫本頁) 經濟部中央標準局員工消費合作杜ip裝 A 7 B7 _______ 五、發明説明(36 ) 配管1 1 3則藉三向閥1 1 9可以被切換到配管1 1 2或 是配管1 1 6。而配管1 1 6則藉三向閥1 2 2可以被切 換到配管1 1 5或是配管Γ 1 8。此外在配管1 1 2, 1 1 5,1 1 8分別設置過濾器1 2 3,1 2 4,12 5 0 三向閥 1 0 4,1 1 9,: 1 J JL.,. J 2 則分别_藉來一 自控制部1 2 6之切換控制僧號SW1 — SW4而被切換 控制。又空氣操作閥1 0 7以及吸入閥1 0 8之開關控制 亦是由控制部12 6來控制。異物檢測器1 0 6係一由在 由透明或是半透明之材料所形成之配管所構成之S 0G液 供給管1 0 2的兩側(外周側)呈對向地配滅發光元件與 受光元件而成時光學式異物檢測器,而用於檢測在S 0.G 液中之氣泡或是粒子。異物檢測器1 0 6之输出侰號則被 输入到異物檢測電路1 2 7,而自異物檢測電路1 2 7之 输出端子,將異物檢測信號供給到控制部1 2 6。在 SOG液容器110之底部附近的外側則股有用於檢測 S 0G液面,而例如由靜竃容量檢測器所構成的液面檢測 器 1 2 8 〇 在上述構造之S 0G液供給部,在平常時,則分別切 換三向閥1 1 9,1 0 4以便於使配管1 1 2與配管 1 1 3連通,而配管1 0 9則與配管1 0 2連通。藉此, 來自氦氣供給源111的氦氣即會被送到SOG液容器 8 2,而藉空氣壓,自SOG液容器1 1 0將SOG液供 給到SOG液供給喷嘴8 2。此外,氦氣是一惰性氣髏, 紙張尺度逍用中國國家梂率(CNS ) A4規格(210X297公釐) "" -.39 - ---------^ -裝------訂------f線 (請先閲讀背面之注意事項再填寫本頁) A7 B7 320741 五、發明説明(37) „ 即使是溶解在S 0 G液中,亦不會使液髋的性質改變j。 (請先閲讀背面之驻意事項再填寫本頁) 當SOG液容器11〇內之SOG液減少,而液面到 達一定之下限値時,則自液面檢測器1 2 8输出液面檢測 信號。控制部1 2 6則根據來自胲液面檢測器1 2 8之液 面檢測信號,而將三向閥1 1 9,1 2 0分別切換到配管 1 1 6,1 1 8乙側,而打開空氣作Μ 1 0 7以及取入. 閥1 0 8。又令SOG液供給嘖嘴8 2移動到虛擬分配齓 100。此時,來自溶媒供給源117之溶媒,例如 I P A,則會流經配管 1 1 8,1 1 6,1 1 3,S Ο G 液容器1 1 0,配管1 0 9,1 0 2而自SOG液供給喷 嘴8 2被吐出。藉此,可將配管,特別是S Ο G液供給配 管1 0 9,1 0 2之內壁洗淨,此外,SOG液供給喷嘴 8 2內部之通路亦被洗淨。 在上述配管完成洗淨後,控制部1 2 6會將三向閥 1 2 0切換到-配管1 1 5側。於是,來自氮氣供給源 114之氮氣會經由配管115,116,113, 經濟部中央橾準局員工消費合作社印袋 SOG液容器110,配管109,102,而自SOG 液供給噴嘴8 2被噴出。藉此,骸些配管的內部會爲氮氣 所淸洗(purge)。在藉胲氮氣完成清洗後,控制部 I 2 6會令三向閥1 1 9回到配管1 1 2側,而此次則是 藉氦氣對上述配管進行情洗,在藉該氦氣完成清洗後,則 空的SOG液容器110會與裝滿之SOG液容器交換。 在交換容器後,立即藉氦氣的加壓,而進行虛擬分配,而 令配管10 9,1 0 5以及SOG液供給喷嘴8 2之內部 本紙張尺度逋用中國國家梂率(CNS ) A4«l格(210X297公釐〉 -40 經濟部中央橾準局員工消费合作社印裝 Α7 Β7 五、發明説明(38 ) 充滿S 0 G液。 當異物檢測器1 0 6檢測出在S O G液中有異物時, 則控制部1 2 6會分別將三向閥1 〇 4,1 2 2切換到配 管1 2 1,1 1 8側。藉此,包含異物之SOG液以及來 自溶媒供給源1 17的溶媒則經由配管1 18,1 2 1, 1 0 2,而自SOG液供給噴嘴8 2被吐出。藉此, SOG液供給管1 0 2之內壁以及SOG液供給喷嘴8 2 內部則藉溶媒被洗淨。在洗淨後,控制部1 2 6則將三向 閥1 2 2切換到®管1 1 5側,而S 0 G液供給管1 0 2 之內壁以及SOG液供給喷嘴8 2的內部則藉氮氣而被淸 洗。其次則將三向閥1 0 4切換到SO G液供給配管 1 〇 9側,而藉氦氣的加壓進行虛擬分配。 圖2 6以及圖2 7係表塗佈處理部2 0之表面淨化單 元的構造,圓2 6係表單元內部之檎造的側面圖,圓2 7 係表單元內之遮片(shuter sheet)之構造的平面圖。如 圖2 6所示,在骸表面淨化單元中,晶園W是在圓盤形的 熱板1 3 0上,以一定之溫度,例如1 0 0°C附近的溫度 被加熱,而由位在正上方之紫外線燈1.32照射紫外線( UV)。在熱板1 3 0則內藏有可藉來自電源部1 3 4之 電力而發熱的發熱體,例如發熱電阻體1 3 0 a。又在熱 板1 3 0,則在較板面爲髙的位置設置單元外部之晶園搬 送器2 1以及依例如1 2 0°之間隔所設之用於轉送而能 夠昇降的個銷(未圓示)。來自紫外線燈1 3 2的紫外線 光,則藉遮門裝Λ 1 3 6只照射所要的時間。該遮門裝置 本紙張尺度逍用中國國家梂率(CNS ) Α4規格(210X297公釐) -41 - (婧先閲讀背面之注意事項再填寫本頁) 丨裝. 、»! 320741 A7 B7 五、發明説明(39) 136係一在連接到軀動馬達137之上捲輥子138與 反折輥子1 4 0之間架股一可藉由導輥1 4 2,1 4 4而 通過紫外嫌燈1 3 2之面前之遮蔽性的遮片1 4 6所構成 者θ如圆2 7所示,在遮片1 4 6則形成可讓紫外嫌光通 過的開口 146ae 其次脫明介面部4 0之構造.。幾乎·大部分的構造則與 第1實施例的情形相同。如圓2 8所示,晶園搬入•搬出 檐構4 4則被安裝在畀降台1 5 1上而可藉旋轉軸1 5 0 在/9方向旋轉。又昇降台1 5 1則爲垂直支撑軸1 5 2所 支撑而可在垂直方向昇降移動。藉此構造,晶園搬入•搬 出機構< 4 4,在定位機梅4 3與晶園板4 1之間可在X, Υ,Ζ,Θ之方向移動而將晶圓W—個個地加以移送。 經濟部中央標準局員工消费合作社印製 (請先閲讀背面之注意事項再填寫本頁) 如圖2 8所示,塗佈處理部2 0側之晶園搬送器2 1 會移動到介面部4 0,當將晶園W搬送到定位機構4 3之 正上方時,則旋轉夾頭4 3 d會上昇移動而接受晶園W。 其次,旋轉夾頭4 3 d則藉驅動馬達4 3 f之軀動而旋轉 ,而令晶圓W旋轉於園周方向(自轉)。如此,當位置檢 測用檢測器4 3 e檢測出晶園W之定向邊時,則自胲位置 (時間),旋轉夾頭4 3d會只旋轉一定的角度即停止, 而晶園W即被定位以使定向邊朝向晶園搬送器2 1側。 此外,如圖2 8所示,塗佈處理部2 0側之晶圃搬送 器2 1具有半園弧狀的形體,在其臂之內周緣的兩端部以 a 及中心部的3個位置則安裝有用於對晶園W呈3黏支揮而 突設之晶圓支撑構件2 1 a。在本資施例中,與晶圃W會 本紙張尺度逋用中國國家搞準(CNS ) A4规格(210X297公釐) -42 - 經濟部中央標準局員工消費合作社印装 A7 B7 五、發明説明(40 ) 直接接觸之賅些晶圓支撑構件2 1 a之支撑銷2 1 b係由 耐热性,剛性以及加工件優越的材質,例如聚醣亞胺所構 成。因此,即使晶圓搬送器2 1頻繁地出入於塗佈處理部 2 0內之各種的單元,亦可在良好的狀態下安全地移送晶 園Ψ。 圖2 9係表用於說明本實施.例中-之.晶園板4 L之邊園--W之收容狀態的大略側面圖。位在晶園板41之上端部以 及下端部之一定個數,例如5個之晶圓收容領域則被設定 成可以用於經常收容虚擬晶圔D d之常置虛擬晶圓收容領 域來使用。而爲該些上下之常置虛擬晶園收容領埤所挾持 之位在中間之5 0個晶園之(2個批置單位)晶圓收容領 搣,則可因應所需將之設定爲作爲用於收容晶圓W (通常 是爲了搬送乃至於保管)之被處理晶圓收容領域來使用。 藉此,被收容在晶園收容領域之晶園W,則與收容位置無 關,所有的晶園會被置放在均一的環境(特別是溫度), 而藉熱處理部30實施均匀的處理。 但是,當被收容在晶園收容領域之作爲被處理基板的 晶圓W不足設定個數(5 0個)時,則在晶圓板4 1之晶 園收容領域會產生空出的位置。當晶園板41在具有該空 出位置的狀態下被搬送到熱處理部3 0而接受批次式的熱 處理時,則與空出位置相鄰接之晶園W的周園溫度乃至於 處理溫度則不能與其他的晶園W相同,而無法進行均匀的 熱處理。在本實施例之介面部4 0中,當不知何原因導致 在晶圓收容領域產生晶園W之空出(不足)位置時,則可 本紙張尺度遑用中國囷家揉準(CNS ) A4規格(210X297公釐) -43 - ----------装------訂-----《線 (請先閲讀背面之註意事項再填寫本頁) 經濟部中央樣準局員工消費合作社印製 A7 B7 五、發明説明(41 ) 如下所述般,在將虛擬晶園D d補充收容在各空出位置後 ,再將胲晶圖板4 1轉送到熱處理部3 0。 如圖2 8所示,檢測臂係自晶_搬入•搬出機構4 4 之搬送基台4 4 d的前端部而突出。而在胲些檢測器4 8 之前端安裝有彼此互相呈對向時發光部4 8 a與受光部 4 8 b。根據由程式所股定之.-τ·.定的動.作流程,.搬入.·.搬· 出機構4 4會移動到板移送器4 6上之晶画板4 1的正面 ,而如圓3 0以及圖3 1所示,在令兩檢測器4 8朝晶圓 板4 1內之晶園W之前端部的兩側前進的狀態下而上昇( 或下降)移動(掃描),而在各晶園收容位養藉檢測來自 發光部4 8 a之光線是否被遮斷或是是否到達受光部 48b而檢測有無晶園W。 當藉骸晶園檢測動作檢測出空出位置時,則搬入•搬 出機構4 4會移動於Y方向,而自虛擬晶園板4 5搬送虛 擬晶園Dd,且將虛擬晶圓Dd搬入到胲空出位置。藉此 ,晶園板4 1,可在所有晶園收容位置皆收容晶園W或是 虛擬晶園Dd之滿載的狀態下,自介面部4 0被移送到熱 處理部3 0。 搬入•搬出機構4 4則自板移送器4 6或是虛擬晶園 板4 5將晶圃W或是虛擬晶圓Wd —個個地加以搬送,而 將之搬入到晶園板41之一定的晶圖收容位置。但是,在 因振動或是其他的原因導致晶園W或是虛擬晶園D d無法 正確地進入到內側,亦即,晶圓外周緣部無法正確地*** 到晶圖板41之各晶圓保持棒41d的晶園保持溝41c 本紙張尺度逋用中國國家標率(CNS ) A4规格(210X297公釐) -44 - : ----------Γ -裝------·訂-----f線 (請先閲讀背面之往意Ϋ項再填寫本頁) 320741 經濟部中央橾準局員工消費合作社印裂 A7 B7五、發明説明(42 ) 內,而晶園W或是虛擬晶圓D d會在突出到前方的狀態下 被收容。如此般突出到前方的晶園W,在晶圃板41被移 到熱處理部3 0之際,會有自晶圃板41脫落的顧慮。又 在藉搬入•撤出機梅4 4來搬出搬送之際,會有導致搬出 失誤或是搬送失誤的顧虛。因此,在本實施例之搬入•搬 出機構4 4則備有可以檢測出骸-突喜之…晶圓W或是虛擬晶-圓D d而將之往內推的機構。 如此般,當檢測出在晶園板41(或是虛擬晶園板 4 5 )內部有突出向前之晶MW(或是虚獷晶圓Dd )時 ,則如圖3 2所示,搬入•搬出播構4 4會藉形成在叉部 4 4 f之基端部的突起部4 4 f >,而將賅晶圖W1自動 地朝板之內側推入,而成爲正確的晶園收容狀態。在圖 3 2中,虛線Wi /是指一般(晶園之搬入•搬出時)晶 園Wi載置在叉部4 4 f上的位置。此外,亦可取代藉上 述突起部4 4 f <來推入之方式,而改採將晶圃W載置在 叉部44f上而讓其朝內側移動的方式。 此外,檢測在各板中之晶圃之突出異狀的方法,除了 上述將光學式檢測器安裝在板移送器4 6之方法外,亦可 爲利用搬入•搬出機構4 4來進行對照(mapping)的方 法。亦即,如圖3 3所示,搬入•搬出機構4 4在位於較 被正確地收容(沒有突出)在晶園板41 (或是虚擬晶圃 板4 5 )之晶園W之前端部位置稍爲前方之位置的狀態下 ,藉兩檢測臂4 8之前端部(發光•受光部)昇降移動, 可以對各晶圈W檢測上是否突出。 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) -45 - 經濟部中央榡準局員工消費合作社印製 -46 ~ A7 B7 , 五、發明説明(43 ) 其次脫明熱處理部3 0的構造。在圖1以及圖2中, 热處理部3 0,在相對於介面部4 0而與塗佈處理部2 0 呈相反側被連接之單元室內乃備有:被收容在箱型盖之園 简狀的熱處理爐31以及可將晶圓板41移送在介面部 4 0之板移送器4 6與熱處理爐3 1之間的移送機構3 5 。在介面部4 0之與板移送器接-近·之單元室之.壁.的c 定位置則形成有可供晶圓板41通過之開口窗或是板閘 7 5。在骸開口窗7 5亦可安裝開閉)門.(未圓示)。 圚3 4以及圖3 5係表移送機構3 5自介面部4 0之 板移送器4 6將1個晶園板4 1搬入到單元內而將之搬入 到熱處理爐3 1時之狀態的大略平面圖。圖3 4所示之移 送機構3 5大致上與圖1 8所示之移送機構相同,兩者不 同點即是昇降機構3 5 b係被連結到水平支撑板1 6 3, 賅水平支撑板1 6 3則被安裝在一支撑在導軌1 6 6而可 在鉛直方向自由滑動之線性導引器1 6 5上。因此,藉舁 降機構1 6 4,移送機構3 5整個可以昇降。而如圓3 4 所示,在移送機構3 5之旋轉軀動部1 6 1面向開口窗 7 5側的狀態下,板支撑臂1 6 2會前進移動而進入介面 部4 0之單元室內,而其手部1 6 2 a會卡合在位於板基 台4 6 a上之晶園板4 1之下部基板4 1 b的下方。其次 ,移送機構3 5整體會稍微地上升而使晶園板4 1稍微地 自板基台4 6 a上浮,在支撑晶圃板4 1之狀態下,會後 退移動到如圓之一點鎖線1 6 2 >所示之位置爲止(晶圓 板 4 1 一〉。 ^紙張尺度遑用中國國家標隼(CNS ) A4规格(210X297公釐) ---------^ -裝-------訂 《線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央梂準局員工消費合作社印裝 A7 B7 五、發明説明(44 ) 其次,如圖3 5所示,移送機構3 5的旋轉驪動部 1 6 1會旋轉而朝向熱處理爐3 1側,其次如一點銷嫌 1 6 2 z所示,板支撑臂16 2會朝熱處理爐側前進移動 而將晶圓板41移送到熱處理爐31之正下方。其次,移 送機構3 5整個會上昇移動而將晶圃板4 1上舉,將之插 入或是裝塡到熱處理爐3 1之中。此外·,保溫简則與晶園-板4 1 一起被***到熱處理爐3 1內,在藉開關閉將熱處 理爐3 1之開口窗7 5關閉後,則對晶圓W實施熱處理。 在热處理結束後,晶園板4 1則藉移送機構3 5,而藉與 上述呈相反的動作自熱處理爐3 1被取出,且被移送到介 面部40之板移送器46。 其次就本實施例之基板處理裝置之動作加以說明。首 先,藉晶圃搬送用臂14自裝卸部10之卡匣載置台上之 其中一個晶圖卡匣11取出1個未處理的晶園W,被取出 的晶園W則在轉送位置被送到塗佈處理部2 0側之晶圓搬 送器2 1。其次,在塗佈處理部2 0中,晶圖W則藉晶園 搬送器2 1被搬入到冷卻機構2 3 ,在此被調溫到例如 2 2 °C左右的溫度。被調溫的晶園W,接著則藉晶園搬送 器2 1被移送到塗佈機構2 5,在此藉旋轉被覆法,將 S OG液依一定的膜厚度均匀地塗佈在晶園之背面。 其次,該晶園W則被搬送到烘焙機構2 4內,而讓被 塗佈在晶園W上之SOG液中的溶媒蒸發。其次,已塗佈 SOG液之晶園W則藉晶園搬送器21被移到表面淨化單 元,而在此藉熱板邊加熱,霞周時藉紫外線光照射紫外線 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) -47 - ----------^ I裝—-------訂-----《線 (請先閲讀背面之柱意Ϋ項再填寫本頁) 經濟部中央樣準局員工消费合作社印裝 A7 _ B7 五、發明説明(45 ) °藉此,存在於表面淨化單元內之氧氣.〇 2,則可藉 -1 8 4 nm附近的紫外線波長而變成、臭氧〇 3,該臭氧〇 3 ) 接著則爲2 4 5 n m附近之紫外線波長所激發而產生氧原 子游離基0# 。藉該氧原子游離基,被塗佈在晶園W之 $ 0 G液中的有機物C 1 H M L N則被分解成二氣化碳 C 〇2與水H2〇而自S0G液中..被.除去·。如此般,.自..,…*.. S 〇G膜除去有機物的結果,可以使S 0G膜之表 親水化。 如此般經實施表面淨化處理的晶園W,則藉晶圓搬送 器2 1被移送到介面部4 0之定位機構4 3。而在介面部 4 〇,晶園W會藉定位機構4 . 3而接受定位(對準方向) 以及定心後,藉位於介面部4 0內之搬入•搬出機構4 4 ,將之移送到板移送器46上之其中一個晶圃板41,而 被搬入到該晶園板4 1內之一定的晶圓收容位置。該塗佈 處理部2 0之一連串的塗佈工程與自介面部4 0之定位機 構4 3移送到晶圃板4 1的工程乃反覆地被實施,而將形 成S 0G膜後之晶園W依序呈多段堆稹狀地收容在該晶圓 板4 1 ° 其次,當處理用之晶圓W以及虛擬晶園D d全部被 收容在晶園板4 1時,亦即,當收容有1個批置(5 0個 )之晶園W以及10個虛擬晶圓Dd時,搬入•搬出機構 4 4會移動於Y方向,而晶圓板41會被移送到位在開口 窗7 5前之板轉送位置,而自該處,藉熱處理部3 0側之 移送機構3 5被搬入到熱處理部3 0之單元室內,而如此 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) -48
I--------Γ -裝------訂-----、線 (請先閲讀背面之注意事項再填寫本頁) ,'____I_____I 經濟部中央標準局員工消費合作社印裝 A 7 ___B7_________ 五、發明説明(46 ) 般地被裝填在熱處理爐3 1之中。在熱處理爐3 1中,被 裝填在爐中之晶園板4 1內之1個批量單位的晶圓W,則 藉一定之加熱溫度,例如4 0 0 _ 4 5 0 °C同時被實施退 化,而將各S 0 G膜予以固化。 如此般,在熱處理部3 0中,在晶園板4 1內之1個 批置單位之晶園W接受批次式之.熱處.連的期間,則在塗佈-… 處理部2 .0中,另一個批置單位的晶園W則正在以片頁式 的方式一個個地被實施S OG液塗佈處理,經實施S OG 液簞佈處理後之各晶圓W則依序呈多段式被收容在位於介 面部4 0之其他的晶園板4 1。藉此,在熱處理部3 0側 完成熱處理的晶圓板4 1,在回到介面部4 0之板移送器 4 6時,則已完成SOG液塗佈處理的晶園W即會全部( 1個批置單位)地被收容在晶圓板41。 下一個晶園板41即自介面部40被移送到熱處理部 3 0,在實施批次式熱處理之期間,則藉搬入•搬出機構 4 4,自晶園板4 1將完成熱處理之晶園W—個個地移送 到定位機構4 3,更者則將之轉送到塗佈處理部2 0側的 晶園搬送器2 1。 當以一次塗佈將S OG膜塗佈在晶圃W時,則被轉送 到塗佈處理部2 0側之晶園搬送器2 1的晶圓W會回到裝 卸部1 0。又與該將處理完畢之晶園W回收到裝卸部1 0 之動作並行,藉塗佈處理部2 0依序依片頁方式在1個批 量單位之下一批未處理之晶園W形成S OG膜,而在塗佈 後,則脾之收容在介面部4 0內之下一個晶圓板41。 i紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) -49 - '~~ I-------— -Γ Γ裝------訂-----f .線 (請先閲讀背面之註意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印装 A7 B7 五、發明説明(47 ) 又,當要在晶園藉數次的塗佈形成S 0G膜時,則在 完成第1次的處理而被轉送到塗佈處理部2 0側之晶圓搬 送器2 1的晶圃W,則在塗佈處理部2 0內反覆地實施與 第1次之塗佈工程同樣的塗佈處理,而再度在介面部4 0 放入晶園板4 1而被送到热處理部3 0。此時,在塗佈* 理部2 0,由於是以前次被塗佈..么名…〇 -G膜爲底部,.在-其上塗佈新的S OG膜,因此爲了要使該底部腆之親水性 變得良好,在塗佈新的S OG膜以前,在正確地藉冷卻機 構2 3進行溫度調整之前,最好要在表面淨化單元中藉紫 外線照射自底部S OG膜除去有機物。此外,在上次多次 塗佈時,在藉塗佈處理部2 0塗佈多次的SOG膜後,則 在熱處理部30進行熱處理。 如此般,在本實施例之基板處理裝置中,對晶園W — 個個塗佈S OG液之片頁式塗佈處理部2 0則被連接到介 面部4 0的定位機構4 3,此外在多個在塗佈處理部2 0 中被塗佈S OG膜的晶園W收容在晶圖板4 1的狀態下, 可對各晶園W上之S OG膜同時實施退火之批次式的熱處 理部3 0則被連接到介面部4 0之板移送器4 6,而在介 面部4 0內,藉搬入•搬出機構4 4將晶園W—個個地移 送於定位機構43與板移送器46之間。 根據該介面機構,片頁式之塗佈處理部2 0與批次式 之熱處理部3 〇可以被jjLil丄,因此在兩者之間, 晶圈W可以不暴霣在處理裝置外的大氣中,即能迅速且自 由地取出。在以1次塗佈來形成S OG膜時自不待首,即 本紙張尺度適用中國國家梂準(CNS)A4規格( 210X297公釐) -50_ -----------裝------訂-----《線 (請先閲讀背面之技意事項再填寫本頁) ^20741 at B7 經濟部中央橾準局員工消費合作社印製 五、發明説明(48 ) 使是在藉多次塗佈來形成S 0 G膜時,亦可園滑且有效率 地進行S 0G液塗佈工程與熱處理工程之一貫的連嫌處理 ,而能夠大幡地提髙整個系統的生產率。 又將介面部4 0設成可在正壓的狀態下對被處理體進 行移送或是保管的狀態,藉此可以使移送或是保管中之晶 _W的S 0G膜容易被保護而不致於被吸收水分,而在熱--處理之際才會較不會產生裂痕,藉此能夠形成高品質的 S 0G膜。其具髏的機構可以爲一將被除濕之清淨空氣供 給到介面部而形成正壓狀態的淸淨空氣供給機構。又,此 時在介面部最好是設置淸淨空氣供給機構,吸入來自賅淸 淨空氣供給機構之下流氣流的淸淨空氣而予以排氣的排氣 機構,可以開閉的門以及在打開門時能夠停止排氣機構之 動作的排氣控制機構。藉設置排氣機構可以提高空調機構 的效率。又當介面部的門被打開時,則排氣控制機構會作 動而停止排氣機構的運轉。藉此,來自清淨空氣供給機構 的清淨空氣,在自門打開時即流出到外部而形成空氣簾, 而阻止外氣乃至於粒子流入。更者,用於供給介面部4 0 內之清淨之下流氣流的機構則亦可當作裝卸部10內之空 調機構來使用。此時,可將清淨的晶圓轉送到塗佈處理部 2 0,又完成S 0G液塗佈•熱處理的晶圓,則可在清淨 的狀態下轉送到外部之其他的處理裝置。 此外,在本實施例中,雖然是就熱處理部3 0之熱處 理爐3 1使用縱型热處理裝置的例子加以說明,但是熱處 理爐3v 1亦可利用横型热處理裝置。塗佈處理部2 0內之 本紙張尺度逍用中國國家標率(CNS ) A4说格(210X297公釐) -51 _ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7五、發明説明(49 ) 各種單元的構造能夠任意地予以變形或變更。介面部4 0 內之定位機構4 3,搬入•搬出機構4 4以及板移送器 4 6之形狀,構造亦可任意地予以變形或樊更。又,本實 施例除了製造.裝置中之形成層間絕緣膜的系統外,亦可應 用在要在片頁式處理裝置與批次式處理裝置之間進行一貫 連績 之任意的處理系統。~ _ 第3實施例 塗佈在晶圓W之S 0 G液中的矽烷醇化合物具有容易 乾燥,結晶化(凝固)的性質。因此,當在SOG液之供 給系統中,若在S 0G液中混入氣泡或是凝固之S 0G等 的異物時,在矽烷醇化合物乾燥的同時會凝固而附著在供 給管或是供給嘖嘴,而之後會有剝離而產生粒子的顧慮。 在骸S 0G液供給系統中,當產生粒子時,則在以後之 5 0G液的塗佈工程中,除了粒子會附著在晶園W上而導 致晶園受到污染外,亦會使良品率降低。又即使是在塗佈 工程之處理液的排出部,已經使用完畢之S 0G液中的矽 烷醉化合物會乾燥凝固而產生粒子,而會有該些粒子會在 處理室內逆流而污染晶圃的問題。該問題,除了SOG液 以外,對於具有利用壓送氣體來壓送處理液而供給到被處 理«1上之供給系統的基板處理裝置中亦同樣地會產生。 在此,本實施例即提供一種能夠防止因處理液中所包 含之成分的乾燥,凝固而導致異物附著在被處理髓而可以 提商製品之良品率的基板處理裝置。具體地說,在本實施 本紙張尺度逍用中國國家標準(CNS ) A4规格(210X297公釐) -52 - ---------^ 裝------訂-----《级 (請先閲讀背面之注意Ϋ項再填寫本頁) · 320741 A7 B7 五、發明説明(5Q ) 例中乃具備有可將處理液供給到被處理«之處理液供給喷 嘴以及經由供給管連接到賅處理液供給喷嘴之處理液收容 容器,而經由切換檐構可以供給洗淨液之洗淨液供給源則 連接到上述供給管,根據來自用於檢測流經上述供給管之 處理液中之異物之檢測機構的信號來切換上述切換機構, 而可將上述洗淨液供給到上述供給管-以及處理液供給喷嘴-- 的基板處理裝置。 --------------- 在此,最好是將藉由切換機構可供給置換氣體之置換 氣镰供給源連接到供給管,根據來自用於檢測流經供給管 之處理液中之異物之檢測機構的僧號來切換上述切換檐構 ,而在將洗淨液供給到供給管以及處理液供給嘖嘴而完成 洗淨後,才供給置換氣酱。 又,最好是在處理液收容容器安裝用於檢測處理液之 下限液面的液面檢測機梅,在根據來自骸液面檢測機構之 信號來切換上述切換機構而將洗淨液供給到處理液收容容 器以及供給管而完成洗淨後,才將置換氣《供給到供給管 (請先閲讀背面之<意事項再填寫本頁) 經濟部中央樣準局員工消費合作社印製 在本實施例之基板處理裝置中,雖然上述供給管具有 洗淨功能,但是除了供給管以外之處理液供給系統的處理 液供給喷嘴亦最好是具備洗淨功能。此時,讓處理液供給 喷嘴具備洗淨功能的機梅,則最好是讓處理液供給噴嘴之 待機位置與虛擬分配位置呈獨立,而分別具備洗淨功能。 又,在處理液之供給系統以外,最好連排液系統以及排氣 系統都要具備洗淨功能。 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐) -53 - 320741 A7 B7 經濟部中央梂準局員工消費合作社印製 五、發明説明(51 ) 根據如上所構成之本資施例的基板處理裝置,乃藉由 切換機構將洗淨液供給源速接到供給管,而根據來自用於 檢測流經供給管之處理液中之異物之檢满機構的信號來切 換上述切換機構,而將洗淨液供給到供給管內,遂將供給 管以及處理液供給喷嘴之內部予以洗淨。因此可以防止異 物附著在被處理髋而提髙製品之良品.率』又,藉由切換機-梅將洗淨液供給源以及置換氣《供給源連接、到供給管,而 根據流經供給管之處理液中之異物之檢測機構的信號來切 換上述切換機構,將洗淨液供給到容器以及供給管內部, 在洗淨供給管以及處理液供給喷嘴內部後,則將置換氣镰 供給到供給管內而預備以後的處理。 又,在處理液收容容器安裝可用於檢測處理液之下限 液面的液面檢測機構,根據來自液面檢測機構的信號來切 換上述切換機構,當處理液收容容器內之處理液成爲一定 置以下時,則切換機構會動作而使洗淨液在供給管以及處 理液供給喷嘴內部流動而洗淨供給管以及處理液供給喷嘴 。又在洗淨供給管以及處理液供給喷嘴之後,則將置換氣 體供給到供給管內而將供給管之內部清洗,之後,則供給 壓送氣體,而對處理液供給噴嘴實施假分配。 以下說明本實施例之基板處理裝置的具慷實施例。圖 3 6以及圖3 7係表塗佈處理部。該塗佈裝置,如圖3 6 以及圓3 7所示,其主要部分是由:可眞空吸著保持晶圃 W,而令其水平旋轉的旋轉夾頭2 2 0 .,包園旋轉夾頭 2 2 0之呈有底園简狀的處理杯部2 2 1,將處理液,例 請 先 閲 讀 背 fi 事 項入 再、 4 1 %裝 本衣 頁 訂 線 本紙張尺度遑用中國國家標準(CNS ) A4规格(210X297公釐) -54 - 經濟部中央標準局員工消費合作社印裝 320741 A7 B7 五 '發明説明(52) 如SOG液之供給喷嘴2 2 5選擇性地移動到旋轉夾頭 2 2 0上以及喷嘴待機部2 2 2上的喷嘴搬送臂2 2 3以 及令胲喷嘴搬送臂2 2 3移動之臂移動機構2 2 4所構成 0 旋轉夾頭2 2 0之下端部最被固定在可依一定的旋轉 速度讓旋轉夾頭2 2 0以及晶旋轉的馬遠2 2- 6的旋 轉軸2 2 7處理杯部2 2 1係由:如包園旋轉夾頭2 2 0 之晶圓保持部2 2 0 a的周園而設成同心園狀的內杯 2 2 8以及收容胲些旋轉夾頭2 2 0與內杯2 2 8而在內 部形成處理空間之外杯2 2 9所構成。在外杯2 2 9之底 部設有排氣口 2 3 0與排液口 2 3 1。而經由配管2 3 2 將未圖示之排氣裝置連接在排氣口 2 3 0,在對晶圃W實 施塗佈S 0G液的處理時,飛散的S 0G液或是粒子則會 與處理部內之空氣一起地自排氣口 2 3 0排出。又經由配 管2 3 3將未圖示之廢液收容槽連接在排液口 2 3 1,而 沿著外杯2 2 9之內面或是內部流下而被集中在外杯 2 2 9之底部的S O G液則自排液口 2 3 1被排出以及回 收。 在處理杯2 2 1內的表面則附著有由於晶園W之旋轉 而飛敝的S 0G液。當保持胲狀態而置放時,則會結晶而 固酱化,遂成爲粒子產生的來源,又會使得杯部2 2 1內 的氣流變亂,而使得塗佈均勻,性變差。因此必須要適當地 加以洗淨除去,在此,如圖3·7所示,在外杯2 2 9之上 部開口綠部2 2 9 b則沿著整個周園依逋當的間隔形成多 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) 55 <請先閲讀背面之拄意事項再填寫本K ) 丨裝.. 訂 經濟部中央樣準局貝工消費合作社印裝 320741 A7 B7 五 '發明説明(53 ) 個可供異丙烯醉(I PA)等的洗淨液R朝外杯內面 2 2 9 a流下之狹縫狀的洗淨液吐出孔2 3 5。又,在內 杯2 2 8之上端部則沿著整個園周依逋當的間隔形成多個 可供洗淨液R朝內杯2 2 8之外側傾斜面2 2 8 a流下之 狹縫狀的洗淨液吐出孔2 3 6。胲些洗淨缺吐出孔2 3 5 ,2 3 6則與分別形成在外杯2.…2…心.以及內杯2. 2. 8迄內-部之環狀的液體備留部2 3 7,2 3 8連通,而自後述之 洗淨液供給源(未圓示),藉由溫度調節機構以及流量調 節機構將洗淨液R供給到各液镰儲留部2 3 7,2 3 8, 而以逋當的流置以及溫度,自洗淨液吐出孔2 3 5, 2 3 6吐出洗淨液R。胲藉洗淨液R流下而洗淨處理杯 2 2 1的動作是在毎次對1個晶圆W完成SOG液塗佈處 理時所進行者。 又,位在內杯2 2 8之內側的基部構件2 4 0等容易 被使用在杯部洗淨,側面清洗,背面清洗之環己酮等之有 機溶劑所腐蝕而難以洗淨的構件,則可藉在表面實施耐薬 性處理而提高其耐蕖性。亦即,如圖3 8所示,在基部構 件2 4 0之表面形成鋁氧化膜'2 40 a,而在該鋁氧化膜 2 4 0 a之表面形式具有耐藥性而例如由鎮樹脂所構成的 塗膜2 4 O b,而讓其具備耐藥性。此外,被配®在處理 杯2 2 1附近,而有SOG液附著顧慮的構成構件,例 如空壓缸等之空氣配管則使用對環己酮具有耐性的例如氟 樹脂製管。 又,處理杯2 2 1之排氣口 2 3 0以及排液口 2 3 1 本紙張尺度適用中國國家梂準(CNS)A4规格(2丨〇 X297公釐) -56· ---------^ -裝------訂-----f線 (請先閲讀背面之在意事項再填寫本頁) 320741 A7 B7 ____ 五、發明説明(54 ) (請先閲讀背面之注意事項再填寫本頁) 與配管2 3 2,2 3 3的連接部,則如圖3 7所示,乃成 爲將安裝在配管2 3 2,2 3 3之前端的被覆構件2 4 4 被覆在被安裝在排氣口 2 3 0,排液口 2 3 1之喷嘴 2 4 2而加以固定的構造。在被覆構件2 4 4,藉在其內 部股讎與配管2 3 2,2 3 3相同內徑之堰構件2 4 5, 而沿著內周面形成液谶備留溝.4 Li此外側.貫穿被覆構-件2 4 4的壁部而設置可將洗淨液R供給翻液镰備留溝 2 4 6的洗淨液供給管2 4 7。此外,則自後述之洗淨液 供給源(未圖示),將洗淨液R貍由洗淨液供給管2 4 7 供給到液體備留溝2 4 6,藉慢慊地從堰構件2 4 4而溢 流(over flow),可以讓洗淨液R在配管2 3 2, 2 3 3之整個內周面均勻地流下而經常進行洗淨。 經濟部中央標準局貝工消費合作社印装 SOG液供給喷嘴2 2 5,如圖4 0所示,乃經由 SO G液供給管2 5 0被連接到SOG液收容容器2 5 1 。此外則將能夠邊控制壓送氣體,例如氣(H e )氣流的 流置,而邊將S OG液壓送到其內部之壓送氣镰供給源 2 5 2經由Μ送管2 4 8連接到SOG液收容容器2 5 1 。在此,壓送氣體使用氦氣的理由,是因爲H e溶解在 S OG液的可能性較小,且即使是溶解在SOG液中,亦 不會造成惡劣影響的綠故。因此只要是不會產生惡劣影騫 的氣髗,亦可以使用H e氣體以外的氣健。 在上述S Ο G液供給管2 5 0,則自S Ο G液供給費 嘴2 5側依序設置吸入閥2 1 6 a,開閉閥2 16 b ,通 濾器2 1 6 c以及第1三向切換閥2 1 7 a (切換機梅〉 -57 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 五、發明説明(55 ) 。在第1三向切換閥2 1 7 a之與SOG液供給管2 5 0 連接以外的口部(port ) 2 1 8 a,則經由洗淨液供給管 2 4 7被連接到例如甲醉等之洗淨液的供給源2 5 3。而 在胲洗淨液供給管2 4 7則設有第2三向切換閥2 1 7 b ,在胲第2三向切換閥2 1 7 b之與洗淨液供給管2 4 7 連接以外的口部2 1 8 b則被連接.到肩如氮(N 2 ).氣筹一 之置換氣«供給源2 5 4。另一方面,在壓送管2 4 8則 股有第3三向切換閥21 7 c,在胲第3三向切換閥 217 c之與麈送管2 4 8連接以外的口部2 1 8 c,則 經由自洗淨液供給管2 4 7而分岐之旁通管2 1 7 a而被 連接到上述洗淨液供給源2 5 3。此外在旁通管 2 1 7 a則設有第4三向切換閥2 1 7 d,在該第4三向 切換閥2 17 d之與旁通管2 1 7 a連接以外的口部 2 1 8d則被連接到上述«換氣《供源2 5 4。 另一方面,在設在SOG液供給管2 5 0之過濾器 2 1 6 c的下流側,則如圔41所示,設置有可用於檢測 流經SOG液供給管2 5 0內之氣泡或是S 0 G液因變質 固體化而形成之固«物B等之異物的機構,亦即由例如投 光元件2 1 9 a與受光元件2 1 9 b所構成之光透過型的 檢測器2 1 9 c,而來自賅檢測器2 19 c的信號則被送 到中央演算處理裝置2 1 9 d (CPU),而在CPU 2 1 9 d中與事先的記憶之資料進行比較演算處理,其输 出信號則傳送到顯示機構,例如瞥告產生裝置21 9 e或 是上述第1乃至於第4之三向切換閥21 7 a〜2 1 7 d 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 請 先 閲
面 之 注
I 訂
線 經 濟 部 中 揉 準 局 貝 工 消 費 合 作 杜 印 製 -58 - 經濟部中央梯準局貝工消費合作社印裝 A7 B7 五、發明説明(56) 。此外,此時,至少檢測器2 1 9 c之投光元件2 19 a 與受光元件2 1 9 b所在之位置是內透明製梅件所形成, 藉此受光元件2 1 9 b可以感知到來自投光元件2 1 9 a 的光線(參照圖4 1 )。此外*異物之檢測機構並不限於 光透過式,其亦可便用超音波式。 又,在S 0 G液收容容器之:不部側面得設有用--於檢測容器2 5 1內之SOG液L之下限Μ的靜電容量檢 測器2 1 9 f,來自胲靜幫容董檢測器2 1 9 f的信號則 被送到上述CPU 2 1 9 d,與上述同樣地,來自 CPU 219d之输出信號可在CPU 217d中與 事先被記憶之賫料進行比較演算處理,而其输出信號則被 送到警告產生裝置2 1 9 e或是上述第1乃至於第4之三 向切換閥2 1 7 d。 藉如此而構成,當SOG液收容容器251內之 S 0G液減少到一定置以下時,則靜電容置檢測器 219f會作動,而其信號會被送到CPU 219d。 此外,根據來自CPU 2 1 9 d之輪出信號,第3以及 第4之三向切換閥2 1 7 c,2 17 d會作動而自動地切 換到洗淨液供給源2 5 3側,而將洗淨液供給到容器 2 5 1以及SOG液供給管2 5 0內,遂能夠自動地將容 器2 5 1內部,SOG液供給管2 5 0以及SO G液供給 嘖嘴2 2 5內部予以洗淨。其次,在亊先所設定之期間完 成洗淨後,藉CPU 2 1 9 d的控制,第4之三向切換 閥217d會切換,而置換氣體會自置換氣雠供給源 本紙張尺度適用中國國家標準(CNS)A4規格( 210X297公釐) -59 - —------上裝— (請先閲讀背面之注意Ϋ項再填寫本頁) 訂 線 320741 A7 B7 經濟部中央橾準局貝工消費合作社印製 五、發明説明(57) 2 5 4被供給到SOG液供給管2 5 0內部,而SOG液 供給管2 5 0內部則置換成氮(W 2 )氣。之後,第3之 三向切換閥2 1 7 c即會切換,而藉H e氣概來清洗懕送 管2 4 8的內部。此外,在將一定置的新的SOG液供給 到容器2 5 1內部後,則藉壅送氣酱(H e氣镰)來加懕 ,而在令SOG液供給喷嘴2.2.5-各ft圓W之ii方回避到-待機位置(圖4 2 A所示之虚擬分配位置2 6 7 )的狀態 下進行虛擬分配。 又雖然在S 0 G液收容容器2 5 1內存在有S 0 G液 L,但是當氣泡B等之異物通過SOG液供給管2 5 0內 時,則檢測器2 1 9 c會作動,而其信號會被送到C P U 2 1 9 d。此外,第1之三向切換閥2 1 7 a會根據來 自CPU 2 1 9 d的输出倌猇切換到洗淨液供給源 2 5 3側,而將洗淨液供給到過濾器2 1 6 c,S 0 G液 供給管2 5 0內,遂將過濂器2 1 6 c以及SOG液供給 管250內部予以洗淨。其次,第2之三向切換閥 2 1 7 b#根據來自CPU 2 1 9 d之输出倌號切換到 置換氣酱供給源2 5 4側,而將N2氣體供給到SOG液 供給管2 5 0內,遂清洗SOG液供給管2 5 0的內部。 此外,第1之三向切換閥2 1 7 a會回到原來的狀態,而 藉He氣髄,SOG液L會被加懕,且經由自洗淨液供給 管2 4 7而分岐的分岐管2 1 7 b被連接到SOG液供給 喷嘴2 2 5或嘖嘴待機部、側面清洗部、杯部洗淨部以及 排液•排氣部,而將洗淨液供給到賅些部分而進行清洗。 請 先 閲 讀 背 ίΐ 之 .注 意 事 項 再 裝 訂 線 本紙張尺度適用中國國家橾準(CMS )人4说格(210X297公釐〉 -60 - 320741 A7 B7 五、發明説明(58) 此外,在圖4 0中,2 1 6 d係壓力調整用的鶄整器, 2 1 6 e保流置計,2 1 6 f係表將簠送管2 4 8內之 He氣酱排出到大氣中的三向閥。 上述SOG液供給管2 5 0以及壓送氣«供給源 2 5 2之壓送管2 5 7,乃考嫌對環己酗的耐薬性而使用 氟樹脂製管。又,SOG液收容容器2-5 .1以及屋送氣I 供給源2 5 2係被股在位於SOG液塗佈處理部旁邊的薬 品備存部者,而S 0 G液收容容器251內之300液1^ 的溫度則是藉位在蕹品備存部內之未圓示的溫度調節機構 被保持在1 〇°C左右。而在SOG液供給嘖嘴2 2 5附近 之SOG液供給管2 5 0的途中設置可將供給到塗佈處理 之S Ο G液L的溫度調溫到例如2 3 °C左右之常溫而作爲 溫度調節機構的熱交換器2 5 5。胲熱交換器2 5 5則是 —可以讓調溫水在收容有SOG液供給管2 5 0之途中一 部分之熱交換器本雅2 5 5 a內循環,而使調溫水2 5 6 . · · · 經涛部中央棣準局貝工消費合作社印裝 與SOG液L經由配管2 5 0的管壁進行熱交換者,此外 ,最好將同樣的溫度調節機構設置在SOG液供給喷嘴 2 2 5 〇 又,在SOG液供給管2 5 0,則如圖3 9A所示, 在表面塗佈形成防止帶電腆2 5 7,且經由導線2 5 9使 裝置之本嫌框镰2 6 0等接地於此。藉此,可以防止 SOG液供給管2 5 0甚至於供給到晶園W之S OG液L 帶電,而防止粒子因爲靜電而附著或混入到S OG液供給 "管2 5 0或是晶圓W上。又,亦可取代防止帶電膜2 5 7 -61 - (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(21〇Χ29·7公釐) 經濟部中央梂準局員工消費合作社印製 A 7 B7 五、發明説明(59 ) ,如圖3 9B所示,在SOG液供給管2 5 0之表面捲練 例如導《帶2 5 8。 在SOG塗佈處理部之嘖嘴待機部2 2 2則股有用於 保持未使用之噴嘴的喷嘴保持部2 6 6,爲噴嘴搬送臂 2 2 3所保持,而自使用中的喷嘴2 2 5將用於實際塗佈 處理以外之一定置的S 0G液L·..吐出-莫將變質的S. CKG液-. 予以廢棄,且用於防止喷嘴2 2 5塞住之虛擬分配部 2 6 7以及可供使用中之喷嘴2 2 5暫時地待機之暫時待 機部2 6 8。此外,相對於旋轉夾頭2 2 0,在晡嘴待機 部2 2 2的相反側,則股有用於將被塗佈在晶園W之周邊 部之S 0G液予以溶解除去之側面淸洗用嘖嘴待機部 2 2 2 A ° 虛擬分配部2 6 7,如圖4 2A所示,係由在上下方 向形成貫穿孔2 7 0之內外雙餍構造的環狀塊體2 6 9所 構成,而將喷嘴2 2 5***到貧穿孔2 7 0內而讓SOG 液L吐出,且經由連接到貢穿孔2 7 0之下端部的排液管 2 7 4而排出。環狀塊镰2 6 9之內側構件2 7 1以及排 液管2 7 4係使用具有耐槊性之氰樹脂等的材料。在內側 構件2 7 1內則形成將其內同壁部留下來當作堰部2 7 3 而成之環狀的液體儲留溝2 7:2,而設置可貫穿環狀塊镰 2 6 9之壁部,而將洗淨液R,,例如I P A供給到液酱儀 留溝2 7 2之洗淨液供給流路2 7 5。此外,自未圖示之 洗淨液供給源,經由洗淨液供給流路2 7 5供給洗淨液R ,藉慢慢地自堰部2 7 3而湓流,可以使洗淨液R沿著排 本紙張尺度適用中困國家標準(CNS ) A4规格(2丨OX297公釐) -62 - ------^----Γ丨裝------訂-----^線 (請先閲讀背面之拄意事項再填寫本頁) A7 B7 五、發明説明(60 ) 請 先 閱 讀 背 面 之 ',注 意 事 項人 填 . %裝 本衣 t 液管2 7 4之整個內周面均句地流下而進行洗淨。又,在 環狀塊酱2 6 9之喷嘴***位置,則貫穿壁部呈對稱地形 成清洗氣《導入流路2 7 6與排出流路2 7 7,藉將氮( N2)氣等之清淨淸洗氣镰供給到噴嘴2 2 5的周園,可 以在淸淨的環境中實施虛擬·分配處理。 訂 骸虛擬分配部2 6 7係一在·^洗淨喷嘴2 2 5.之.周園之 際所使用者。此時,如圖4 2A所示,將浼淨液供給管 2 9 8連接到嘖嘴本體2 2 5 a的側部,而來自洗淨液供 給管2 7 8的洗淨液,則經由嘖嘴本《 2 2 5 a內的流路 2 7 9供給到喷嘴2 2 5的基部而流下,藉此能夠將喷嘴 2 2 2 5之周園洗淨。又,在嘖嘴2 2 5之外周則呈同軸 地設置管慷的被覆部2 8 0,而藉連通路徑28 Oa將喷 嘴2 2 5前端側與被覆部2 8 0予以連接,藉此若將洗淨 液供給到嘖嘴2 2 5的前端部時,則更能有效地洗淨喷嘴 2 2 5的周園。 經濟部中央榡準局貝工消費合作社印褽 又,暫時待機部2 6 8,如圖4 2B所示,保由內外 雙層構造的槽嫌塊镰2 8 1所構成,餘了設置可貫穿槽體 塊髏2 8 1之底部2 8 1 a附近的壁部,而將作爲SOG 液之溶媒的乙酵供給到槽2 8 2內的溶媒供給流路2 8 3 外,亦貢穿噴嘴2 2 5之***位置附近的壁部而設慨溶媒 排出流路2 8 4。此外,則自未圖示之洗淨液供給源經由 溶媒供給流路2 8 3將洗淨液R (溶媒)供給到槽2 8 2 內,而自溶媒排出流路2 8 4流出,藉此能夠使槽2 8 2 內之洗淨液R的儀留量經常維時在一定量。因此,將喷嘴 -63 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央橾準局員工消費合作社印製 A7 B7 五、發明説明(61 ) 2 2 5***到槽2 8 2內,藉喷嘴本嫌來封閉上部開口部 2 8 2 a,藉此,位在槽2 8 2內之溶媒液面2 8 5 a的 上方空間會爲洗淨液R的飽和環境所充滿,而能夠防止在 暫時待機之期間喷嘴前端部2 2 5 b之SOG液會發生凝 固0 此外,烘焙機構,冷卻機構,…介-面部以及热A理部之-梅造則與上述第1實施例以及第2實施例所示者相同。 其次則就如上所構成之S 0G塗佈•加热裝置的動作 情況加以脫明。此外,在此則就作爲本實施例之特徽部分 的塗佈處理來說明。至於其他的烘培機構,冷卻機構,介 面部以及熱處理部間之搬送或處理則與上述第1實施例以 及第2實施例所示者相同。 首先,如圖1以及圖2所示,令裝卸部10之晶Μ搬送 用臂1 4移動到用於收容未處理之晶HBW之移送卡匣1 1 的前方,而自移送卡匣1 1接受晶園W,且將之搬送到轉 送位置。被搬送到轉送位置之晶圓W在爲晶園瘢送器21 所接受後丨則被搬送到冷卻機構2 3而被冷卻到一定的溫 度。此外,則再度爲晶園搬送器2 1所接受而被搬送到 SOG塗佈處理部2 0,且被載置在SO G液塗佈處理部 2 0之旋轉夾頭2 2 0上。 當被載置在旋轉夾頭2 2 0上的晶圖W與旋轉夾頭 2 2 0 —起而旋轉時,則SO G'液供給噴嘴2 2 5會爲喷 嘴搬送臂2 2 3所保持而移動到晶國W上讓S 0 G液滴下 。此時,由於晶圃W會高速旋轉(2 0 0 0 - 6 0 0 0 $紙張尺度適用中國國家標準(CNS ) Α4规格(210Χ297公釐〉 -64 - -----------Γ 1裝------訂-----Γ線 (請先閲讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(62 ) rpm),因此SOG液會藉離心力而朝晶園W之周緣部 而擴散,遂在晶圈上形成(塗佈)SOG膜。SO G液的 滴下可以在晶園W停止中或是低速旋轉中來進行。在形成 SOG膜後,則側面清洗液供給喷嘴會移動到晶圓W上, 而藉淸洗液將位在晶園W之周邊部的S 0G膜予以溶解除 去。如此般經塗佈處理之晶甌W.則會.爲晶園搬送器2 1所 接受而被搬送到烘焙機構2 4。此外,亦可設置多個晶圓 搬送器21,在將塗佈完成之晶園W搬出後,才將未塗佈 之晶圃W搬入。在此,則大約以1 1 0〜1 4 0 °C的溫度 來加熱而使SOG液中的溶媒(例如β醉)蒸發。經賅烘 焙機構2 4完成預焙工程的晶圓W,則再度爲晶圓搬送器 2 1所接受而被搬送到介面部側,且被移送到中間轉送台 2 8 6,而將晶園W實施定位。該定位動作,係釀晶_冒 旋轉而藉光電方式使定向邊位置對準於亊先所設定的位置 被定位在一定之位置的晶圆W,在爲搬入•搬出機梅 4 4所接受後,則在被排列整齊在載置在板移送器4 6上 之空的晶圓板41內的狀態下被搬入。如此般,在塗佈處 理部2 0中經片頁處理的晶圓W則依序被搬入到晶園板 4 1,而將一定個數,例如5 0個晶圓W搬入到晶圓板 41。對於不足於晶圓板41所能保有之個數,(例如 6 0個)的不足部分,則被收容在虛擬晶圆板4 5之虛擬 晶園Dd會藉搬入•搬出機構4 4被搬入到晶園板4 1內 本紙張尺度逍用中國國家揉準(CNS)A4規格(210X 297公釐) 請 先 閲 讀 背 面 之 .注 意 事 項 再 裝 訂 線 經濟部中央樣準局員工消費合作社印裝 -65 - 經濟部中央標率局員工消费合作社印褽 A7 B7 五、發明説明(63 ) 當將一定數目的晶圓W與虛擬晶圓D d搬入到晶園板 4 1時.,則板移送器4 6會移動一定的距離,而晶圖板 4 1會移動到熱處理部3 0之連通位置,藉移送機構3 5 而被搬入到熱處理爐3 1之處理管3 2內。此外,藉熱處 理爐3 1以大約4 0 0 eC的溫度來加熱晶圃W,則被塗佈 在晶園W表面之S 0G膜可藉熱處理而被燒固。.此外,在 晶園W實施热處理之期間,則晶園W會依與上述词樣的順 序被搬入到其他的晶圖板41。 在藉熱處理爐3 1實施加熱處理後,則板昇降器會下 降,當晶圓板4 1自熱處理爐3 1的下方被取出時,藉與 上述呈相反的動作,在移送機構3 5接受晶圓板4 1後, 則移動到板移送器4 6之板載置位置,而將晶園板4 1轉 送到板移送器4 6上。在板移送器4 6接受晶園板4 1後 ,則移動一定的距離,且將其他的.晶園板4 1移動到連通 位置,而與上述同樣地,藉搬入•搬出機構4 4將晶圃板 4 1搬送到板昇降器而搬入到热處理爐3 1內,而被加熱 處理之晶園W,則藉搬入•搬出機構4 4自晶園板4 1被 搬出,在爲塗佈處理部2 0之晶圓搬送器2 1所接受後, 則藉裝卸部10之晶園搬送用臂14被收容在處理完畢晶 圓用之晶圓卡匣12內而結束慮理工程。 此外,在本實施例中,雖然是就在晶園W之表面塗佈 一次S 0G膜的情形加以說明,但是在塗佈二次S 0G膜 時,則是在S 0G塗佈處理部中塗佈S 0G膜,在藉烘焙 機構2 4而讓S 0G液中之溶媒蒸發後,將晶圓W搬入到 本紙張尺度適用中國國家榡準(CNS)A4規格U10X297分釐) -66 - ---------C -裝— (請先閲讀背面之注意事項再填寫本頁)
、1T '線 320741 A7 B7 五、發明説明(64) 介面部4 0之晶園板4 1內,此外則再度藉搬入•搬出機 構44自晶園板41被搬出,且將之轉送到塗佈處理部 2 0之晶圈搬送器2 1,與上述1同樣地在_冷卻機構2 3 而被冷卻後,則在塗佈處理部2 0中形成第二次的S 0G 膜,此外則搬送到烘焙機構2 4而謫SOG液中之溶媒蒸 發。如此般形成第二次S 0G膜时晶..B.W則藉撤入-•搬-出機構4 4依序被搬入到晶園板4 1,在將一定數目之晶 園W收容在晶園板41內之後,則被搬入到熱處理爐31 之處理管3 2內,而藉熱處理爐3 1實施熱處理。經熱處 理的晶圓W,在被搬送到介面部4 0後,則由塗佈處理部 2 0之晶園搬送器2 1,藉裝卸部1 0之晶圓搬送用臂 14而被收容在處理完畢晶園用之移送卡匣12內部,而 - 結束處理工程。又在晶園W塗佈二次SOG膜的其他方法 ,亦可在晶園W上塗佈SOG液而讓溶媒蒸發後,將之搬 入到熱處理爐3 1內而反覆實施熱處理,而在晶圓W上形 成多層的SOG膜。 經濟部中央標準局貝工消費合作社印裝 (請先閲讀背面之拄意事項再填寫本頁) 如上所述,可以在形成有配線圈案之晶圖W的表面塗 佈S 0G液而形成S 0G膜,且藉熱處理來烘焙S 0G膜 的SOG塗佈•加熱裝置,則在SOG供給管2 5 0設置 有可用於檢測流經SOG液供給管2 5 0內部之氣泡等之 異物的檢測器2 1 9 c,藉該檢測器21 9 c的動作可將 洗淨液供給到SOG液供給系統之SOG液供給管2 5 0 以及SOG液供給喷嘴,而將SOG液供給管2 5 0以及 S 0G液供給嘖嘴2 2 5內部自動地加以清洗,因此可以 本紙張尺度適用中國國家標準(CNS)A4规格(2!ox297公釐) -67 - 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(65 ) 防止因氣泡或S 0G液之乾燥•凝固所產生之粒子附著在 晶園W上,除了能夠防止晶围W受到污染外,亦能夠縑高 製品的良品率。又在SOG液收容容器2 5 1之下部外^ 則設有可用於檢測容器2 5 1內之SOG液L之減少量的 靜電容置檢測器2 1 9 f,藉賅靜電容量檢測器2 1 9 f 之動作,可以將SOG液收容容晷·2·~.5…1以及S 0 G被供 給管2 5 0內部自動地予以洗淨,與上述同樣地,可以防 止因S OG液的乾燥•凝固導致產生粒子,而能夠提髙製 品的良品率。 此外,在本資施例中,雎然是就將本發明之基板處理 裝置應用在晶園W之S OG塗佈•熱處理裝置來加以脫明 ,但是被處理髗除了晶園以外,亦可爲L C D基板。此外 當然亦可以使用S OG液以外的處理液來對被處理镰實施 塗佈處理。 如此般,由於本實施例之基板處理裝«如上所構成, 因此在檢測出流經供給管之處理液中有異物時,則將洗淨 液供給到處理液供給管內,而對供給管以及處理液供給嘖 嘴內部自動地進行淸洗,遂可防止異物附著在被處理髗, 而提高製品的良品率。又,在將洗淨液供給到容器以及供 給管內而對容器,供給管以及處理液供給喷嘴內部自動地 進行洗淨後,則將置換氣雔供給到經洗淨之容器,供給管 內以預備作以後的處理。更者,當處理液收容容器內之處 理液成爲一定置以下時,能夠對供給管以及處理液供給嘖 嘴自動地進行洗淨,而可以防止被處理髋受到污染,且提 本紙張尺度逍用中國國家標準(CNS ) Α4规吟(210 X 297公釐) -68 - ---------^ 1裝------訂-----C .線 (請先閲讀背面之註意Ϋ項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 _ B7 五、發明説明(66 ) 高製品的良品率。 如上所述,本發明之基板處理裝置,由於可以對經塗 佈處理之多個被處理體同時進行加熱處理而連績地實施塗 佈加熱處理,除了可以提髙生產率外,由於經塗佈處理之 被處理體不會暴露於大氣之中,因此不會吸濕或是有有機 物或微細灰塵附著,而得以提商I晶~的>良..品率_。.又由於.用〜 於收容虛擬被處理镰之虛擬被處理體的保持機構是載置在 移動機梅上,因此在將被處理嫌搬入到保持機構之際,則 針對不足保持機構所能保有個數之不足部分搬入虛擬被處 理«,而可藉保持機構經常保持由骸保持機_所能保有之 被處理體以及虚擬被處理體而實施熱處理,藉此能夠對被 處理値實施均勻的熱處理,而使塗佈膜的厚度能夠平均。 更者,則在介面部配設多個保持機構,藉在被處理《供給 部配設由較被配設在介面部之保持機構之數目少1個的保 持機構所能保有的被處理體,可利用預備的保持機構令經 塗佈處理完畢的被處理慨等待,因此可以進行多次的塗佈 處理。又即使是在對保持機構實施清洗或是更換時,亦不 必要使整個裝置的動作停止,即可連績地進行塗佈處理以 及加热處理。 第4實施例 晶園等之被處理髋是在實施洗淨後才形成膜。在本實 施例中,在膜形成工程,乃提供形成薄膜與塗膜之溶劑的 蒸發,塗膜的燒固作業等可以在同一個熱處理爐內進行的 本紙張尺度逋用中國國家標率(CNS ) A4规格(210X297公釐) -69 - (請先閲请背面之註意事項再填寫本頁) 丨裝- 訂 A7 B7 經濟部中央標準局員工消費合作社印褽 五、發明説明(67 ) 膜形成方法及其裝置。具體地脫,其特徴在於具備:可以 高速地昇溫以及降溫,而對被處理镰實施包含成膜處理之 熱處理的熱處理爐,保持多個上述被處理镰而相對於上述 熱處理爐搬入搬出的搬送機構,將處理液塗佈在上述被處 理酱之塗佈處理部,可在上述保持具與上述塗佈處理部之 間轉送上述被處理酱的移載機構以.及·甩於控制上述被處理-慷之搬送,移載與熱處理之溫度的控制部。 在胲構成中,首先將形成有配線m路之被處理體導入 . 到熱處理爐內,而在此形成第1筢緣膜,例如矽载化腆。 其次藉移載機構將形成有第1絕緣膜之被處理雅搬送到塗 佈處理部,而將處理液,例如S 0G液利用離心力一個個 地依片頁處理方式塗佈在其表面。將一定數目之經塗佈處 理液的被處理酱收容在保持具,而再度將其導入到熱處理 爐內。在熱處理爐內,被處理,镰係被髙速昇溫到溫度較低 之第1溫度,而以胲溫度加熱一定的時間,藉此可讓上述 處理液中的揮發成分蒸發。其次則再民昇溫到較第1溫度 爲高的第2溫度而將塗佈層予以燒固,遂形成表面平坦的 第2絕緣膜。此外,經熱處理後的被處理體即被高速降溫 到常溫而移到下一個工程。如此般,由於藉可以髙速昇溫 以及高速降溫的熱處理爐來進行成腆處理以及塗佈層之乾 燥,燒固作業,因此不需要設置以往用於CVD成膜處理 的熱處理爐或是熱板(bot plate〉,除了可以使處理達 到快速化外,亦能夠大幅地減少裝置的設置空間。 在本實施例之熱處理爐中最好是具備用於進行或膜處 (請先閱讀背面之注意Ϋ項再填寫本頁) —裝· 訂 '線 本紙張尺度速用中國國家梂準(CNS ) A4规格(210 X 297公釐) 經濟部中央樣率局員工消費合作社印«- A7 B7 _ 五、發明説明(68 ) 理的處理氣慷供給系統,可以高速昇溫的大熱置電阻發熱 體以及可以高速降溫的高速冷卻機構。又在賅裝置中,由 於對被塗佈之處理液所進行之乾燥,燒固作樂可以在不移 載被處理體的狀態下連績地進行,因此可以提髙生產率。 更者,經成膜處理的被A理體不必要暴露在大氣之中,由 於可在同一個框體內進行一連串的處.理」因此可以抑制粒 子附著在被處理嫌的現象,而提髙良品率。 圖4 3係表本實施例之膜形成裝置的立«圖,圖4 4 係表圖4 3所示之裝置的概略圖。骸膜形成裝置4 2 4 , 其主要部分是由:可將用於收容作爲被處理慷之晶園W的 晶園載置嫌C在跟外部之間進行搬入•搬出作業的裝卸部 4 2 6,將作爲處理液之S 0G液塗佈在晶園W上的塗佈 處理部4 2 8,可在晶蹁表面藉CVD進行成膜作業,而 對被塗佈在晶園表面之S 0G液實施乾燥·燒固作業的縱 型熱處理爐4 3 0,用於保持多個應該’在熱處理爐4 3 0 中實施處理之晶圓W的保持具,例如晶圆板4 3 2,可在 賅晶圖板4 3 2與塗佈處理部4 2 8之間轉送晶園W的移 載機構4 3 4以及用於控制各構成元件之動作,而例如由 微《腦所構成的控制部4 3 4所構成。而整體裝置則被收 容在例如矩形的框酱4 3 8內。 裝卸部4 2 6則被設在框酱4 3 8之高度方向的中段 位置,而備有能夠並行設置多個,例如4個載置酱的載置 酱台4 4 0,而可將例如2 5個晶圖W收容在各載置髏C 內。在與各載置酱C對應的框嫌側部則呈氣密狀態地設有 本紙張尺度適用中國國家梯率(CNS ) A4規格(210X297公釐) I--------Γ -裝------訂-----f線 (請先閲讀背面之注意事項再填寫本頁.) 320741 A7 B7 _ _ 五、發明説明(69 ) 可自由開閉而用於控制載置tic之搬出入口之開關的外側 門4 4 2。裝卸部4 2 6之框體內側則由分隔壁4 4 4所 茧隔,而各室內部則構成氣密狀態。又在該分隔壁4 4 4 ,則與上述各外側門4 4 2呈對向狀地形成可以自由開閉 的內側門。 在該裝卸部42 6之下部則並設有塗佈處理部4 .2. 8 一 ,如圚所示配置多個,例如2個。塗佈處理部4 2 8係一 藉旋轉被覆法而將S O G液塗佈在晶圃W之表面的塗佈裝 置,如圖4 6以及圖4 7所示,乃具備有:可藉馬達Μ而 在杯部4 4 6內部旋轉之旋轉夾頭4 4 8,被設在處理液 供給管4 5 0 Α之前端部的處理液供給喷嘴4 5 0,被設 在清洗液供給管4 5 2 A之前端部的清洗液供給喷嘴 4 5 2,用於把持胲些喷嘴4 5 0,4 5 2而可沿著導引 棒4 5 4在晶園W之徑向掃描的可動臂4 5 6,令處理液 供給曠嘴4 5 0等待之處理液喷嘴待機部4 5 8 A以及虚 擬分配部4 5 8 B,令清洗液供給喷嘴4 5 2等待之清洗 液喷嘴待機部4 6 0,與排氣管4 6 2。 經濟部中央棣準局員工消费合作社印製 (請先閲讀背面之在意事項再填寫本頁) 其次就該塗佈處理部4 2 8之塗佈處理加以說明。當 被載置在旋轉夾頭4 4 8上之晶園W與旋轉夾頭4 4 8 — 起旋轉時,則處理液供給喷嘴4 5 0則爲可動臂4 5 6所 把持而移動到晶園W上將作爲處理液之S OG滴下。該 5 OG液,其中成爲膜的成分係由矽烷醉化合物與溶媒, 例如乙酵混合而成。此時,SOG液會藉離心力自晶圃W 之中心部朝著周緣部而擴散而在晶園W上形成S OG膜。 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) _ 72 _ 經濟部中央橾準局員工消費合作社印製 A7 B7 五、奋明説明(70 ) 此外,在形成SOG膜後,則淸洗液供給喷嘴4 5 2會移 動到晶園W上,而位在晶園W之周緣部的S 0 G膜則藉淸 洗液,例如乙醇而被溶解除去。 構成用於分隔塗佈處理部4 2 8之外裝部的框慷 4 0 4則形成有內側(後述之热處理爐的作業側)出入口 4 6 6A,而在賅出入口 4 6.6 * A.殺有可用於1E隔與作業-室之間的開閉門4 6 6。又,埤轉夾頭4 2 2之停止位置 可被股定在經常相同或是開始位置與停止位置之位置關係 經常相同的位置,特別是定向邊柑對於塗佈處理部4 2 8 之定位已經完成的情況下,則最好是不要使定向邊的方向 受到影響。此外,亦可在塗佈處理部4 2 8內設置只有例 如在定位時才會霣出的發光受光檢測器,而在此進行定向 邊定向作業。 塗佈處理部4 2 8以及裝卸部4 2 6則被配置在與載 置有移載機構4 3 4之作業室面路的位置,而在其對向位 置則設有用於載置晶園板4 3 2之板基台4 6 8。移載機 構4 3 4,如圖4 5所示,具有例如5個叉部4 7 0 A〜 4 7 0E,該些叉部4 7 0A〜4 7 0E則構成爲可沿著 基台2 1 7。而藉》動部4 7 4同時地進退。又,該糴動 部4 7 4則被支撑在基台4 72而藉未圖示之旋轉軸在水 平面內朝<9方向自由旋轉,賅塞台4 7 2係被支撑在一可 在作業室內沿著X方向延伸之X方向滾珠螺桿4 7 4而能 自由移動,而該X方向滾珠鏢桿4 7 4的兩端則藉由支揮 構件4 7 8而被支撑在一沿著上下方向,亦即Z方向延伸 本紙張尺度逋用中國國家樣準(CNS ) A4说格(210X297公釐) -73 - ---------f L.裝------訂-----f '線 (請先閱讀背面之'4意事項再填寫本頁) A7 B7 經濟部中央橾準局員工消費合作社印裝 五、發明説明(71) 之Z軸滾珠鏢桿4 7 6而能在Z方向自由移動。 晶圓板4 3 2係一在上下方向呈等間隔地配列保持有 多個例如1 0 0個晶園W且將之ίβ入到熱處理爐4 3 0內 者,有關其構造的詳細內容請容後述。藉期動賅移載機構 4 3 4,可在載置體C,塗佈處理部4 2 8以及晶圃板 4 3 2之相互間來移載晶園W..。....此.外在.孩例中,.在板基_ 台4 6 8,則在面路作乘室之位置分別横向並列立設有2 個晶園板4 3 2。 在板基台4 6 8之內麴,熱處理爐4 3 0之下方,則 如圖4 8所示,設置有可將晶圓板4 3 2相對於熱處理爐 4 3 0內裝載或取出的板昇降器4 8 0,又在扳基台 4 6 8之中中部則配置有可將晶圓板4 3 2移載到板昇降 器4 8 0 (具镰地說是在板昇降器4 8 0之保溫简 4 8 0 Α上)以及將晶園板4 3 2在板昇降器與第1位置 (面向塗佈處理部的位置)以及第2位置(面向中間轉送 部的位置〉之間進行移載之板移載機構4 8 2。該板移載 機構4 8 2則保持著晶圖板4 3 2之底板的下面而能夠旋 轉,上下動以及進退動作。 其次請參照圖4 9以及園5 0來說明热處理爐4 3 0 的梅造。在圖4 9中,4 8 4係表由例如石英製成之內管 4 8 4 Α以及外管4 8 4 Β所構成之雙層管構造的反應管 ,除了在該反應管4 8 4之周園設置可將之包園之加熱部 4 8 6外,亦在反應管4 8 4之下部側設置金屬製的岐管 4 8 8。在該岐管4 8 8則逋接有氣髓供給管4 9 0以及 本紙張尺度適用中國國家#準(CNS ) A4規格(210X297公釐) -74 _ (請先閲讀背面之注意事項再填寫本頁) 丨裝. 訂 線 A7 B7 經濟部中央梂準局員工消費合作社印裝 五、發明説明(72 ) 排氣管Θ 2。 在本實施例中,在胲熱處理爐4 3 0,不只是藉 CVD法來形成S i 〇2膜,亦對被塗佈在晶園表面之 S Ο G液進行乾燥•燒固作業。因此,其中供給用於成膜 之處理氣值的處理氣酱供給系統5 0 5,例如奥氣源 4 9 4 以及 T E 0 S ( Tetra EthyU-Ort-ho Si l icate )源 4 9 6則分別經由開閉閥4 9 8,5 0 0以及作爲流置控 制闕之主流置控制器mass flow contrd丨or(MFC)5 0 2 ,5 0 4而被連接到氣酱供給管4 9 0。又用於供給爐內 環境置換用之情性氣镰,例如氮氣的氮氣源5 0 6則經由 開閉閥5 0 8以及主流董控制器5 1 0而被連接到氣酱供 給管4 9 0。更者,用於供給作爲反應管4 8 4.內之晶園 W之冷卻的輔助之比較低溫的惰性氣镰,例如氮氣之液態 氮源5 1 2則經由氣嫌溫度調整用之加熱機構5 1 6以及 主流:控制器5 1 8而被連接到骸氣镰供給管4 9 0。 加熱部4 8 6,則如圖5 0所示,係在絕熱材5 2 0 之內周面,將作爲大熱置電阻發熱髗之氰阻發熱線5 2 2 朝上下方向反覆地加以«曲,'且將沿著其園周方向所設之 加熱塊分成多段配列而構成。在此,電阻發熱髏5 2 2則 使用由能夠在每單位面稹產生非常大之熱量的材料,例如 MoS i2所構成的線狀懺,藉此,可將反應管4 8 4內 部以5 0 — 1 0 0 °C/分的高速來昇溫。此外,加熱部所 用之電砠發熱線雖然是可以使用其他的材質,但是爲了要 不致於生產率過於降低,最好其表面負載發熱要在i 〇 w (請先閲讀背面之注意事項再填寫本頁) 丨裝- 訂 線· 本紙張尺度適用中國國家梂準(CNS) A4规格( 210X297公釐) _ 75 _ 經濟部中央樣準局員工消費合作社印製 A7 B7 五、發明説明(73) / c m2以上。又在各加熱塊設置未圖示之熱m偶等之溫 度檢測器,根據其檢測値,則由例如微電腦等所構成之控 制部5 2 4即可控制供給到各褫阻發熱線5 2 2的電力。 骸控制部5 2 4,在藉CVD法來形成膜時會將反應管 4 8 4內部加熱到例如7 0 0 °C左右。又,該控制部 5 2 4,在對被塗佈在晶DU W上.之S〜0 G液實施熱處理時-,首先爲了要讓S0G液的溶媒蒸發,因此將反應管 4 8 4之內部昇溫到第1溫度,例如1 0 0〜1 4 Ο Ό, 其次爲了要酿S 0G之成爲膜的成分產生反應乃控制而使 其昇溫到第2溫度,例如4 0 0〜4 5 0 °C。賅控制部 5 2 4係一用於控制整個裝置之驅動部者。因此,除了熱 處理燫4 3 0以外,亦同時控制塗佈處理部4 2 8等之動 作以及各種氣體的供給董。· 在板昇降器4 8 0之臂4 8 Q B的前端則設有可將反 應管4 8 4之下端賭口部呈密閉式地加以開閉的盖酱 5 2 6,而晶圓板4 3 2則經由保溫简4 8 0A載置在該 蓋濉5 2 6上。在加熱部4 8 6之下端部與反應管4 8 4 之間,則例如在反應管4 8 4之園周方向的4個位置形成 可經由遮門5 2 8而開口於裝置之外部或是連通到送氣風 扇5 3 0之吸氣管5 3 2。在賅吸氣管5 3 2的前端設有 喷嘴5 3 4,更者在加熱部4 8 6之上面則形成可連通到 排氣管5 3 6之排氣口 5 3 8,,在胲排氣管5 3 6,則依 序設有爲了使排氣口 5 3 8開閉而能以支軸5.4 0 A爲支 點而回動的遮門5 4 0,熱交換器5 4 2以及排氣風扇 ^紙張尺度適用中國國家揉準(CNS ) A4规格(210X297公釐) -76 - ~ ---------Γ I裝------訂-----f線 (請先閲讀背面之注意亨項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(74) 5 4 4。胲些送氣風扇5 3 0,吸氣管5 3 2,排氣管 5 3 6以及送氣風扇5 4 4,則構成一在對晶園W完成熱 處理後,可藉冷卻空氣將反應管4 8 4內部强制冷卻的高 速冷卻機構5 4 6。 胲裝置,在熱處理後可將加熱部4 8 6之開關設成 0 F F狀態,而讓高速冷卻嫌構5 .4.-6之遮門5 2.. &以及-. 5 4 0打開,且令送氣風扇5 3 0以及排氣風屬5 4 4作 動,藉此,冷卻空氣可自吸氣管5 3 2之嘖嘴5 3 4經由 反應管4 8 4的外周而朝向排氣口 5 3 8急速地流動而使 反應管4 8 4內部冷卻。藉利用賅高速冷卻機構5 4 6, 能以3 0〜1 0 0°C/分之很快的速度釀反應管4 8 4內 部降溫。又,例如在反應管4 8 4之內部成爲1 0 〇°C左 右後,則來自液態氮源512的液態氮可藉加熱機構 5 1 6調整到一定的溫度而供給到反應管4 8 4內,因此 能夠增加冷卻能力,而將之急冷到例如2 3 °C左右。如此 般將晶園W冷卻到2 3 °C左右的理由是因爲如果是在反應 管4 8 4之溫度高的狀態下打開反應管底部時,則會因來 自此處之放熱而使位在反應管下方之環境溫度變得非常髙 ,而在胲狀態下無法將S OG液均匀地塗佈在晶園表面的 緣故。 <r 又,該裝置內之全部可藉未圖示之清淨氣髗供給系統 以及排氣系統而成爲溫度以及濕度已被調整之例如氮氣之 情性氣«環境。藉成爲乾燥環境可以防止附著在晶園W上 之水分進入到塗佈膜中或是在熱處理時會在塗佈膜中發生 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) ------------Γ -裝------訂-------f 線 (請先閲讀背面之注意事項再填寫本頁) 320741 A7 B7 經濟部中央樣準局員工消費合作社印裝 五、發明説明(75) 裂痕。更者,藉將作業環境設成N 2氣雅環境,則在移載 晶園時,可以抑制自然氧化膜在晶圓表面上成長,對於防 止絕緣膜之耐JE能力降低方面非常有效。 其次則就具有上述構造之本實施例之裝置的動作加以 脫明。首先打開外側門4 4 2將4個收容有例如2 5個處 理前之晶園W的載爾酱C搬入到裝卸都4 2 6之戴爾嫌台-4 4 0上。在賅晶園表面已經藉先前的工程形成有凹凸狀 的配線電路。而自載置《1C內部,藉移載機構4 3 4 —次 搬出5個晶園,且將之移載到立設在板基台4 6 8上之例 如第1位置的晶圓板4 3 2。當將一定數目,例如1 0 0 個晶圈W移載到胲晶圓板4 6 8時,則藉板移載機構 4 8 2將胲晶園板4 6 8自第1位置移載到板昇降器 4 8 0之保溫简4 8 0A上,而釀板昇降器4 8 0上昇, 將晶園板4 3 2搬入到反應管4 8 4內,首先先進行熱處 理之S i 〇2的成膜處理。圖5 1A係表反應管4 8 4內 的溫度,圖5 1 B係表至加熱部4 8 6之電阻發熱線 5 2 2的供給氰力,圖5 1 C係表處理氣髖的流量,圖 5 1 D係表冷卻空氣的送風置,圖5 1E係冷卻用氮氣的 流置,請分別參照該些圖來說明成膜處理。 首先,熱處理爐4 3 0內部事先由來自氮氣源5 0 6 之氮氣所充塡而成爲常溫(例如2 3 °C) 。當裝載晶園完 成後,則邊將反應管4 8 4眞空抽成一定之處理壓力,例 如7 0 mTorr爲止,而邊藉控制部5 2 4的控制將電力. 供給到加熱部4 8 6,將反應管4 8 4內部昇溫到處理溫 η 先 閱 讀 背 之 *注 意 事 項 再 i 裝 訂 本紙張尺度適用中國國家標率(CNS ) A4洗格(210X297公嫠) -78 - 經濟部中央樣準局員工消费合作社印製 A7 B7 五、發明説明(7δ ) 度,例如7 〇 〇 °c左右。此時,如上所述,由於反應管 4 8 4係以5 oai 〇 o-c/分之快速的昇溫速度而昇溫 ’因此可以在數分鏡內將晶_W加热到目槺之處理溫度爲 止。在此,以往一般之縱型熱處理爐之昇溫速度爲2〜3 °C /分左右而顯得非常慢,因此若是使用以往之熱處理爐 ,則在昇溫以及降溫方面醫要花.费許.多.的時間而導致生產_. 率大幅地下降。 如上所述,當將晶園W加熱到處理溫度爲止時,則在 時間1'1時,自奥氧源4 9 4以及TEOS源4 9 6分別 流出作爲處理氣髋的臭氣以及TEOS,而依一定的時間 ,藉減壓CVD法來進行成膜處理,而在晶圖表面上形成 TEOS之S i 〇2膜。在經一定诗間的成膜處理後,貝!J 在時間T2停止供給處理氣髗以及停止對電阻發热線 5 2 2供給電力,且同時打開高速冷卻機構5 4 6之遮門 528,540,而讓送風風扇530以及排氣風扇 5 4 4動作,而自喷嘴5 3 4流入冷卻空氣,藉此可將竃 阻加熱線5 2 2以及反應管4 8 4强制地且髙速地加以冷 卻。之後,在將反應管4 8 4內部降溫到一定之溫度,例 如1 0 0 °C左右後,則在時間T 3將已經藉加熱機構 5 1 6被調整到一定之溫度的氮氣自液態氮源5 1 2供給 到反應管4 8 4內,且令其自排氣管4 9 2被排出,而更
V 加快反應管4 8 4內的降溫,將晶_ W的溫度降溫到常溫 ,例如2 3 °C左右爲止。此時,在本實施例中,由於爲了 要冷卻反應管4 8 4而設置高.速冷卻機構5 4 6以及辅助 I紙張尺度適用中國國家梯率(CNS ) A4规格(210X297公釐) -79 - " ---------^丨裝--1----訂-----C線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央梂準局負工消費合作社印裝 A7 B7 五、發明説明(77 ) 冷卻用的液態氮源5 1 2,因此可如上所述般地以3 0〜 1 0 0°C/分之高速將反應管4 8 4之內部降溫,而可在 數分鏡之內將晶園W股到常溫。此外,在胲TEOS之 CVD成膜工程之期間,則未處理之晶園會被移載到被立 股在板基台之第2位滅之晶園板4 3 2中。 在將晶園W之溫度降溫到常.溫後…,-則驅動板昇降器… 4 8 0而讓晶圓板4 3 2下降,藉板移載機構4 8 2將胲 晶園板4 3 2移載到板基台上之第1位置。其次,則藉移 載機構4 3 4自晶園板4 3 2取出已經形成TEOS之 5 i 0 2膜的晶園W,且將之暫時地收容在載置酱台 4 4 0上的載置慷C內或是直接地搬送到塗佈處理部 4 2 8進行SOG液的塗佈。在將晶園W暫時地收容在載 置體C的情況下,則再次移載5個晶園,在完成移載後, 則將載置«1C內之晶園1個個地移載到塗佈處理部4 2 8 進行SOG液的塗佈。又在自晶園板4 3 2直接將晶圃W 移載到塗佈處理部4 2 8的情況下,則是一個個地予以移 載。此外,在以下所說明之S OG液的塗佈工程中,在先 前之TE 0 S之C V D成膜中被移載在設於第2位置之晶 園板之未處理的晶園係供作TEOS之CVD成膜來使用 0 該塗佈處理部4 2 8,首先請參照圖4 6以及圖4 7 來加以說明。藉離心力使S 0 G液滴下而擴散塗佈在晶園 W之表面,在藉清洗液來洗淨晶園W之周緣部後,則打開 開閉門4 6 6,而藉移載機構4 3 4將已塗佈有SOG液 本紙張尺度逍用中國國家梂準(CNS ) A4規格(210X297公釐) -80 - (請先閣讀背面之注意事項再填寫本頁y 丨裝· 訂 線 經濟部中央樣準局員工消費合作杜印製 A7 B7 五、發明説明(78) 之晶園w再度移載到被立設在第1位置之晶園板。而將 S 0 G液塗佈在1個晶園W所需要的時間大約爲1分鐘。 在本實施例中,由於設置2個塗佈處理部4 2 8,藉令其 連績地作動可以提髙生產率。因此對於例如1 0 〇個晶園 W全部實施塗佈處理所需的時間最多爲1 〇 〇分鐘左右。 此外,由於在塗佈處理時係由塗佈處.理部-4 2 8.之排氣管-4 6 2實施排氣,因此溶劑揮發成分不會流出到作業室內 〇 如此般,在將一定個數,例如1 0 0個晶W搭載在 晶圓板4 3 2後,則藉板移載機構4 8 2將該晶圖板 4 3 2自第1位置再度移載到板昇降器4 8 0之保溫简 4 8 0 A上,而臃板昇降器4 8 0上昇將晶園板4 3 2裝 載到熱處理爐4 3 0內。此外,到此爲止,對被收容在其 他之晶圃板的晶園所實施之-T EOS的CVD成膜的作業 民經結.寒„,.而熱®理爐4 3 0內部成爲常溫。 對被導入到熱處理爐3 0內的晶園W,則藉與上述 TEOS之CVD成膜時同樣的髙速昇溫,高速降溫而資 施熱處理,且連績地實施S OG液之乾燥作業,S OG膜 之燒固作業。亦即,首先,在時間Τ4,藉控制部5 2 4 之控制將電力供給到加熱部4 8 6,藉此可將反應管 4 8 4內部上昇到第1溫度,例如1 〇 〇〜1 4 0 °C的溫 度爲止,將該溫度維持例如2 0分鐘而讓塗佈在晶圓表面 之S OG液中的溶劑(乙醇)蒸發。當該蒸發工程在時間 T5結束時,則加大供給到電阻發熱線5 2 2的電;,而 本紙張尺度適用中國國家楯準(CNS)A4说格( 210X297公釐- 81 - (請先閲讀背面之注意Ϋ項再填寫本頁) 訂 線 320741 經濟部中央標準局員工消費合作社印製 A7 B7五、發明説明(79) 使反應管4 8 4內部上昇到第2溫度,例如4 0 0〜 4 5 0 °C爲止,將胲溫度維持大約1 〇分鏟,讓SOG液 中成爲S 0G膜的成分反應而將之燒固以便於在晶圃表面 形成S 0 G膜。 在結束賅燒固工程後,則在時間T 6停止對電阻發熱 糠5 2 2供給m力*而與上述熱處理爐冻高速冷卻時同.樣. 地,除了打開高速冷卻機_5 4 6之遮門5 2 8,5 4 0 外,亦讓送氣風扇5 3 0以及排氣風扇5 4 4動作而自喷 嘴5 3 4流出冷卻空氣,藉此可對電阻發熱線5 2 2以及 反應管4 8 4强制且髙速地進行冷卻。接著,在反應管 4 8 4內部降溫到一定之溫度爲止後,則在時間T7,將 自液態氮源512藉加熱機構516被調整成一定之溫度 的氮氣供給到反應管4 8 4內部,而自排氣管4 9 2將其 排出,讓反應管4 8 4內更加逋降溫,而使晶園溫度降溫 到例如2 3 °C左右。 骸反應管4 8 4之昇溫以及降溫,如上所述,由於在 昇溫時是以5 0〜1 0 0°C/分的昇溫速度,降溫時是以 3 0〜1 0°C/分的降溫速度來進行,因此可以在非常短 的時問,在1分鐘左右將低溫的晶園W昇溫到可用於進行 蒸發工程的1 0 0°C左右,而在3分鐘左右將1 0 0°C左 右之晶圖昇溫到可用於進行燒固工程的4 0 0 °C左右,又 可在4分鐘左右將4 0 0 °C左右的晶圓降溫到常溫,亦即 可以非常迅速地進行昇溫以及降溫。 如此般,在將晶園溫度降溫到常溫後,則軀動板#降 (請先閲讀背面之注意Ϋ項再填寫本頁) -裝. 訂 線 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) -82 - 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(80 ) 器4 8 0而使晶圓板4 3 2降下,而藉板移載機構4 8 2 將賅晶園板4 3 2移載到板基台上的第1位β。賅晶園板 內之已處理完畢的晶圃W則藉移載機構4 3 4例如一次取 出5個地被取出,而被移載到載置體台上之載置«C內。 此外,在已被塗佈之S 0G液之溶媒的蒸發工程以及燒固 工程之期間,爲了要將蒸發的溶媒排廣因此只有微麗的-氮氣會自氮氣源5 0 6流出。 如此般若根據本實施例,在可以進行髙溫昇溫以及高 速降溫之熱處理爐4 3 0內則設有例如用於利用CVD來 形成S i 〇2膜的處理氣嫌供給源5 0 5,藉胲热處理爐 可以進行在形成有凹凸狀之配線電路的晶園表面利用 CVD形成S i 〇2膜之成膜*理,讓被塗佈在晶圓表面 之S 0G液中之溶劑蒸發的蒸發處理以及燒固該S 0G膜 之燒固處理,因此不需要以往用於CVD成膜Si 〇2的 縱型處理器以及用於乾燥SOG膜的多個熱板(hot plate) , 藉此 不僅能夠使整個裝置大幅地小型化 ,且可以大 幅地減少設置空間。又由於在熱處理爐4 3 0中可以高速 昇溫以及高速降溫,因此,對於藉CVD法實施成膜處理 以及S 0G膜之乾燥•燒固處理均能迅速地處理,而大幅 地提髙生產率。更者,由於上述一連串的處理係在同一個 被密閉之框懺內進行,因此不會如習知裝置般,經CVD 法形成S 0G膜的晶圃W在搬送時會有暫時暴霣在大氣中 而附著粒子的機會,而本實施例則無該機會,因此可以提 高良品率。 本紙張尺度適用中國國家橾準(CNS ) Α4规格(210Χ297公釐) ---------f r裝-------訂-----(政 (請先閲讀背面之注意事項再填寫本頁) _η_· . 經濟部中央橾準局員工消費合作社印裝 A7 B7 五、發明説明(81) 在本實施例中,對於熱處理爐中之昇溫速度以及降溫 速度,若是考慮確保某一程度以上的生產率以及晶園w之 熱應力極限,則分別最好是5 0〜2 0 0 t,3 0〜 1 5 0 °C。又,在本實施例中,用於CVD之Si 〇2成 膜處理中的處理氣嫌雖然是就利用TE 0 S與奥氧的情況 來加以脫明,但是並不限於胲種的處理氣雅。又有關裝置 的構造並不限於在本實施例中所述者。例如載鬣镰C之載 置數並不限於4個,其亦可以爲在其以上或是在其以下的 個數。又塗佈處理部4 2 8亦不限於2個,其亦可在其以 上或是只設置1個。胲些的數量則可以考慮則晶園板 4 3 2中之晶團收容個數而逋當地決定。更者,氮氣源雖 然是設置用於置換反應管4 8 4內之環境的氮氣源5 0 6 以及用於辅助冷卻之液態源51 2,但是亦可以不設置用 於置換之氮氣源5 0 6,而由液態氮源5 1 2同時擔任其 角色。又用於移載晶圃W之移載機構4 3 4只要是能夠保 持晶園W而在X,Z,6方向移動,則不限於在此所述的 構造。 第5實施例 在晶圃表面塗佈S 0G液的塗佈處理是依片頁方式來 進行,而對塗佈後之晶圃所實施之熱處理則是藉批$方式 來進行。然而爲了要徹底活用用於進行S 0G膜等之塗膜 燒固作業之批次式之熱處理爐的優點,則必須要設置多個 用於預熱的熱板,而由熱板以及塗佈處理部所構成之塗佈 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐:) -«4 ' — (請先閲讀背面之注意Ϋ項再填寫本頁) 丨裝. ,ιτ 線 經濟部中央橾準局員工消費合作杜印裝 A7 B7 五、發明説明(82) 單元會佔據廣大的空間而導致整個裝置大型化。此外由於 亦必須設置可將晶圓搬送到載置體c之搬送機械臂,塗佈 單元之搬送機械臂以及將晶園轉送到晶園保持具之搬送機 械臂,或是必須設置在胲些機械臂之間負資轉送的中間機
構,因此會導致搬送系統變得複雜。更者,當要將S 0G • . - 膜燒固,而在其上形成SOG膜而多層.化時..,則將自.熱處 理爐取出之晶圓暫時地冷卻,在將SOG液塗佈到晶園後 ,則再度藉熱板實施預熱,且將對其實施热處理的工程反 覆多次,因此生產率非常低。 在此,本實施例則提供一種不醫要用於對被處理酱實 施預熱的熱板,且能夠使裝置小型化以及提高生產率之膜 形成方法以及裝置。具慷地說,是對被處理體塗佈處理液 ,接著則藉保持具保持多個上述被處理《,而將之搬入到 批次式熱處理爐內,其將熱處理爐內部加熱到第1溫度而 讓處理液的溶劑蒸發,之後則將熱處理爐內部自第1溫度 昇溫到第2溫度,而讓處理液中之成爲膜的成分產生反應 而形成塗膜。 又,本實施例具備有:批次式的熱處理爐,用於保持 多個被處理髗,且將之相對於上述熱處理爐內部搬入,搬 出的保持具,對被處理慷塗佈處理液的塗佈處理部,除了 在骸塗佈處理部與上述保持具之間進行被處理酱之轉送外 亦自保持具取出經熱處理後之被處理嫌的搬送機構以及爲 ·»>. 了使被搬入到上述熱處理爐內部之被處理慷上之處理液的 溶劑蒸發,而將熱處理爐內部設定在第1溫度,接著爲了 本紙張尺度逍用中国國家梯準(CNS ) A4規格(210X297公簸) -85 - ---------f-裝------訂-----f線 (請先閲该背面之注意事項再填寫本頁) 經濟部中央梂準局員工消費合作社印製 A7 B7 五、發明説明(83) 骸處理液之膜成分產生反應而形成塗佈膜,則將熱處理爐 內部昇溫到第2溫度而控制热處理爐之加熱部的控制部。 在此,用作加熱部之電阻發熱《最好是使用二矽化鉬。又 最好是設置用於使熱處理爐內部强.制冷卻之冷卻機梅。此 外最好在被處理懺之入口以及出口分別股置可用於將被處 理筆搬入到塗佈處理部的搬送檐-構-夂塗佈處理部之入口除-了開口於被處理镰之待機領域外,亦最好在賅些入口以及 出口設爾門。又最好在待機領域與作乘領域之間殷置用於 載置已形成塗佈膜之被處理«的中間轉送部。在胲中間轉 送部則最好是股置用於分隔待機領域與作業領域之機着。 此外最好是設置可用於調整待機領域之溫度的溫度調整機 梅或是可將情性氣慷供給到待機領域之機構。更者,用於 將被處理镰搬入到塗佈處理部之搬送機構則最好是兼作爲 可自中間轉送部取出被處理髋之搬送機構。 在本實施例中,在對被處理體塗佈例如S 0G液後, 則讓多個被處理體載置在保持具而將之搬入到熱處理鱸內 ,在熱處理爐內進行預熱而釀溶劑蒸發,之後則形成塗膜 ,例如S 0G膜。因此不需要設置用於在熱處理爐內進行 預熱的熱板。又在形成薄膜後,則在熱處理爐內,藉冷卻 被處理懷,之後則反覆地實施塗佈處理,預熱,形成薄膜 (塗佈膜)之工程而使得生產率提高。更者將熱處理爐內 部設成可以高速昇溫,高速降溫的構造,可以使熱處理爐 內之環境得以在第1溫度以及第1溫度,冷卻溫度之間高 速地變化而能夠得到高的生產率。 本紙張尺度適用中國國家梂準(CNS ) A4规格(210X297公瘦) -86 - --------f _裝-------訂丨-------f線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央橾準局員工消费合作社印製 320741 A7 B7_ 五、發明説明(84) 圖5 2以及圖5 3係表本實施例之膜形成裝置之整慷 構造的概略圓。該裝置之主要部分是由可用於將收容有晶 園W之載置酱C搬入,搬出的裝卸部6 0 1,對晶圃W塗 佈SOG液之塗佈處理部6 0 2,對已塗佈SOG液之晶 _W實施熱處理之縱型熱處理爐6 0 3以及將經熱處理後 之晶DBW轉送到裝卸部6 0 1 •側之中-間轉送部6 0. 4所構 成。 裝卸部6 0 1則備有多個,例如4個載置値C之各開 口部朝向內側而横向配置成·-列的載置《台6 1 1。塗佈 處理部6 0 2以及中間轉送部6 0 4則經由用於搬送晶園 W之第1搬送機構6 4 0的搬送領域而與載置體台6 1 1 呈對向配置。搬送機構6 4 0具有如圖4 5所示的構造。 塗佈處理部6 0 2係一可藉旋轉被覆法在晶圓W之表 面塗佈SOG液的裝置,而具有如圖4 6以及圖4 7所示 的構造。又胲塗佈處理部6 0 2中之塗佈處理則與第5實 施例之情況相同。在塗佈處理部6 0 2之內側則經由用於 搬送晶園W之第2搬送機構610的搬送領域而設置用於 載置晶圓板6 3 1之板基台6 3 2。在此,在板基台 6 3 2,則2個晶園板6 3 1被立設在分別與塗佈處理部 6 0 2以及中間轉送部6 0 4相對之位置(第1位置,第 2位置)上。第2搬送機構610之構造則與第1搬送機 構相同。而在塗佈處理部6 0 2,2個晶園板6 3 1以及 中間轉送部604之間搬送晶園W。 中間轉送部6 0 4,將其中例如2 5個晶園載置成棚 本咸張尺度逍用中國國家梂準(CNS > A4規格(210X297公釐) _ 87 - (請先閲讀背面之拄意事項再填寫本頁) •裝.
、?T 線 Α7 Β7 經濟部中央樣準局員工消費合作社印製 五、發明説明(85) 狀,而可自前後(裝卸部6 0 1側以及作業領域側)轉送 晶園W的載置棚6 4 1收容在框體6 4 2內部。該中間轉 送部6 0 4係一爲了使在热處理爐6 0. 3已形成SOG膜 之晶園W能夠回到待機領域(包含裝卸部6 0 1的領域) 側而暫時供其載置者。 在此,所謂的作業領域是一..將晶園W轉送到晶園板... 6 3 1,而將晶圆板6 3 1在板基台6 3 2與板昇降器 6 3 3之間移載的領域,賅作業領域,當打開熱處理爐 6 0 3時,由於會自熱處理爐6 0 3內部放熱,因此會成 爲相當的高溫或是溫度變得不安定。另一方面,爲了使 5 OG液能夠對毎個晶園W均勻地塗佈,因而必須使晶園 W之溫度安定在例如2 3 °C以下的溫度。因此,載置雠台 6 1 1或第1搬送機構6 4 0之搬送領域(待機領域)與 作業領域最好是被ffi隔。故在塗佈處理部6 0 2,除了設 *門6 2 8,6 2 9外,亦在中間轉送部6 0 4,在框體 6 4 2之前後分別設置門。 在此有關調整待機領域之環境的最好的例子則表示在 圖5 4。在該例中,可對待機領域進行溫度,濕度調整, 且將之設成惰性氣體,例如N 2氣«環境。亦即,除了藉 由備有可開閉載置髖C之搬出入口的門6 7 0 a的框镰 6 7 0來包園作樂領域外,亦經由溫度,濕度調整器 6 7 2,藉風扇6 7 3使來自N2氣髋供給源6 7 1的1^2 氣II通過頂部之ULPA過濾器6 7 4而導引到作業環境 內,而藉循環風扇6 7 5經由循環路徑6 7 6而回到過濾 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0>α97公iT) 「88 - (請先閲讀背面之注意事項再填寫本頁) 丨裝· 訂 線 經濟部中央梯準局員工消費合作杜印製 A7 B7 五、發明説明(86) 器6 7 4側而產生循環。如此般,藉調整作業環境的溫度 ,濕度,即使裝置外的環境不安定,例如即使不是淸淨室 (clean room ),亦可對毎個晶_ W均勻地塗佈S 0 G液 。又藉股成乾燥環境,則附著在晶HIW之水分會進入塗膜 中,而防止在熱處理時在塗膜產生裂痕。更者,將作業環 境設成N 2氣镰環境,則例如藉我.成,Ν 2.氣.酱環:境之收容箱 將載置嫌C搬入到裝卸部6 0 1內時,則可抑制自然氧化 腆在晶園表面上成長,可以有效地防止絕緣膜的耐壓降低 。此外,年圖5 4中,6 4 3係一將搬送基台6 4 2旋轉 於Θ方向的旋轉機構,6 4 4係一搬送基台6 4 2之昇降 機構,6 4 5係X方向的導引播檮。 其次就具有上述構造之裝置,的動作加以說明。首先將 4個收容有例如2 5個處理前之晶園W的載置慷C搬入到 裝卸部6 0 1的載置髖台6 1 1上,而藉第1搬送機構 6 4 0自載置雠C內取出1個晶圓W而將之搬入到塗佈處 理部602內,將入口側的門628關閉(在此時,出口 側的門629關閉)。其次,如上所述,在對晶圃W之表 面塗佈S OG液,而以清洗液洗淨晶園W之周緣後,則打 開出口側之門6 2 9,藉第2.搬送機構6 1 0自塗佈處理 部6 0 2內取出晶圃W,而轉送到位於第1位置之晶園板 6 3 1。此外,此時,則藉塗佈處理部6 0 2之排氣管 6 2 7進行排氣,而防止塗佈處理部6 0 2內之溶劑揮發 成分流出到作業領域內。在本實施例中,雖然只設置1個 塗佈處理部6 0 2,但是亦可將多個塗佈處理部6 0 2予 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) -89 - --------Γ ,裝丨------訂-----Γ線 (請先閱讀背面之注意事項再填寫本瓦) 經濟部中央梂準局員工消費合作杜印製 A7 B7 五、發明説明(87) 以並行股置或稹層設置,藉此可以提高生產率。 其次,在將一定數目,例如1 0 0個的晶園W搭載在 晶圓板6 3 1後,則藉板移載機構6 3 4將晶園板6 3 1 自第1位置移載到板昇降器6, 3 3的保溫筒6 3 6上,而 謫板昇降器6 3 3上昇而將晶圓板6 3 1***到熱處理爐 6 0 3 內0 -________ …一 圖5 5係表熱處理爐6 0 3內之溫度曲線,乃表示加 熱部之加熱器電力,利用來自嘖嘴之送風的冷卻棋式,利 用液態氮之冷卻棋式,請參照胲圖來脫明在熱處理爐 603內之熱處理。 首先,热處理爐6 0 3內部乃成爲常溫(例如2 3 °C ),在裝載(搬入晶圓W )後,則藉控制部之控制將電力 供給到加熱部,藉此,可將热處理爐6 0 3內部昇溫到第 1溫度,例如1 0 0〜1 4 0°C的溫度,將該溫度維持例 如2 0分鐘而讓熱處理爐6 0 3內部昇溫到第2溫度,例 如4 0 0——4 5 0 °C爲止,將賅溫度維持例如1 0分鏟而 讓S OG液中之成爲塗佈玻璃膜的成分產生反應而在晶圓 表面形成SOG膜。 接著,則停止對加熱器供給電力,除了打開遮門外, 亦讓送風風扇以及排氣風扇動作,而自喷嘴送氣而强制地 將加熱部6 0 3之電阻發热線冷卻,藉此使反應管內部急 速冷卻。接著,在將反應管內部降溫到一定溫度爲止後, 則打開閥,除了將被調整成一定溫度之N 2氣體供給到反 應器內部外,亦藉排氣管加以排氣而使反應管內之降溫更 本紙張尺度逋用中國國家梯準(CNS ) A4规格(210X297公釐) _ 90 _ (請先閲讀背面之注意事項再填寫本頁) -裝: 訂 線 經濟部中央樣準局員工消費合作社印製 320741 at B7_ 五、發明説明(88) 爲加速。如此般,在反應管內部成爲例如1 〇 0°C以下後 ,則取下晶圓板6 3 1,藉板移載機構6 3 4將晶園板 6 3 1移載到板基台6 3 2之第2位置。此外,在位於第 1位置之晶園板6 3 1被移載到板昇降器6 3 3後,則另 —晶園板6 3 1則被移載到第1位置,而下一個晶園W則 被 到另 ' 晶圓 6 3 1 . ......... .-»..*1·· ......... 其次,藉第2搬送機構6 1 0,自位於第2位置之晶 園板6 3 1—次取出例如5個已經處理完華的晶圓W,且 將之轉送到中間轉送部6 0 4之載置柚6 4 1內。在該轉 送動作之期間,中間轉送部6 0 4之待機領域側的門 6 4 3係被關閉,在將2 5個晶圓W載置在載置棚6 4 1 內之後,則將作業領域側的門6 4 4關閉,而將門6 4 3 打開,藉第1搬送機構6 4 0將載置棚6 4 1內之晶園W —次取出5個,且將之搬送到載置酱台61 1上之載置體 C內。在此,當將S 0G膜設成多層構造時,則自該載置 體C內再度1個個地搬送到塗佈處理部6 0 2,以後則進 行同樣的處理。此時,亦可自中間轉送部6 0 4直接搬送 到塗佈處理部6 0 2。 _ 根據本實施例,在將SOG液塗佈到晶園W後,由於 是在批次方式之熱處理爐6 0 3內使SOG液中之溶劑產 生揮發(預熱),因此不需要設置多段的熱板,又在多段 之熱板之間也不誓設置晶園W的搬送機構,藉此可以使裝 置得以大幅地小型化。更者,由於溶劑之揮發工程與燒固 工程均在同一個熱處理爐中進行,且熱處理鱸可以高速地 本紙張尺度逍用中國國家梯準(CNS ) Α4規格(210X297公釐) -91 - (請先閲讀背面之注意事項再填寫本頁) .裝· 、1Τ 線 經濟部中央標準局員工消费合作社印製 A7 B7 五、發明説明(89) 昇溫,降溫,因此在藉第1溫度結束溶劑之揮發工程後, 可以立即地藉第2溫度來進行燒固工程,接著,可將熱處 理爐迅速地降溫到第1溫度以下而取出晶園W,以備於下 一次之批次處理。因此可以獲得高的生產率。 更者,當爲了使S O G膜多層化而反覆實施塗佈工程 ,熱處理工程時,則與使用熱板..的情形.柑比,可以獲:得髙 生產率。又由於可將晶圓W股成低溫而自熱處理爐取出, 因此不必要使用清淨板(cleaning plate ),即可將晶園 W迅速地設定在一定的溫度,例如待機領域的設定溫度, 接著則進行S 0G液之塗佈處理。因此由賅點來看也可以 提高生產率。 更者,由於在塗佈處理部.6 0 2分別設有開口於待機 領域以及作業領域的入口以及出口,因此在自塗佈處理部 6 0 2取出晶園W後,可以迅速地將下一個晶園W搬入到 塗佈處理部6 0 2,而能夠提高搬送效率。又,由於在塗 佈處理部6 0 2以及中間轉送部6 0 4設置門而分隔作業 領域與待機領域,因此不會因來自熱處理爐6 0 3的放熱 導致溫度昇高而成爲不安定的環境,而能夠使待機領域的 環境安定,藉此可以安定地實施S OG液的塗佈處理。 在本實施例中,當自熱處理爐取出晶_ W時之熱處理 爐內的溫度是任意設定的,例如雖然是採用在結束燒固工 程後立即取出而冷卻熱處理爐內部的方法,但是該方法, 由於作業領域的溫度會上昇,而會導致在冷卻晶園W時要 花费時間,因此熱處理爐內的溫度最好要低。又當多次反 本紙張尺度適用中國國家梯準(CNS ) A4規格(210X297公釐) -92 - (請先閲讀背面之住意Ϋ項再填寫本頁) -裝. 訂 線 320741 A7 經濟部中央標準局貝工消費合作社印裝 B7五、發明説明(9()) 覆實施一連串的工程,而將熱處理後的晶園w自中間轉送 部6 0 4搬出到待機領域時,在待機領域之溫度已經安定 的情況下,最好是直接搬入到塗佈處理部6 0 2內進行塗 佈處理〇 . 又有關熱處理爐之昇溫速度,降溫速度,若是考慮到 確保生產率以及對晶圓W之熱應力之..界根等因索時,.則最 好分別是5 0 — 2 0 0 °C,3 0 — 1 0 0 °C。此外,有關 裝置的構造則並不限於上述的例子,例如晶園板亦可不限 於2台。又可藉由壁來分隔作業镝域與待機領域,而除了 在胲壁設置附設有門的搬出入口外,亦在待機室側設置塗 佈處理部,將經塗佈處理後之晶圓W經由搬出入口而轉送 到作業領域。 如上所述,根據本實施例,在對被處理體塗佈處理液 後,由於是在批次或熱處理爐內進行處理液之溶劑的蒸發 以及藉熱處理來形成塗膜,因此不需要熱板而得以使裝置 小型化。 如上所述,本發明之基板處理裝置可以同時對經塗佈 處理之多個被處理镫實施加熱處理,而連績地進行塗佈加 熱,因此除了可以提高生產率外,由於經塗佈處理之被處 理ft不會暴霣在外部之大氣中,因而不會吸濕或是附著有 機物或微細灰塵,而得以提髙良品率。又由於在移動機構 上載置有用於收容虚擬被處理嫌之虛擬被處理«用的保持 機構,因此在將被處理髋搬入到保持機構之際,可以針對 不足於保持機構所保有之數目的不足部分搬入虚擬被處理 (請先閲讀背面之住項再填寫本頁) 裝_ 訂 線 本紙張尺度逋用中國國家梂準(CNS ) A4规格(2!0X297公釐) 93 A7 320741 _ B7__ 五、發明説明(91) 慷,而藉保持機構來保持上述保持機構所經常保持之數目 的被處理健以及虚擬被處理懷而實施热處理,藉此可以均 勻地進行被處理體的熱處理,而使塗膜均勻化。更者,在 介面部配設多個保持機構,而在被處理镰供給部配置數目 較被配設在介面部之保持機構的數目少1個之保持機構所 能保有之被處理镰,而讓已經塗佈處理完畢的被處理镰可. 以在預備之保持機構等待,藉此可以進行多次的塗佈處理 。又在洗淨或更換保持機構時,亦不需要使整個裝置的動 傲使it,即可連績地進行塗佈處理以及加熱處理。 (請先閲讀背面之注意事項再填寫本頁) 卜裝- 訂 線 經濟部中央標準局員工消費合作社印製 -94 - 本紙張尺度適用中國國家梯準(CNS ) A4规格(210 X 297公釐)

Claims (1)

  1. 320741 A8 B8 C8 D8
    經濟部中央梯準局負工消費合作社印製 六、申請專利範圍 附件1 第83110112號專利申請案 中文申請專利範園修正本 民國8 4年1 〇月呈 1種基板處理裝置,係具備:具有可藉片頁處理 對被‘處理體塗佈處理液的塗佈處理部、及可藉批次處理對 已實施塗佈處理後之多數個被處理體實施熱處理的熱處理 部、以及將上述被處理體在上述塗佈處理部與上述熱處理 部之間搬運的介面部, 上述介面部係具有:可自上述塗佈處理部將上述被處 理體移送到被處理體保持構件的第1移送機構及、以可裝 脫方式載»多個上述被處理體保持構件,並且可同時移動 多個上述被處理體保持構件的移送機構; 又上述熱處理部係具有:可將被載置在上述移動機構 之上述被處理體保持機構移送到上述熱處理部的第2移送 機構。 2. 如申請專利範圍第1項之基板處理裝置,其中在 上述移動機構乃載置有用於收容虛擬用被處理體之虛擬用 被處理體保持機構。 3. 如申請專利範圍第1項之基板處理裝置,其中在 將η個上述被處理體保持機構配設在上述介面部之際,則 在將上述被處理體供給到上述塗佈處理部之被處理體供給 部配置有η - 1個由上述被處理被保持機構所保有之上述 本紙張尺度逋用中國國家橾準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、tT 經濟部中央椹準局員工消费合作社印製 A8 B8 C8 D8 六、申請專利範圍 被處理體。 4.如申請專利範圍第1項之基板處理裝置,其中在 上述被處理體保持機構之中央領域乃載朦有上述被處理體 ,而在挾持上述中央領域的領域則載置有上述虛擬用被處 理體,且載置有可保有之最多個數的上述被處理體以及上 述用被處理體。 '5.如申請專利範園第1項之基板處理裝置,其中上 述第1移送機構乃具備:沿著上述移動機構之長邊方向而 被安裝在上述介面部的導軌,可沿著上述導軌而移動的移 動體,載置上述移動體而可沿著旋轉軸昇降的昇降台被安 裝在上述昇降台上,且可繞著上述旋轉軸旋轉的搬送基台 以及被安裝在上述搬送基台上,而可在水平面上伸縮移動 的臂。 6 .如申請專利範圍第5項之基板處理裝置,其中上 述搬送基台備有一具有發光部以及受光部之光學檢測器, 而用於檢測被收容在上述被處理體保持機構的上述被處理 體。 7.如申請專利範圍第6項之基板處理裝e,其中上 述光學檢測器可與上述臂呈獨立地自由進退。 8·如申請專利範圍第1項之基板處理裝置,其中上 述塗佈處理液乃備有將S 0G液塗佈在上述被處理基板上 而進行片頁式塗佈處理的塗佈裝置。 9 .如申請專利範圍第1項之基板處理裝置,其中上 述移動機構備有:可通過上述熱處理部搬入上述被處理體 本紙張尺度速用中國國家橾準(CNS ) A4说格(210X297公釐)_ 9 _ (請先閱讀背面之注意事項再填寫本頁)
    320741 經濟部中央標準局負工消費合作社印裝 A8 B8 C8 D8 六、申請專利範圍 保持機構的領域,而被設在上述介面部之線性導引器,被 安裝在上述線性導引器而可自由滑動的基台,被安裝在上 述基台而可使上述基台沿著上述線性導引器而移動的驅動 機構以及被固定在上述基台上,而用於載置上述被處理體 保持機構的固定機構。 1 〇 .如申請專利範圍第1項之基板處理裝®,其中 上述熱處理部乃備有批次式縱型熱處理裝置。 11. 如申請專利範圍第10項之基板處理裝置,其 中上述批次式縱型熱處理裝置係一對在上述塗佈處理部形 成有S OG膜之上述被處理基板實施固化S OG之處理的 裝置。 12. 如申請專利範圍第1項之基板處理裝置,其中 上述介面部備有可供給被除濕之清淨空氣而形成陽壓狀態 的清淨空氣供給機構。 1 3 .如申請專利範圍第1 2項之基板處理裝置,其 中上述介面部備有:可對來自上述清淨空氣供給機構之清 淨空氣實施排氣的排氣機構,丨與上述排氣機構\連通而可自 由開閉的門以及當上述門打開時可停止上述排氣機構之動 作的排氣控制機構。 1 4 .—種基板處理裝S,係具備:具有可藉片頁處 理對被處理體塗佈處理液的塗佈處理部、及可藉批次處理 對、已實施塗里^理後之多蜱個被處理體實施熱處理的熱處 理部及將上述被處理體在上述塗佈處理部與上述熱處理部 之間搬運的介面部, 本紙張尺度逋用中國國家標準(CNS)A4洗格U10X297公釐)_ 3 _ (請先閱讀背面之注意事項再填寫本頁)
    8 8 8 8 ABCD 六、申請專利範圍 上述介面部係具有:可自上述塗佈處理部將上述被處 理體移送到被處理體保持構件的第1移送機構及、以可裝 脫方式載置多個上述被處理體保持構件,並且可同時移動 多個上述被處理體保持機構的移動機構; 一上述熱處理部係具有:可將被載置在上述移動機構之 上述被處理體保持機構移送到上述熱處理部的第2移送機 構,’上述移動機構,在上述第1移送機構將上述被處理體 移送到上述璧佈處理部時並不會移動,而在上述第2移送 機構將上述被處理體保持機構移送到上述熱處理部時才會 移動。 15. 如申請專利範圍第14項之基板處理裝置,其 中在上述移動機構則載置有用於收容虛擬用被處理體的虛 擬用被處理體保持機構。 16. 如申請專利範圍第14項之基板處理裝置,其 中在將η個上述被處理體保持機構配設在上述介面部之際 ,則在將上述被處理體供給到上述塗佈處理部之被處理體 供給部配置有η — 1個由上述被處理體保持機構所保有之 經濟部中央標準局負工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 上述被處理體。 17. 如申請專利範圍第14項之基板處理裝置,其 中在上述被處理體保持機構之中央領域乃載置有上述被處 理體,而在挾持上述中央領域的領域則載朦有上述虛擬用 被處理體,且載置有可保有之最多個數的上述被處理體以 及上述用被處理體。 ^ 18. 如申請專利範圍第14項之基板處理裝置,其 本紙張尺度逋用中國國家標準(CNS ) Α4規格(210X297公釐) , 320741 纟丨 C8 D8 、申請專利乾圍 中上述第1移送機構乃具備:沿著上述移動機構之長邊方 向而被安裝在上述介面部的導軌,可沿著上述導軌而移動 的移動體,載置上述移動體而可沿著旋轉軸昇降的昇降台 被安裝在上述昇降台上,且可繞著上述旋轉軸旋轉的搬送 基台以及被安裝在上述搬送基台上,而可在水平面上伸縮 移動的臂。 ’1 9 .如申請專利範圍第1 8項之基板處理裝置,其 中上述搬送基台備有一具有發光部以及受光部之光學檢測 器,而用於檢測被收容在上述被處理雅保持機構的上述被 處理體。 2 0 .如申請專利範圍第1 9項之基板處理裝置,其 中上述光學檢測器可與上述臂呈獨立地自由進退。 2 1 .如申請專利範圍第1 4項之基板處理裝置,其 中上述塗佈處理液乃備有將S OG液塗佈在上述被處理基 板上而進行片頁式塗佈處理的塗佈裝置。 經濟部中央標準局貝工消費合作社印裂 (請先閱讀背面之注意事項再填寫本頁) 2 2 .如申請專利範圍第1 4項之基板處理裝®,其 中上述移動機構備有:可通過上述熱處理部搬入上述被處 理體保持機構的領域,而被設在上述介面部之線性導引器 ,被安裝在上述線性導引器而可自由滑動的基台,被安裝 在上述基台而可使上述基台沿著上述線性導引器而移動的 驅動機構以及被固定在上述基台上,而用於載置上述被處 理體保持機構的固定機構。 2 3 .如申請專利範園第1 4項之基板處理裝置,其 中上述热處理部乃備有批次式縱型熱處理裝ff。 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐)_ 5 - 8 8 8 8 ABCD 320741 六、申請專利範圍 2 4 .如申請專利範園第2 3項之基板處理裝S,其 中上述批次式縱型熱處理裝置係一對在上述塗佈處理.部形 '成有S OG膜之上述被處理基板實施固化S OG之處理的 裝置。 2 5 .如申請專利範園第1 4項之基板處理裝S,其 中上述介面部備有可供給被除濕之清淨空氣而形成陽壓狀 態的清淨空氣供給機構。 2 6 .如申請專利範圍第2 5項之基板處理裝S,其 中上述介面部備有:可對來自上述清淨空氣供給機構之清 淨空氣實施排氣的排氣機構,與上述排氣機構連通而可自 由開閉的門以及當上述門打開時可停止上述排氣機構之動 作的排氣控制機構。 (請先閲請背面之注意事項再填寫本頁) 經濟部中央揉準局貝工消费合作社印製 本紙張尺度適用中國國家標準(CNS > A4规格(210X297公嫠)
TW083110112A 1993-10-29 1994-11-02 TW320741B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP05294479A JP3118681B2 (ja) 1993-10-29 1993-10-29 処理装置及び処理方法
JP30096093A JP3240449B2 (ja) 1993-11-05 1993-11-05 処理装置
JP30713393A JP2920462B2 (ja) 1993-11-12 1993-11-12 処理装置及び処理方法
JP30746893A JP2984969B2 (ja) 1993-11-12 1993-11-12 処理システム
JP30746793A JP3066519B2 (ja) 1993-11-12 1993-11-12 処理システム及び処理方法

Publications (1)

Publication Number Publication Date
TW320741B true TW320741B (zh) 1997-11-21

Family

ID=27530851

Family Applications (1)

Application Number Title Priority Date Filing Date
TW083110112A TW320741B (zh) 1993-10-29 1994-11-02

Country Status (3)

Country Link
US (3) US5565034A (zh)
KR (1) KR100272188B1 (zh)
TW (1) TW320741B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI559404B (zh) * 2013-11-29 2016-11-21 北京七星華創電子股份有限公司 半導體擴散設備中石英反應管之裝卸裝置及其裝卸方法

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
TW306011B (zh) * 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
US5902399A (en) * 1995-07-27 1999-05-11 Micron Technology, Inc. Method and apparatus for improved coating of a semiconductor wafer
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5790069A (en) * 1995-10-06 1998-08-04 Imation Corp. Thermal Processor with air flow preventing structure
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
JP3380663B2 (ja) * 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 基板処理装置
TW317644B (zh) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US5769945A (en) * 1996-06-21 1998-06-23 Micron Technology, Inc. Spin coating bowl exhaust system
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US5985031A (en) 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5861061A (en) * 1996-06-21 1999-01-19 Micron Technology, Inc. Spin coating bowl
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US5759273A (en) * 1996-07-16 1998-06-02 Micron Technology, Inc. Cross-section sample staining tool
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
KR100203782B1 (ko) * 1996-09-05 1999-06-15 윤종용 반도체 웨이퍼 열처리장치
JP3360001B2 (ja) * 1996-10-30 2002-12-24 芝浦メカトロニクス株式会社 処理装置
JP3604241B2 (ja) * 1996-10-31 2004-12-22 東京エレクトロン株式会社 縦型熱処理装置
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
SG102627A1 (en) * 1996-11-28 2004-03-26 Nikon Corp Lithographic device
EP0849774B1 (en) * 1996-12-19 2004-12-15 Texas Instruments Incorporated System and method for delivering a spin-on-glass on a substrate
KR100249309B1 (ko) * 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
GB2322693B (en) * 1997-02-28 2001-01-24 W T Shipping Ltd Packaging of commodities
US6103133A (en) * 1997-03-19 2000-08-15 Kabushiki Kaisha Toshiba Manufacturing method of a diamond emitter vacuum micro device
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US5905656A (en) * 1997-04-18 1999-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for dispensing a liquid
DE19721689C2 (de) * 1997-05-23 1999-06-10 Steag Hama Tech Gmbh Machines Vorrichtung zum Trocknen von Substraten
JP3926890B2 (ja) * 1997-06-11 2007-06-06 東京エレクトロン株式会社 処理システム
US6024249A (en) * 1997-06-27 2000-02-15 Taiwan Semiconductor Manufacturing Company Fluid delivery system using an optical sensor to monitor for gas bubbles
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6125689A (en) * 1997-08-15 2000-10-03 Graves' Trust Group Non-destructive semiconductor wafer test system
JP3500050B2 (ja) 1997-09-08 2004-02-23 東京エレクトロン株式会社 不純物除去装置、膜形成方法及び膜形成システム
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6274516B1 (en) * 1997-10-27 2001-08-14 Canon Kabushiki Kaisha Process for manufacturing interlayer insulating film and display apparatus using this film and its manufacturing method
US6183358B1 (en) * 1997-11-17 2001-02-06 Texas Instruments Incorporated Isolated multilevel fabricating facility with two way clean tunnel transport system with each tool having adjacent support skid
JP3264879B2 (ja) * 1997-11-28 2002-03-11 東京エレクトロン株式会社 基板処理システム、インターフェイス装置、および基板搬送方法
TW463028B (en) * 1998-04-21 2001-11-11 Hitachi Shipbuilding Eng Co Working robot for heat exchangers and operating method thereof
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
TW410415B (en) * 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3445937B2 (ja) * 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
US6572457B2 (en) 1998-09-09 2003-06-03 Applied Surface Technologies System and method for controlling humidity in a cryogenic aerosol spray cleaning system
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6464571B2 (en) * 1998-12-01 2002-10-15 Nutool, Inc. Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6119673A (en) * 1998-12-02 2000-09-19 Tokyo Seimitsu Co., Ltd. Wafer retrieval method in multiple slicing wire saw
US6533531B1 (en) * 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6656273B1 (en) 1999-06-16 2003-12-02 Tokyo Electron Limited Film forming method and film forming system
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6204551B1 (en) * 1999-08-16 2001-03-20 Winbond Electronics Corp. Modified SOG coater's hot plate to improve SOG film quality
US6355105B1 (en) * 1999-10-12 2002-03-12 United Microelectronics Corp. Protecting photoresist coating system by photochopper sensor
KR100307825B1 (ko) * 1999-10-23 2001-11-02 윤종용 웨이퍼 예비정렬 스테이지에 사용되는 웨이퍼 온도 제어장치
US6679755B1 (en) 1999-12-09 2004-01-20 Applied Materials Inc. Chemical mechanical planarization system
SG168411A1 (en) 2000-02-01 2011-02-28 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3706294B2 (ja) * 2000-03-27 2005-10-12 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
KR100326432B1 (ko) * 2000-05-29 2002-02-28 윤종용 웨이퍼 스테이지용 에어 샤워
JP2001351848A (ja) * 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP3587776B2 (ja) * 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
US6690993B2 (en) * 2000-10-12 2004-02-10 R. Foulke Development Company, Llc Reticle storage system
JP4100466B2 (ja) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 液処理装置
SG138467A1 (en) * 2000-12-28 2008-01-28 Semiconductor Energy Lab Luminescent device
CN101397649B (zh) * 2001-02-01 2011-12-28 株式会社半导体能源研究所 能够将有机化合物沉积在衬底上的装置
KR100396468B1 (ko) * 2001-05-17 2003-09-02 삼성전자주식회사 공기 샘플링 캐리어와 공기 분석장치 및 방법
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2003027280A (ja) * 2001-07-18 2003-01-29 Ebara Corp めっき装置
US20030051364A1 (en) * 2001-08-14 2003-03-20 Bernardo Donoso Method and apparatus for positioning a wafer chuck
US6878401B2 (en) * 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
US6811613B2 (en) * 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
KR100454242B1 (ko) * 2001-12-28 2004-10-26 한국디엔에스 주식회사 웨이퍼 건조 방법
JP3888620B2 (ja) * 2002-01-22 2007-03-07 東京エレクトロン株式会社 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
US20030164378A1 (en) * 2002-02-01 2003-09-04 Simson Anton K. Beverage container unloading and dispensing apparatus
JP2003273020A (ja) * 2002-03-14 2003-09-26 Hitachi Kokusai Electric Inc 基板処理方法
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
JP4570008B2 (ja) * 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
KR100481176B1 (ko) * 2002-08-20 2005-04-07 삼성전자주식회사 기포검출장치가 장착된 웨트 크리닝 설비
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US7258520B2 (en) * 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
KR101050632B1 (ko) * 2002-08-31 2011-07-19 어플라이드 머티어리얼스, 인코포레이티드 도어 래칭 및 기판 클램핑 매커니즘들을 갖는 기판 캐리어
US20050095110A1 (en) * 2002-08-31 2005-05-05 Lowrance Robert B. Method and apparatus for unloading substrate carriers from substrate carrier transport system
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7506746B2 (en) * 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
KR20040043312A (ko) * 2002-11-18 2004-05-24 주식회사 청솔식품 밀감을이용한 조청 및 그 제조방법
JP4276440B2 (ja) * 2003-01-06 2009-06-10 東京エレクトロン株式会社 基板検出方法及び装置並びに基板処理装置
US7077264B2 (en) * 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US20090308030A1 (en) * 2003-01-27 2009-12-17 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
JP3988676B2 (ja) * 2003-05-01 2007-10-10 セイコーエプソン株式会社 塗布装置、薄膜の形成方法、薄膜形成装置及び半導体装置の製造方法
JP4137711B2 (ja) * 2003-06-16 2008-08-20 東京エレクトロン株式会社 基板処理装置及び基板搬送手段の位置合わせ方法
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US20060183070A1 (en) * 2003-09-25 2006-08-17 Hitachi Kosusai Electric Inc. Substrate processing device and method of producing substrates
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
KR100810429B1 (ko) 2003-12-31 2008-03-04 동부일렉트로닉스 주식회사 커튼 립 체크 시스템 및방법
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP4358690B2 (ja) * 2004-06-30 2009-11-04 東京エレクトロン株式会社 縦型熱処理装置及びその運用方法
TW200633033A (en) * 2004-08-23 2006-09-16 Koninkl Philips Electronics Nv Hot source cleaning system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
KR20060056709A (ko) * 2004-11-22 2006-05-25 삼성전자주식회사 도어 입구에 에어 커튼을 가지는 반도체 제조 장비
JP2006173378A (ja) * 2004-12-16 2006-06-29 Dainippon Screen Mfg Co Ltd 基板処理装置及び基板処理方法
JP2006239604A (ja) * 2005-03-04 2006-09-14 Sprout Co Ltd 基板洗浄装置およびその洗浄方法
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
KR100713808B1 (ko) * 2005-06-08 2007-05-02 삼성전자주식회사 대상물을 직선 이동시키는 직선 이동 어셈블리 및 이를구비하는 반도체 웨이퍼 매핑 장치
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
JP4892225B2 (ja) 2005-10-28 2012-03-07 株式会社日立ハイテクノロジーズ 真空処理方法、真空搬送装置および半導体処理装置
JP4688637B2 (ja) * 2005-10-28 2011-05-25 東京エレクトロン株式会社 基板処理装置及びバッチ編成装置並びにバッチ編成方法及びバッチ編成プログラム
CN101356425B (zh) * 2005-11-14 2011-01-26 麦德塔自动化股份有限公司 喷射装置和改善喷射装置性能的方法
US8147301B2 (en) * 2006-01-19 2012-04-03 Ray Ghattas Air handling system for clean room
US20070215049A1 (en) * 2006-03-14 2007-09-20 Applied Materials, Inc. Transfer of wafers with edge grip
JP4878202B2 (ja) * 2006-04-26 2012-02-15 東京エレクトロン株式会社 膜位置調整方法、記憶媒体及び基板処理システム
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
JP2007311408A (ja) * 2006-05-16 2007-11-29 Toshiba Corp 基板処理装置及び基板処理方法
KR100826269B1 (ko) * 2006-06-13 2008-04-29 삼성전기주식회사 복합 소성로 및 이에 채용되는 승하강 장치
JP2008000676A (ja) * 2006-06-22 2008-01-10 Seiko Epson Corp スピンコート装置および反射防止層用組成物のコーティング方法
KR100772846B1 (ko) * 2006-08-30 2007-11-02 삼성전자주식회사 반도체 소자 제조를 위한 종형 확산로의 웨이퍼 검출장치와방법
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080285616A1 (en) * 2006-12-22 2008-11-20 Espec Corp. System for testing the durability of objects under thermally hard circumstances
JP2008155110A (ja) * 2006-12-22 2008-07-10 Espec Corp 熱媒体供給装置及び温度調節機器
IL180875A0 (en) * 2007-01-22 2007-07-04 Ricor Ltd Gas purge method and apparatus
US20080181758A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods
US7922485B2 (en) * 2007-02-14 2011-04-12 Tokyo Electron Limited Vertical type heat processing apparatus and vertical type heat processing method
JP4365430B2 (ja) * 2007-02-14 2009-11-18 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
US7643897B2 (en) * 2007-06-07 2010-01-05 United Microelectronics Corp. Method for automatically checking sequence of loading boats and batches for semiconductor manufacturing process
US20080310939A1 (en) * 2007-06-15 2008-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for use in a lithography tool
US8099190B2 (en) * 2007-06-22 2012-01-17 Asm International N.V. Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
WO2009028595A1 (ja) * 2007-08-31 2009-03-05 Canon Anelva Corporation 基板処理装置
JP4359640B2 (ja) * 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
US7900579B2 (en) * 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
WO2009055395A1 (en) 2007-10-22 2009-04-30 Applied Materials, Inc. Methods and apparatus for transporting substrate carriers
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) * 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
TWI372717B (en) * 2007-12-14 2012-09-21 Prime View Int Co Ltd Apparatus for transferring substrate
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5469966B2 (ja) * 2009-09-08 2014-04-16 東京応化工業株式会社 塗布装置及び塗布方法
JP5439097B2 (ja) * 2009-09-08 2014-03-12 東京応化工業株式会社 塗布装置及び塗布方法
JP5639816B2 (ja) * 2009-09-08 2014-12-10 東京応化工業株式会社 塗布方法及び塗布装置
JP5719546B2 (ja) * 2009-09-08 2015-05-20 東京応化工業株式会社 塗布装置及び塗布方法
DE102010019776B4 (de) * 2010-05-07 2015-07-02 Thermo Electron Led Gmbh Klimaschrank mit mehreren Ein- und Ausgabestationen
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
US20120305192A1 (en) 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing fluid jet module
CN103999198B (zh) * 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
DE102012100825A1 (de) * 2011-12-01 2013-06-06 solar-semi GmbH Vorrichtung zum Bearbeiten eines Substrats und Verfahren hierzu
JP5557061B2 (ja) * 2012-01-04 2014-07-23 株式会社ダイフク 物品保管設備
JP5609896B2 (ja) * 2012-01-13 2014-10-22 株式会社安川電機 搬送システム
JP5965729B2 (ja) * 2012-05-31 2016-08-10 東京エレクトロン株式会社 ノズル洗浄装置、ノズル洗浄方法および基板処理装置
JP6098217B2 (ja) * 2013-02-20 2017-03-22 株式会社村田製作所 回路基板およびその製造方法
KR102069189B1 (ko) * 2013-06-17 2020-01-23 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
US10446390B2 (en) * 2013-06-28 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dispensing liquid spin-on glass (SOG) onto semiconductor wafers
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
WO2016038664A1 (ja) * 2014-09-08 2016-03-17 三菱電機株式会社 半導体アニール装置
JP6429573B2 (ja) * 2014-10-03 2018-11-28 キヤノン株式会社 インプリント装置、インプリント方法および物品製造方法
JP6322131B2 (ja) * 2014-12-24 2018-05-09 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6462559B2 (ja) * 2015-05-15 2019-01-30 東京エレクトロン株式会社 基板処理装置
US10553421B2 (en) * 2015-05-15 2020-02-04 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
WO2018055699A1 (ja) * 2016-09-21 2018-03-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
KR20180078419A (ko) * 2016-12-29 2018-07-10 삼성전자주식회사 캐리어
KR102151323B1 (ko) * 2017-02-17 2020-09-02 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 기록된 프로그램
KR102377165B1 (ko) 2017-07-28 2022-03-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
WO2019117043A1 (ja) * 2017-12-12 2019-06-20 東京エレクトロン株式会社 液供給装置及び液供給方法
CN207793418U (zh) * 2018-01-30 2018-08-31 北京铂阳顶荣光伏科技有限公司 一种镀膜设备
JP6963179B2 (ja) * 2018-03-15 2021-11-05 シンフォニアテクノロジー株式会社 Efem
CN109794386B (zh) * 2019-01-24 2021-03-26 佛山隆深机器人有限公司 一种智能化多功能自动喷漆打磨生产线
US12009241B2 (en) * 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
JP7321052B2 (ja) * 2019-10-17 2023-08-04 東京エレクトロン株式会社 基板処理装置および装置洗浄方法
JP7519822B2 (ja) * 2020-06-19 2024-07-22 東京エレクトロン株式会社 収納モジュール、基板処理システムおよび消耗部材の搬送方法
CN113187741B (zh) * 2021-04-29 2022-12-02 长鑫存储技术有限公司 液体回吸***及回吸方法
KR20220158515A (ko) * 2021-05-24 2022-12-01 에이디알씨 주식회사 스프레이 코터 및 이를 이용하여 제조된 박막 트랜지스터
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4416930A (en) * 1980-11-24 1983-11-22 Ppg Industries, Inc. Treating glass sheets to heal vents that result in breakage during thermal treatment
US4731293A (en) * 1986-06-20 1988-03-15 American Telephone And Telegraph Company, At&T Bell Laboratories Fabrication of devices using phosphorus glasses
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4877123A (en) * 1987-11-02 1989-10-31 Ichiro Fukuwatari Conveyor means for wafers
US4826709A (en) * 1988-02-29 1989-05-02 American Telephone And Telegraph Company At&T Bell Laboratories Devices involving silicon glasses
US5143552A (en) * 1988-03-09 1992-09-01 Tokyo Electron Limited Coating equipment
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5120580A (en) * 1989-07-07 1992-06-09 Lanxide Technology Company, Lp Methods of producing ceramic and ceramic composite bodies
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3162704B2 (ja) * 1990-11-28 2001-05-08 東京エレクトロン株式会社 処理装置
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP2791251B2 (ja) * 1992-07-30 1998-08-27 三菱電機株式会社 半導体処理装置及び方法並びに半導体処理装置モジュール
NL9201825A (nl) * 1992-10-21 1994-05-16 Od & Me Bv Inrichting voor het vervaardigen van een matrijs voor een schijfvormige registratiedrager.
US5371046A (en) * 1993-07-22 1994-12-06 Taiwan Semiconductor Manufacturing Company Method to solve sog non-uniformity in the VLSI process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI559404B (zh) * 2013-11-29 2016-11-21 北京七星華創電子股份有限公司 半導體擴散設備中石英反應管之裝卸裝置及其裝卸方法

Also Published As

Publication number Publication date
KR950012620A (ko) 1995-05-16
US5725664A (en) 1998-03-10
US5565034A (en) 1996-10-15
KR100272188B1 (ko) 2000-12-01
US6054181A (en) 2000-04-25

Similar Documents

Publication Publication Date Title
TW320741B (zh)
JP5006122B2 (ja) 基板処理装置
JP5014811B2 (ja) 基板の処理方法
JP5344734B2 (ja) 基板処理装置
JP5179170B2 (ja) 基板処理装置
JP4937772B2 (ja) 基板の処理方法
JP2009135294A (ja) 基板処理装置
JP2002118051A (ja) 塗布装置及び塗布方法
KR20120030058A (ko) 템플릿 처리 장치 및 임프린트 시스템
TWI242793B (en) Substrate processing apparatus
JP2009164253A (ja) 基板処理装置
JPH0846010A (ja) 処理システム
TWI417497B (zh) 減壓乾燥裝置及減壓乾燥方法
JP3118681B2 (ja) 処理装置及び処理方法
JP2984969B2 (ja) 処理システム
KR20200017027A (ko) 기판 처리 장치 및 방법
JP3066519B2 (ja) 処理システム及び処理方法
JP5572666B2 (ja) 基板処理装置
JP6656305B2 (ja) 基板処理装置
JP3710979B2 (ja) 基板処理装置
JP5964654B2 (ja) 基板処理方法
JP6557647B2 (ja) 基板処理装置
JP2003142552A (ja) 基板処理装置
JP6209554B2 (ja) 基板処理方法
JP6049929B2 (ja) 基板処理方法