TW201836440A - 用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭 - Google Patents

用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭 Download PDF

Info

Publication number
TW201836440A
TW201836440A TW106143637A TW106143637A TW201836440A TW 201836440 A TW201836440 A TW 201836440A TW 106143637 A TW106143637 A TW 106143637A TW 106143637 A TW106143637 A TW 106143637A TW 201836440 A TW201836440 A TW 201836440A
Authority
TW
Taiwan
Prior art keywords
processing system
substrate processing
fluid
shower head
chamber
Prior art date
Application number
TW106143637A
Other languages
English (en)
Inventor
瑞秋 巴特瑟
邱華檀
巴德里 凡拉德拉彥
派崔克 吉拉德 百林
龔伯
威爾 許簍瑟
桂喆
談太德
翰杰弗里
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201836440A publication Critical patent/TW201836440A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板處理系統包括第一腔室,該第一腔室包括基板支撐件。噴淋頭係設置在第一腔室上方且配置成過濾離子以及傳輸來自電漿源的自由基至第一腔室。噴淋頭包括:(1)傳熱流體充氣部,其包括用以接收傳熱流體的一入口、以及用以引導傳熱流體通過噴淋頭的中心部分而至一出口以控制噴淋頭的溫度的複數流體通道,(2)第二氣體充氣部,其包括用以接收第二氣體的一入口、以及用以噴射第二氣體至第一腔室內的複數第二氣體噴射器,及(3)複數的通孔,其穿過噴淋頭。通孔不與傳熱流體充氣部或第二氣體充氣部呈流體連通。

Description

用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭
本揭露內容關於基板處理系統,且尤其關於包括傳輸自由基與前驅物氣體至下游腔室之噴淋頭的基板處理系統。
本文提供的背景描述係針對概括性地呈現本揭露內容之脈絡的目的。目前所列名之發明人的工作成果(就本先前技術章節中所描述之範圍而言)、以及不可以其他方式適格為申請時之習知技術的描述內容之實施態樣均不明示或暗示地被認為是相對本揭露內容的習知技術。
基板處理系統可用以在例如半導體晶圓之基板上沉積膜。基板處理系統典型地包括處理腔室及基板支撐件。在膜沉積期間,可供給自由基與前驅物氣體至處理腔室。
例如,處理腔室可包括上腔室、下腔室及基板支撐件。噴淋頭可設置在上腔室及下腔室之間。基板係設置在下腔室中的基板支撐件上。電漿氣體混合物係供給至上腔室且電漿在上腔室中點燃。由電漿產生的自由基的一部分流經噴淋頭而至下腔室。噴淋頭過濾離子並屏蔽UV光到達下腔室。前驅物氣體混合物係通過噴淋頭供給至下腔室並與自由基反應以在基板上沉積膜。
一般而言,噴淋頭不具有熱控制系統。然而,在一些處理系統中,基本的熱控制系統用以控制噴淋頭的外邊緣的溫度,噴淋頭外邊緣係易受影響且不處於真空下。由於來自電漿的熱,基本的熱控制系統無法均勻地控制整個噴淋頭的溫度。換言之,在噴淋頭的中心處的溫度增加。溫度改變亦伴隨製程改變而發生,例如開啟/關閉電漿、壓力、流率及/或基座溫度。噴淋頭的溫度的變化不利地影響沉積製程的均勻性以及損害效能。
基板處理系統包括第一腔室,該第一腔室包括基板支撐件。噴淋頭係設置在第一腔室上方且配置成過濾離子以及傳輸來自電漿源的自由基至第一腔室。噴淋頭包括:(1)傳熱流體充氣部,其包括用以接收傳熱流體的一入口、以及複數的流體通道,該複數的流體通道用以引導傳熱流體通過噴淋頭的中心部分而至一出口以控制噴淋頭的溫度,(2)第二氣體充氣部,其包括用以接收第二氣體的一入口、以及複數的第二氣體噴射器,該第二氣體噴射器用以噴射第二氣體至第一腔室內,及(3)複數的通孔,其穿過噴淋頭。該等通孔不與傳熱流體充氣部或第二氣體充氣部保持流體連通。
在其他特徵中,傳熱流體充氣部包括與入口呈流體連通之第一充氣部。流體通道的第一末端係與第一充氣部呈流體連通。第二充氣部係與流體通道的相反末端呈流體連通。
在其他特徵中,傳熱流體充氣部包括與入口呈流體連通的第一充氣部、與流體通道的第一末端呈流體連通的第二充氣部、設置在第一充氣部及第二充氣部之間以限制其間的流體流量之第一複數的節流部、與流體通道的相反末端呈流體連通的第三充氣部、與出口呈流體連通的第四充氣部、以及設置在第三充氣部與第四充氣部之間以限制其間的流體流量之第二複數的節流部。
在其他特徵中,複數的流體通道以徑向方向從噴淋頭的一側流通至噴淋頭的相反側。複數的流體通道定義一直線路徑。複數的流體通道定義一曲線路徑。複數的流體通道定義一正弦形狀的路徑。
在其他特徵中,第二氣體充氣部包括第一充氣部、第二充氣部以及設置在第一充氣部與第二充氣部之間的流量節流部。
在其他特徵中,流量節流部包含第一複數的牆以及定義在第一複數的牆之間的複數的槽縫。第一複數的牆為弧形形狀。第二複數的牆圍繞在第二充氣部中的通孔而設置。第二複數的牆為圓柱形狀。
在其他特徵中,第二氣體噴射器係與第二充氣部呈流體連通。複數的節流部設置在第二充氣部與第二氣體噴射器之間。
在其他特徵中,複數的流體通道包括入口與出口。複數的流體通道的入口係設置在噴淋頭的一側上,複數的流體通道的出口係設置在該一側上介於該等入口之間,且複數的流體通道連接至入口,行進橫過噴淋頭並橫過噴淋頭返回至出口。
在其他特徵中,第二腔室係設置在第一腔室上方。噴淋頭係設置在第一腔室與第二腔室之間。線圈係圍繞第二腔室設置。RF產生器係連接至線圈以在第二腔室中產生電漿。
在其他特徵中,流體通道的至少一者包括流量節流部。傳熱流體包含液體。傳熱流體包含氣體。傳熱流體不流至第一腔室內。
在其他特徵中,第二氣體噴射器從噴淋頭的底表面延伸一預定距離,其中該預定距離在從0.1英吋至1.5英吋的範圍中。通孔具有在從0.05英吋至0.3英吋的範圍中之直徑。
在其他特徵中,噴淋頭包括圓柱形的牆,該牆自噴淋頭的底表面延伸,且該牆係設置於該複數的通孔與複數的第二氣體噴射器的徑向外側。噴淋頭包括圓柱形的牆,該牆自噴淋頭的頂表面向上延伸,且該牆係設置於該複數的通孔與複數的第二氣體噴射器的徑向外側。
在其他特徵中,第一O型環係設置在噴淋頭的頂表面與上腔室之間,且第二O型環係設置在噴淋頭的底表面與下腔室之間。
本揭露內容之應用的進一步領域將自實施方式、申請專利範圍、及圖式而變得顯而易見。實施方式及特定範例僅意在說明的目的,且不意圖限制本揭露內容的範疇。
本揭露內容關於基板處理系統,該系統包括整合的齊平安裝式噴淋頭,該噴淋頭傳輸均勻的自由基以及過濾來自遠程電漿源的離子。藉由供給傳熱流體至通過噴淋頭的中心部分之通道以維持均勻且受控制的溫度,噴淋頭提供均勻的溫度控制。噴淋頭亦對包括基板之腔室提供均勻的前驅物氣體流傳輸。在一些範例中,基板處理系統可用以沉積保形的碳化物膜,但是可沉積其他類型的膜。
現參照圖1,基板處理系統10包括上腔室20及下腔室30。儘管顯示及描述基板處理系統的特定類型,然可使用其他類型。儘管顯示感應耦合式電漿,然可使用其他類型的電漿產生,例如電容耦合式電漿、遠程電漿源或其他合適的電漿產生器。
在一些範例中,上腔室20可包括圓頂形狀的腔室,但是可使用其他腔室形狀。基板支撐件34設置在下腔室30中。在基板處理期間,基板36設置在基板支撐件34上。噴淋頭40設置在上腔室20及下腔室30之間。感應線圈42可圍繞上腔室20設置。
氣體傳輸系統50-1可用以供給包括電漿氣體之製程氣體混合物至上腔室20。氣體傳輸系統50-1包括一或更多氣體源52-1、52-2…及52-N、閥54-1…及54-N、質量流量控制器(MFC)56-1…及56-N、以及歧管58(其中N為整數),但是可使用其他類型的氣體傳輸系統。氣體傳輸系統50-2傳輸包括前驅物氣體之製程氣體混合物至噴淋頭40。
RF電漿產生器66包括RF源70及匹配網路72。RF電漿產生器66選擇性地供給RF功率至感應線圈42(在供給電漿氣體時)以在上腔室20中產生電漿62。
熱控制系統86可用以供給例如氣體或液體的冷卻劑之傳熱流體至噴淋頭40以控制噴淋頭40的溫度。閥88及泵浦90可用以排空反應物。
控制器94與氣體傳輸系統50-1及50-2溝通,以依需要來選擇性地供給製程氣體至上腔室20及噴淋頭40。控制器94與RF電漿產生器66溝通,以在上腔室20中產生及熄滅電漿。
控制器94與熱控制系統86溝通,以控制用以控制噴淋頭40的溫度之傳熱流體的流率及溫度。在一些範例中,傳熱流體可包括水、與乙二醇混合的水、全氟多醚氟化流體或其他流體、及/或一或更多氣體。在一些範例中,熱控制系統86使用閉迴路控制方式來控制傳熱流體的流率或溫度。在其他範例中,熱控制系統86使用比例性積分微分(PID)控制方式來控制流率及溫度。傳熱流體可由建築物水循環系統提供在開迴路系統中。在一些範例中,傳熱流體受到氣密密封而與真空腔室隔開。
在一些範例中,控制器94可連接至設置在噴淋頭40中之一或更多溫度感測器(未顯示)以感測噴淋頭40的一或更多溫度。在一些範例中,控制器94可連接至設置在噴淋頭40中之一或更多壓力感測器(未顯示)以感測處理腔室中之一或更多壓力。控制器94與閥88及泵浦90溝通,以控制上腔室20及下腔室30內的壓力並從該處選擇性地排空反應物。
現參照2A-3,其顯示噴淋頭40的頂表面102、底表面104及側表面108。在圖2A中,噴淋頭40包括複數間隔開的通孔110,該通孔110在噴淋頭的軸向中心部分或中心從噴淋頭40的頂表面102穿至噴淋頭40的底表面104。在一些範例中,如在圖2B中所示,O型環111可設置在噴淋頭40的底表面104與下腔室30之間。凹槽113可設置在噴淋頭40及下腔室30其中一或兩者上以放置O型環111。
複數的第二氣體噴射器112自噴淋頭40供給例如前驅物氣體之第二氣體。在一些範例中,第二氣體噴射器112在噴淋頭40的中心部分從噴淋頭40的底表面104向下延伸。在一些範例中,第二氣體噴射器112包括在底表面104上的節流部(未顯示)以防止逆擴散並以使第二氣體噴射器之間的氣體流動均勻。該節流部可能誘發阻流條件。
在圖3中,噴淋頭40包括作為入口及出口的傳熱流體埠120、122。噴淋頭40可容納具有多對的埠之多於一個傳熱流體充氣部。洩漏收集墊座128可設置成圍繞傳熱流體埠120、122的一或兩者。洩漏收集墊座128可設置在上腔室及下腔室之外。洩漏收集墊座128容許洩漏偵測。在一些範例中,O型環115可設置在噴淋頭40的頂表面102與上腔室20之間。凹槽可設置在噴淋頭40及上腔室20的一或兩者上,以類似於在圖2B中所顯示的方式設置O型環111。
現參照圖4A,噴淋頭40的通孔110及第二氣體噴射器112可以各種圖案設置。例如,在圖4A中所示之噴淋頭40的通孔110及第二氣體噴射器112可具有偏移的三角形圖案T。替代的圖案包括矩形、放射狀、六角形或螺旋的型式,但是可使用其他圖案。在一些範例中,第二氣體噴射器112的間距在從0.25英吋至2英吋的範圍中。在一些範例中,通孔110可具有與第二氣體噴射器相同的間距,但是可如在圖4B及4C中所示般使用不同的間距。
在一些範例中,通孔110可包括複數較小的通孔,該較小的通孔圍繞各第二氣體噴射器112而聚集,如在圖4B及4C之範例中所示。圍繞第二氣體噴射器112之通孔110的配置可為均勻的(如在圖4B中所示)或非均勻的(如在圖4C中所示)。在一些範例中,通孔110-R係位於靠近噴淋頭40的中心之第二氣體噴射器的一側上之噴淋頭40的徑向線上。
現參照圖5A-8B,其顯示噴淋頭40的側視橫剖面圖。在圖5A中,通孔110從噴淋頭40的頂表面102穿至噴淋頭40的底表面104。一或更多傳熱流體充氣部140係設置在一或更多平面中,該等平面係與通孔110垂直且與噴淋頭40的頂表面102平行但偏移於該頂表面102。一或更多第二氣體充氣部150係設置在一或更多平面中,該等平面係與通孔110垂直且與噴淋頭40的底表面104及包括傳熱流體充氣部140的該一或更多平面平行但偏移。所示之配置為傳熱流體充氣部高於第二氣體充氣部。可顛倒該等充氣部,使得第二氣體充氣部高於傳熱流體充氣部。
一或更多傳熱流體充氣部140係連接至傳熱流體埠120、122。一或更多第二氣體充氣部150從第二氣體入口(圖2A)接收氣體,並將第二氣體流供給至第二氣體噴射器112的流體通道152。
在一些範例中,第二氣體噴射器112延伸一預定距離而遠離噴淋頭40的底表面,以減少在噴淋頭40上的膜沉積。在一些範例中,該預定距離在從0.1英吋至1.5英吋的範圍中,但是可使用其他距離。在一些範例中,第二氣體噴射器112包括節流部以防止逆擴散以及確保第二氣體噴射器彼此之間的流動均勻性。在一些範例中,通孔110具有在從0.05英吋至0.3英吋的範圍中之直徑。
在圖5B中,噴淋頭40可由包括頂層163、中間層165及底層167之複數層構成,且該頂層163、中間層165及底層167係連接在一起。可加入更多層以產生額外充氣部。在一些範例中,噴淋頭40可使用真空硬焊、鎢惰性氣體(TIG)熔接或電子束熔接來製造,以在合理成本下達成複雜的與獨特的幾何構造。真空硬焊接合容許將噴淋頭加工成為複數平板(其具有切入該等平板內之凹槽),且在各板之間具有硬焊的層。熔接技術需要更複雜的次元件以使熔接到達需要密封的所有區域。可加工支柱及相應的孔以抬高密封區域至熔接可到達之部分的表面。
在一些範例中,中間層165的頂表面定義該一或更多傳熱流體充氣部140,且中間層165的底表面定義該一或更多第二氣體充氣部150。然而,頂層163的底表面可用以部分地或完全地定義該一或更多傳熱流體充氣部140,且底層167的頂表面可用以完全地或部分地定義該一或更多第二氣體充氣部。
在一些範例中,充氣部及在其之上與在其之下的材料之厚度為0.05英吋至0.25英吋,但是可使用其他厚度。在充氣部之間及在充氣部之上/之下的材料的厚度係由支撐流體壓力所需的強度及製造所需的材料厚度來決定。可調整傳熱流體充氣部140的厚度之大小以減少流體的壓降。可擇定足夠大之第二氣體充氣部150的大小,以容許均勻分佈氣體至各噴射器112。各層的厚度應受到最小化以減少總厚度,以減少在通孔110中之自由基的損失。
在一些範例中,頂層163及底層167的厚度在從0.075英吋至0.125英吋的範圍中,但是可使用其他厚度。在一些範例中,頂層163及底層167的厚度為0.1英吋,但是可使用其他厚度。在一些範例中,中間層165的厚度在從0.4英吋至0.6英吋的範圍中,但是可使用其他厚度。在一些範例中,中間層165的厚度為0.5英吋,但是可使用其他厚度。在一些範例中,噴淋頭的厚度為低於或等於1英吋。在一些範例中,噴淋頭的厚度係低於或等於0.7英吋。
在圖6及圖7中,顯示洩漏收集墊座128。洩漏收集墊座128包括凹陷部,該凹陷部圍繞傳熱流體埠120、122之至少一者而設置。在一些範例中,凹陷部為圓柱形,但是可使用其他形狀。
在圖8A中,一些範例包括圓柱形的牆210,該牆210從噴淋頭40的徑向外邊緣208(與該邊緣208接近或徑向向內隔開)朝基板36向下延伸(且在通孔110及第二氣體噴射器112徑向外側)。圓柱形的牆210可與噴淋頭40整合或附接至噴淋頭40。圓柱形的牆210改善在噴淋頭40及基板所見之腔室牆之間的熱均勻性。藉由在該牆及基板支撐件34之間建立流量限制,圓柱形的牆210亦可用以控制排氣埠泵抽的不均勻性。在一些範例中,圓柱形的牆210延伸至低於一平面,該平面包括基板支撐件34的頂表面。
在圖8B中,一些範例包括圓柱形的牆211,該牆211從噴淋頭40的徑向外邊緣208(與該邊緣208接近或徑向向內隔開)向上延伸(且於通孔110及第二氣體噴射器112的徑向外側)。圓柱形的牆211可與噴淋頭40整合或附接至噴淋頭40的頂表面。圓柱形的牆211提供用於安裝自由基源的安裝表面。
現參照圖9-10,一或更多傳熱流體充氣部140的範例配置。在圖9中,顯示中間層165的頂表面。一或更多傳熱流體充氣部140包括第一充氣部156-1。在一些範例中,第一充氣部156-1具有弧形形狀,但是可使用其他形狀。在一些範例中,複數的節流部158-1彼此鄰近地設置在第一充氣部156-1的一側上。擇定在各個複數的節流部158-1之間的間距,以限制及分佈從第一充氣部156-1進入第二充氣部156-2的流量。在一些範例中,複數的節流部158-1各者包括具有圓形、橢圓形或長橢圓形(oblong)的形狀之支柱,但是可使用其他形狀。複數的節流部158-1可用以使在流體通道160之間的流體流動更均勻,並以消除噴射效應(jetting effects)。或者,如圖10所示,一或更多的流體通道160可包括節流部164以控制流量。如果流體通道160包括節流部164,則可省略該複數的節流部158-1,而第一充氣部156-1及第二充氣部156-2可成為單一充氣部。
第二充氣部156-2開通入流體腔室160的第一末端。在一些範例中,流體通道160具有三角形、方形波、曲線或大致上正弦的形狀以增加表面積。流體通道160的第二末端係連接至設置在噴淋頭40的相反側之第三充氣部156-3。複數的節流部158-2設置在第三充氣部156-3的一側上。複數的節流部158-2各者係設置以限制進入第四充氣部156-4的流量。第四充氣部156-4係連接至出口。如果流體通道160包括節流部164,則可省略該複數的節流部158-2,而第三充氣部156-3及第四充氣部156-4可成為單一充氣部。
在一些範例中,傳熱流體流體通道160具有低於或等於10%流率的通道與通道之間的不均勻性。在一些範例中,傳熱流體流率為每分鐘10加侖,並將整個噴淋頭表面控制在攝氏+-1度。在一些範例中,第二氣體噴射器112具有低於或等於1%質量流率的流量不均勻性。在一些範例中,第二氣體噴射器112具有低於或等於0.1%質量流率的流量不均勻性。
在圖11中,顯示中間層165的底表面。一或更多第二氣體充氣部150包括與第一充氣部176-1及第二充氣部176-2保持流體連通之氣體入口172及流體通路174。第一複數的牆180係設置在第一充氣部176-1與第二充氣部176-2之間。複數的槽縫184係設置在複數的牆180的末端之間以限制在第一充氣部176-1與第二充氣部176-2之間的流量。在一些範例中,第一充氣部176-1為環形,第二充氣部176-2為圓形,且第一複數的牆180為弧形形狀,但是可使用其他形狀。
第二複數的牆190係圍繞通孔110設置。在一些範例中,第二複數的牆190具有圓柱形的形狀,但是可使用其他形狀。在一些範例中,第二複數的牆190的頂邊緣提供接合區域以在第二充氣部176-2及通孔110之間建立真空密封。在一些範例中,複數的節流部186係設置在第二氣體噴射器112的入口處,以控制從第二充氣部176-2至下腔室30之第二氣體的流量。
在一些範例中,相對於節流部186來建構槽縫184的大小,使得在槽縫184處的壓降ΔP槽縫 顯著地高於壓降ΔP第一充氣部 。在一些範例中,ΔP槽縫 大於ΔP第一充氣部 20倍。在一些範例中,ΔP槽縫 大於ΔP第一充氣部 5倍。
現參照圖12-14,顯示另一噴淋頭40的中間部分300以包括沿著其一側設置之傳熱流體入口及出口。換言之,流體通道從入口橫過越噴淋頭行進,並橫過噴淋頭返回出口。
在圖12中,顯示中間部分300的頂側。流體入口310係連接至流體入口充氣部320。在一些範例中,流體入口充氣部320為弧形形狀。至複數的流體通道330的入口324係連接至流體入口充氣部320。複數的流體通道330橫越噴淋頭40,轉向並返回位於該等入口324其中相鄰者之間的出口334。儘管流體通道330係顯示為直線片段,可使用例如以上所示的那些流體通道之非直線的流體通道以增加表面積及傳熱(或可使用直線及曲線的組合)。
出口334通過在中間部分300中之氣體穿孔338而至出口充氣部350,該出口充氣部350係位於圖13中之中間部分300的底側上。出口充氣部350係連接至流體出口358。可理解,類似在上文圖11中所顯示的那樣,中間部分300的底表面亦可包括第二氣體充氣部。可變化穿孔338的大小以補償通道與通道之間的不均勻流率,以達到如使用節流部158-1或節流部158-2般相同的均勻性。
此處描述之整合的噴淋頭傳輸充足且均勻的自由基、過濾來自遠程電漿源的離子、提供均勻的溫度控制以及供給均勻的前驅物。在一些範例中,由包括以上描述之傳熱流體通道之噴淋頭提供的熱控制將整個基板的熱度不均勻性控制在低於5℃。傳熱流體通道亦能控制由容納在上腔室20的容積中之電漿所產生的熱。噴淋頭更包括內部的第二氣體充氣部,該充氣部對下腔室提供均勻的前驅物傳輸。在一些範例中,來自第二氣體充氣部的氣體出口係從噴淋頭的底表面偏移一預定距離,以最小化在噴淋頭上的沉積以及延長清潔之間的時間。
先前描述在本質上僅為說明性的,而絕非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛教示可以各種形式實施。因此,雖本揭露內容包括特定範例,然由於當研究圖式、說明書、與以下申請專利範圍時,其他變化將變得顯而易見,故本揭露內容之真實範疇不應如此受限。應理解,在不改變本揭露內容之原理的情形下,方法中之一或更多步驟可以不同次序(或同時)執行。再者,雖實施例之每一者係於以上描述為具有某些特徵,然關於本揭露內容之任何實施例所述該等特徵之任何一或更多者可在任何其他實施例中實施、及/或與其特徵組合(即使並未明確描述該組合)。換言之,所述實施例並非相互排斥,且一或更多實施例彼此的置換維持在本揭露內容之範疇中。
元件 (例如,在模組、電路元件、半導體疊層等) 之間的空間與功能上的關係乃使用包括「連接」、「接合」、「耦合」、「鄰近」、「在…旁」、「在…之上」、「上方」、「下方」、與「設置」之各種術語描述。除非明確地描述為「直接」之情形下,否則當於上述揭露內容中描述第一與第二元件之間的關係時,該關係可為在第一與第二元件之間不存在其它中介元件之直接關係,但亦可為在第一與第二元件之間存在一或更多中介元件(空間上或功能上)的間接關係。如本文所用,詞組「A、B、與C之至少一者」應解釋成意指使用非排除性邏輯OR之邏輯(A OR B OR C),且不應解釋成代表「A之至少一者、B之至少一者、與C之至少一者」。
在一些實施例中,控制器為系統的一部分,該系統可為以上描述範例的一部分。如此之系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理用平台、及/或特定的處理元件(基板基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體基板或基板的處理之前、期間、以及之後,控制該等系統的運作。電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理需求及/或系統類型,控制器可程式設計成控制本文中所揭露之製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、基板轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載鎖)。
廣泛地講,控制器可界定為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、界定為特殊用途積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體基板上,或針對半導體基板)界定操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而界定之配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或基板的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取基板處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對該參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體基板的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將基板容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來基板容器。
10‧‧‧系統
20‧‧‧上腔室
30‧‧‧下腔室
34‧‧‧基板支撐件
36‧‧‧基板
40‧‧‧噴淋頭
42‧‧‧感應線圈
50-1‧‧‧氣體傳輸系統
50-2‧‧‧氣體傳輸系統
52-1‧‧‧氣體源
52-2‧‧‧氣體源
52-N‧‧‧氣體源
54-1‧‧‧閥
54-N‧‧‧閥
56-1‧‧‧質量流量控制器
56-N‧‧‧質量流量控制器
58‧‧‧歧管
62‧‧‧電漿
66‧‧‧電漿產生器
70‧‧‧RF源
72‧‧‧匹配網路
86‧‧‧熱控制系統
88‧‧‧閥
90‧‧‧泵浦
94‧‧‧控制器
102‧‧‧頂表面
104‧‧‧底表面
108‧‧‧側表面
110‧‧‧通孔
111‧‧‧O型環
112‧‧‧第二氣體噴射器
113‧‧‧凹槽
115‧‧‧O型環
120‧‧‧傳熱流體埠
122‧‧‧傳熱流體埠
128‧‧‧洩漏收集墊座
140‧‧‧傳熱流體充氣部
150‧‧‧第二氣體充氣部
152‧‧‧流體通道
156-1‧‧‧第一充氣部
156-2‧‧‧第二充氣部
156-3‧‧‧第三充氣部
156-4‧‧‧第四充氣部
158-1‧‧‧節流部
158-2‧‧‧節流部
160‧‧‧流體通道
163‧‧‧頂層
164‧‧‧節流部
165‧‧‧中間層
167‧‧‧底層
172‧‧‧入口
174‧‧‧流體通路
176-1‧‧‧第一充氣部
176-2‧‧‧第二充氣部
180‧‧‧牆
184‧‧‧槽縫
186‧‧‧節流部
190‧‧‧牆
208‧‧‧邊緣
210‧‧‧牆
211‧‧‧牆
300‧‧‧中間部分
310‧‧‧流體入口
320‧‧‧入口充氣部
324‧‧‧入口
330‧‧‧流體通道
334‧‧‧出口
338‧‧‧穿孔
350‧‧‧出口充氣部
358‧‧‧流體出口
本揭露內容將自實施方式與隨附圖式而變得更完全地獲得理解,其中:
圖1為根據本揭露內容的包括噴淋頭之基板處理腔室的範例的功能性方塊圖;
圖2A為根據本揭露內容的噴淋頭的範例的底部立體圖;
圖2B為根據本揭露內容的說明用於收容O型環的凹槽之側視橫剖面圖;
圖3為根據本揭露內容的噴淋頭的範例的頂部立體圖;
圖4A為根據本揭露內容的說明噴淋頭的範例的底表面的俯視圖;
圖4B為根據本揭露內容說明圍繞第二氣體噴射器而設置之複數的通孔的範例的俯視圖;
圖4C為根據本揭露內容說明圍繞第二氣體噴射器而設置之複數的通孔的另一範例的俯視圖;
圖5A為根據本揭露內容噴淋頭的範例的側視橫剖面圖;
圖5B為顯示由複數相鄰層所形成之噴淋頭的範例的側視橫剖面圖;
圖6為根據本揭露內容噴淋頭的另一範例的放大側視橫剖面圖;
圖7為根據本揭露內容圖6的噴淋頭的側視橫剖面圖;
圖8A為根據本揭露內容包括向下突出的牆之噴淋頭的另一範例的放大側視橫剖面圖;
圖8B為根據本揭露內容包括向上突出的牆之噴淋頭的另一範例的放大側視橫剖面圖;
圖9為根據本揭露內容噴淋頭的中間層的頂表面的範例的俯視圖;
圖10說明根據本揭露內容一通道的範例,該通道具有節流部以控制通過該通道的流體的流量;
圖11為根據本揭露內容噴淋頭的中間層的底表面的範例的俯視圖;
圖12為根據本揭露內容噴淋頭的中間層的頂表面的另一範例的俯視圖,該噴淋頭包括沿著其一邊緣設置之交替的傳熱流體入口與出口對;
圖13為根據本揭露內容圖12中之噴淋頭的中間層的底表面的俯視圖;及
圖14為根據本揭露內容圖12與13中之噴淋頭的側視橫剖面圖。
在圖式中,可重複使用參照數字,以識別相似及/或相同的元件。

Claims (25)

  1. 一種基板處理系統,包含: 一第一腔室,其包括一基板支撐件; 一噴淋頭,其設置在該第一腔室上方且配置成過濾離子以及傳輸來自一電漿源的自由基至該第一腔室, 其中該噴淋頭包括: 一傳熱流體充氣部,其包括:一入口,用以接收傳熱流體;以及複數的流體通道,用以引導該傳熱流體通過該噴淋頭的一中心部分而至一出口以控制該噴淋頭的溫度; 一第二氣體充氣部,其包括:一入口,用以接收第二氣體;以及複數的第二氣體噴射器,用以噴射該第二氣體至該第一腔室內;及 複數的通孔,其穿過該噴淋頭, 其中該通孔不與該傳熱流體充氣部或該第二氣體充氣部呈流體連通。
  2. 如申請專利範圍第1項之基板處理系統,其中該傳熱流體充氣部包括: 一第一充氣部,其與該入口呈流體連通, 其中該流體通道的第一末端係與該第一充氣部呈流體連通;及 一第二充氣部,其與該流體通道的相反末端呈流體連通。
  3. 如申請專利範圍第1項之基板處理系統,其中該傳熱流體充氣部包括: 一第一充氣部,其與該入口呈流體連通; 一第二充氣部,其與該流體通道的第一末端呈流體連通; 第一複數的節流部,其設置在該第一充氣部及該第二充氣部之間,以限制其間的流體流量; 一第三充氣部,其與該流體通道的相反末端呈流體連通; 一第四充氣部,其與該出口呈流體連通;及 第二複數的節流部,其設置在該第三充氣部與該第四充氣部之間,以限制其間的流體流量。
  4. 如申請專利範圍第1項之基板處理系統,其中該複數的流體通道以徑向方向從該噴淋頭的一側流通至該噴淋頭的一相反側。
  5. 如申請專利範圍第4項之基板處理系統,其中該複數的流體通道定義一直線路徑。
  6. 如申請專利範圍第4項之基板處理系統,其中該複數的流體通道定義一曲線路徑。
  7. 如申請專利範圍第6項之基板處理系統,其中該複數的流體通道定義一正弦形狀的路徑。
  8. 如申請專利範圍第1項之基板處理系統,其中該第二氣體充氣部包括: 一第一充氣部; 一第二充氣部;及 一流量節流部,其設置在該第一充氣部與該第二充氣部之間。
  9. 如申請專利範圍第8項之基板處理系統,其中該流量節流部包含: 第一複數的牆;及 複數的槽縫,其定義在該第一複數的牆之間。
  10. 如申請專利範圍第9項之基板處理系統,其中該第一複數的牆為弧形形狀。
  11. 如申請專利範圍第9項之基板處理系統,更包含第二複數的牆,其圍繞在該第二充氣部中的該通孔而設置。
  12. 如申請專利範圍第11項之基板處理系統,其中該第二複數的牆為圓柱形狀。
  13. 如申請專利範圍第8項之基板處理系統,其中該第二氣體噴射器係與該第二充氣部保持流體連通。
  14. 如申請專利範圍第13項之基板處理系統,更包含複數的節流部,其設置在該第二充氣部與該第二氣體噴射器之間。
  15. 如申請專利範圍第1項之基板處理系統,其中該複數的流體通道包括入口與出口,其中該複數的流體通道的該入口係設置在該噴淋頭的一側上,該複數的流體通道的該出口係設置在該一側上介於該入口之間,且該複數的流體通道連接至該入口、行進橫過該噴淋頭並橫過該噴淋頭返回至該出口。
  16. 如申請專利範圍第1項之基板處理系統,更包含: 一第二腔室,其設置在該第一腔室上方,其中該噴淋頭係設置在該第一腔室與該第二腔室之間; 一線圈,其圍繞該第二腔室設置;及 一RF產生器,其連接至該線圈以在該第二腔室中產生電漿。
  17. 如申請專利範圍第1項之基板處理系統,其中該流體通道的至少一者包括一流量節流部。
  18. 如申請專利範圍第1項之基板處理系統,其中該傳熱流體包含液體。
  19. 如申請專利範圍第1項之基板處理系統,其中該傳熱流體包含氣體。
  20. 如申請專利範圍第1項之基板處理系統,其中該傳熱流體不流至該第一腔室內。
  21. 如申請專利範圍第1項之基板處理系統,其中該第二氣體噴射器從該噴淋頭的一底表面延伸一預定距離,其中該預定距離在從0.1英吋至1.5英吋的範圍中。
  22. 如申請專利範圍第1項之基板處理系統,其中該通孔具有在從0.05英吋至0.3英吋的範圍中之一直徑。
  23. 如申請專利範圍第1項之基板處理系統,其中該噴淋頭包括一圓柱形的牆,該牆自該噴淋頭的一底表面延伸,且該牆係設置於該複數的通孔與該複數的第二氣體噴射器的徑向外側。
  24. 如申請專利範圍第1項之基板處理系統,其中該噴淋頭包括一圓柱形的牆,該牆自該噴淋頭的一頂表面向上延伸,且該牆係設置於該複數的通孔與該複數的第二氣體噴射器的徑向外側。
  25. 如申請專利範圍第1項之基板處理系統,更包含一第一O型環與一第二O型環,該第一O型環係設置在該噴淋頭的一頂表面與一第二腔室之間,該第二O型環係設置在該噴淋頭的一底表面與該第一腔室之間。
TW106143637A 2016-12-14 2017-12-13 用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭 TW201836440A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/378,854 US10604841B2 (en) 2016-12-14 2016-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US15/378,854 2016-12-14

Publications (1)

Publication Number Publication Date
TW201836440A true TW201836440A (zh) 2018-10-01

Family

ID=62488447

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106143637A TW201836440A (zh) 2016-12-14 2017-12-13 用以傳輸自由基與前驅物氣體至下游腔室以致能遠程電漿膜沉積之具有熱控制的整合式噴淋頭

Country Status (6)

Country Link
US (4) US10604841B2 (zh)
JP (2) JP7163289B2 (zh)
KR (2) KR102470174B1 (zh)
CN (2) CN110088885B (zh)
TW (1) TW201836440A (zh)
WO (1) WO2018112197A1 (zh)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20190088451A1 (en) * 2017-05-12 2019-03-21 Ontos Equipment Systems, Inc. Integrated Thermal Management for Surface Treatment with Atmospheric Plasma
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2022536293A (ja) * 2019-06-07 2022-08-15 ラム リサーチ コーポレーション マルチステーション半導体処理における独立して調整可能な流路コンダクタンス
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
DE102019131794A1 (de) 2019-11-25 2021-05-27 Aixtron Se Wandgekühltes Gaseinlassorgan für einen CVD-Reaktor
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP6809745B1 (ja) * 2020-08-03 2021-01-06 株式会社ニッシン プラズマ処理装置
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220350251A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Chamber and methods of treating a substrate after exposure to radiation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202336801A (zh) * 2021-10-29 2023-09-16 美商蘭姆研究公司 具有用於自由基物種輸送的孔尺寸之噴淋頭

Family Cites Families (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials, Inc. Plasmareaktoren zur Halbleiterscheibenbehandlung
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20030092062A (ko) 2001-04-10 2003-12-03 바스프 악티엔게젤샤프트 고 정제도를 갖는 산화철
JP4250375B2 (ja) 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
KR100421223B1 (ko) 2001-12-13 2004-03-02 삼성전자주식회사 화학 기상 반응기용 샤워헤드
US6617679B2 (en) 2002-02-08 2003-09-09 Advanced Energy Industries, Inc. Semiconductor package for multiple high power transistors
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100520979B1 (ko) 2003-03-07 2005-10-12 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR100520980B1 (ko) 2003-04-19 2005-10-13 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6921437B1 (en) 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US7198653B2 (en) 2003-07-31 2007-04-03 Delavau Llc Calcium carbonate granulation
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7298534B2 (en) 2004-04-13 2007-11-20 Philip Morris Usa Inc. Off-axis holographic light concentrator and method of use thereof
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100636038B1 (ko) * 2005-02-01 2006-10-18 삼성전자주식회사 가스 공급 장치 및 이를 갖는 막 형성 장치
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
CN101003895B (zh) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US20070202701A1 (en) 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP4827083B2 (ja) 2006-02-27 2011-11-30 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR101140502B1 (ko) * 2006-06-13 2012-04-30 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
EP1970468B1 (de) 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US7978631B1 (en) 2007-05-31 2011-07-12 Oracle America, Inc. Method and apparatus for encoding and mapping of virtual addresses for clusters
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP2009016453A (ja) 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
JP5444599B2 (ja) 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
FI123322B (fi) 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
EP2187104B1 (en) * 2008-11-18 2011-12-21 Sauer-Danfoss ApS Fluid distribution valve
JP2010192513A (ja) 2009-02-16 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置およびその運転方法
KR101658758B1 (ko) 2009-02-20 2016-09-21 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101659303B1 (ko) 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101097386B1 (ko) 2009-10-01 2011-12-23 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기를 지지하는 거치대
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20120321910A1 (en) 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US8381275B2 (en) 2010-01-27 2013-02-19 International Business Machines Corporation Staged user deletion
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5445252B2 (ja) 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
JP5474193B2 (ja) 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101477602B1 (ko) 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN108546932A (zh) * 2013-02-15 2018-09-18 诺发***公司 带温度控制的多室喷头
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190119815A1 (en) 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US11186910B2 (en) 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
KR20220049926A (ko) 2020-10-15 2022-04-22 주식회사 원익아이피에스 기판처리장치

Also Published As

Publication number Publication date
JP2020502793A (ja) 2020-01-23
KR20220158875A (ko) 2022-12-01
CN110088885A (zh) 2019-08-02
CN117497451A (zh) 2024-02-02
CN110088885B (zh) 2023-10-13
US20230175134A1 (en) 2023-06-08
JP2023002673A (ja) 2023-01-10
US12000047B2 (en) 2024-06-04
US20180163305A1 (en) 2018-06-14
US10604841B2 (en) 2020-03-31
US11101164B2 (en) 2021-08-24
US20210371982A1 (en) 2021-12-02
WO2018112197A1 (en) 2018-06-21
KR102470174B1 (ko) 2022-11-22
US11608559B2 (en) 2023-03-21
KR20190087608A (ko) 2019-07-24
US20200219757A1 (en) 2020-07-09
JP7163289B2 (ja) 2022-10-31

Similar Documents

Publication Publication Date Title
US11608559B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TW201801129A (zh) 將處理控制在晶圓均勻性內之方法及設備
US9679749B2 (en) Gas distribution device with actively cooled grid
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US20170175269A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
US20230332291A1 (en) Remote plasma architecture for true radical processing
KR20240093865A (ko) 라디칼 종 전달을 위한 구멍 크기를 갖는 샤워헤드