JP2020502793A - ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド - Google Patents

ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド Download PDF

Info

Publication number
JP2020502793A
JP2020502793A JP2019531737A JP2019531737A JP2020502793A JP 2020502793 A JP2020502793 A JP 2020502793A JP 2019531737 A JP2019531737 A JP 2019531737A JP 2019531737 A JP2019531737 A JP 2019531737A JP 2020502793 A JP2020502793 A JP 2020502793A
Authority
JP
Japan
Prior art keywords
processing system
substrate processing
plenum
chamber
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019531737A
Other languages
English (en)
Other versions
JP7163289B2 (ja
Inventor
バッザー・レイチェル
チュウ・フアタン
バラダラジャン・バドリ
ブレイリング・パトリック・ジラール
ゴン・ボー
シュロッサー・ウィル
グイ・ジェ
タン・タイド
ホーン・ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020502793A publication Critical patent/JP2020502793A/ja
Priority to JP2022167262A priority Critical patent/JP2023002673A/ja
Application granted granted Critical
Publication of JP7163289B2 publication Critical patent/JP7163289B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】 基板処理システムは、基板支持体を備える第1チャンバを備える。シャワーヘッドは、第1チャンバの上方に配置されており、イオンをフィルタリングすると共にプラズマ源から第1チャンバにラジカルを供給するよう構成されている。シャワーヘッドは、熱伝導流体を受け入れるための流入口と、シャワーヘッドの中央部分を通じて流出口まで熱伝導流体を導いてシャワーヘッドの温度を制御するための複数の流路とを備える熱伝導流体プレナムと、二次ガスを受け入れるための流入口と、二次ガスを第1チャンバに注入するための複数の二次ガスインジェクタとを備える二次ガスプレナムと、シャワーヘッドを貫通する複数の貫通孔とを備える。貫通孔は、熱伝導流体プレナムおよび二次ガスプレナムと流体連通していない。【選択図】図1

Description

関連出願への相互参照
本願は、開示全体が、参照によって本明細書に組み込まれる、2016年12月14日出願の米国特許出願第15/378,854号に基づく優先権を主張する。
本開示は、基板処理システムに関し、特に、ラジカルおよび前駆体ガスを下流チャンバに供給するシャワーヘッドを備えた基板処理システムに関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示することを目的とする。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板上に膜を蒸着するために、基板処理システムが利用されうる。基板処理システムは、通常、処理チャンバおよび基板支持体を備える。膜蒸着中、ラジカルおよび前駆体ガスが、処理チャンバに供給されうる。
例えば、処理チャンバは、上側チャンバ、下側チャンバ、および、基板支持体を備えうる。シャワーヘッドが、上側チャンバと下側チャンバとの間に配置されうる。基板が、下側チャンバ内の基板支持体上に配置される。プラズマ混合ガスが上側チャンバに供給され、プラズマが上側チャンバ内で点火される。プラズマによって生成されたラジカルの一部が、シャワーヘッドを通して下側チャンバに流れる。シャワーヘッドは、イオンをフィルタリングし、下側チャンバに到達しないようにUV光を遮断する。前駆体混合ガスが、シャワーヘッドを通して下側チャンバに供給され、ラジカルと反応することで基板上に膜を蒸着する。
一般的に、シャワーヘッドは、温度制御システムを備えない。しかしながら、一部の処理システムでは、シャワーヘッドの外縁(アクセス可能であり、真空下にはない)の温度を制御するために、基本的な温度制御システムが用いられる。基本的な温度制御システムは、プラズマからの熱のために、シャワーヘッドの全体の温度を均一に制御しない。換言すると、シャワーヘッドの中心の温度が上昇する。温度変化は、プラズマのオン/オフ、圧力、流量、および/または、ペデスタル温度など、プロセスの変化に伴って生じる。シャワーヘッドの温度のばらつきは、蒸着処理の均一性および欠陥性能に悪影響を及ぼす。
基板処理システムは、基板支持体を備える第1チャンバを備える。シャワーヘッドが、第1チャンバの上方に配置されており、イオンをフィルタリングし、プラズマ源から第1チャンバにラジカルを供給するように構成されている。シャワーヘッドは、熱伝導流体を受け入れるための流入口と、シャワーヘッドの中央部分を通じて流出口まで熱伝導流体を導いてシャワーヘッドの温度を制御するための複数の流路とを備える熱伝導流体プレナムと、二次ガスを受け入れるための流入口と、二次ガスを第1チャンバに注入するための複数の二次ガスインジェクタとを備える二次ガスプレナムと、シャワーヘッドを貫通する複数の貫通孔と、を備える。貫通孔は、熱伝導流体プレナムとも二次ガスプレナムとも流体連通していない。
別の特徴において、熱伝導流体プレナムは、流入口と流体連通する第1プレナムを備える。流路の第1端が、第1プレナムと流体連通している。第2プレナムは、流路の反対端と流体連通している。
別の特徴において、熱伝導流体プレナムは、流入口と流体連通する第1プレナムと、流路の第1端と流体連通する第2プレナムと、第1プレナムと第2プレナムとの間に配置され、それらの間の流体の流れを制限する第1複数の制限部と、流路の反対端と流体連通する第3プレナムと、流出口と流体連通する第4プレナムと、第3プレナムと第4プレナムとの間に配置され、それらの間の流体の流れを制限する第2複数の制限部と、を備える。
別の特徴において、複数の流路は、シャワーヘッドの片側からシャワーヘッドの反対側へ半径方向に流れる。複数の流路は、直線経路を規定する。複数の流路は、曲線経路を規定する。複数の流路は、正弦曲線形状の経路を規定する。
別の特徴において、二次ガスプレナムは、第1プレナムと、第2プレナムと、第1プレナムと第2プレナムとの間に配置されている流量制限部と、を備える。
別の特徴において、流量制限部は、第1複数の壁と、第1複数の壁の間に規定されている複数のスロットと、を備える。第1複数の壁は、弓形である。第2複数の壁が、第2プレナムの貫通孔の周りに配置されている。第2複数の壁は、円筒形である。
別の特徴において、二次ガスインジェクタは、第2プレナムと流体連通している。複数の制限部が、第2プレナムと二次ガスインジェクタとの間に配置される。
別の特徴において、複数の流路は、流入口および流出口を備える。複数の流路の流入口は、シャワーヘッドの片側に配置され、複数の流路の流出口は、その片側で流入口の間に配置され、複数の流路は、流入口に接続し、シャワーヘッドを横切り、折り返してシャワーヘッドを横切って流出口に戻る。
別の特徴において、第2チャンバは、第1チャンバの上方に配置される。シャワーヘッドは、第1チャンバと第2チャンバとの間に配置される。コイルが、第2チャンバの周りに配置される。第2チャンバ内でプラズマを生成するために、RF発生器がコイルに接続されている。
別の特徴において、流路の内の少なくとも1つは、流量制限部を備える。熱伝導流体は、液体を含む。熱伝導流体は、気体を含む。熱伝導流体は、第1チャンバには流れ込まない。
別の特徴において、二次ガスインジェクタは、シャワーヘッドの底面から所定の距離だけ伸びており、所定の距離は、0.1インチ(2.54mm)〜1.5インチ(38.1mm)の範囲内である。貫通孔は、0.05インチ(1.27mm)〜0.3インチ(7.62mm)の範囲の直径を有する。
別の特徴において、シャワーヘッドは、円筒壁を備えており、円筒壁は、シャワーヘッドの底面から伸びて、複数の貫通孔および複数の二次ガスインジェクタの半径方向外側に配置されている。シャワーヘッドは、円筒壁を備えており、円筒壁は、シャワーヘッドの上面から上向きに伸びて、複数の貫通孔および複数の二次ガスインジェクタの半径方向外側に配置されている。
別の特徴において、第1O−リングが、シャワーヘッドの上面と上側チャンバとの間に配置され、第2O−リングが、シャワーヘッドの底面と下側チャンバとの間に配置される。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、シャワーヘッドを備える基板処理チャンバの一例を示す機能ブロック図。
本開示に従って、シャワーヘッドの一例を示す底面斜視図。
本開示に従って、O−リングを受けるための溝を示す側断面図。
本開示に従って、シャワーヘッドの一例を示す上面斜視図。
本開示に従って、シャワーヘッドの一例の底面を示す平面図。
本開示に従って、二次ガスインジェクタの周りに配置されている複数の貫通孔の一例を示す平面図。
本開示に従って、二次ガスインジェクタの周りに配置されている複数の貫通孔の別の例を示す平面図。
本開示に従って、シャワーヘッドの一例を示す側断面図。
複数の隣接する層によって形成されたシャワーヘッドを示す一例の側断面図。
本開示に従って、シャワーヘッドの別の例を示す拡大側断面図。
本開示に従って、図6のシャワーヘッドを示す側断面図。
本開示に従って、下向きに突出する壁を備えるシャワーヘッドの別の例を示す拡大側断面図。
本開示に従って、上向きに突出する壁を備えるシャワーヘッドの別の例を示す拡大側断面図。
本開示に従って、シャワーヘッドの中間層の上面の一例を示す平面図。
本開示に従って、流路を通る流体の流れを制御するための制限部を備える流路の一例を示す図。
本開示に従って、シャワーヘッドの中間層の底面の一例を示す平面図。
本開示に従って、一方の縁部に沿って配置されている交互の熱伝導流体の流入口および流出口のペアを備えるシャワーヘッドの中間層の上面の別の例を示す平面図。
本開示に従って、図12のシャワーヘッドの中間層の底面を示す平面図。
図12および図13のシャワーヘッドの側断面図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
本開示は、均一なラジカルを供給すると共に遠隔プラズマ源からのイオンをフィルタリングする統合された埋め込み型シャワーヘッドを備えた基板処理システムに関する。シャワーヘッドは、均一で制御された温度を維持するために、シャワーヘッドの中央部分を通して流路に熱伝導流体を供給することによって、均一な温度制御を提供する。また、シャワーヘッドは、基板を含むチャンバへの均一な前駆体ガス流供給を提供する。いくつかの例において、基板処理システムは、共形炭化物膜を蒸着するために利用できるが、その他のタイプの膜が蒸着されてもよい。
ここで、図1を参照すると、基板処理システム10は、上側チャンバ20および下側チャンバ30を備える。特定のタイプの基板処理システムが図示および記載されているが、その他のタイプが用いられてもよい。誘導結合プラズマが図示されているが、容量結合プラズマ、遠隔プラズマ源、または、その他の適切なプラズマ発生器など、他のタイプのプラズマ生成が用いられてもよい。
いくつかの例において、上側チャンバ20は、ドーム形チャンバを含みうるが、その他のチャンバ形状が用いられてもよい。基板支持体34が、下側チャンバ30内に配置されている。基板36が、基板処理中、基板支持体34上に配置される。シャワーヘッド40が、上側チャンバ20と下側チャンバ30との間に配置される。誘導コイル42が、上側チャンバ20の周りに配置されてよい。
ガス供給システム50−1が、プラズマガスを含む処理ガス混合物を上側チャンバ20に供給するために用いられてよい。ガス供給システム50−1は、1または複数のガス源52−1、52−2、...、および、52−Nと、バルブ54−1、...、および、54−Nと、マスフローコントローラ(MFC)56−1、...、および、56−Nと、マニホルド58と、を備えるが、その他のタイプのガス供給システムが用いられてもよい(ここで、Nは整数)。ガス供給システム50−2が、前駆体ガスを含む処理ガス混合物をシャワーヘッド40に供給する。
RFプラズマ発生器66は、RF源70および整合回路網72を備える。RFプラズマ発生器66は、(プラズマガスが供給されている間に)誘電コイル42にRF電力を選択的に供給して、上側チャンバ20内でプラズマ62を生成する。
シャワーヘッド40の温度を制御するために、気体または液体の冷却材などの熱伝導流体をシャワーヘッド40に供給する温度制御システム86が用いられてよい。バルブ88およびポンプ90が、反応物質を排出するために用いられてよい。
コントローラ94は、上側チャンバ20およびシャワーヘッド40へ必要に応じて処理ガスを選択的に供給するために、ガス供給システム50−1および50−2と通信する。コントローラ94は、上側チャンバ20内でプラズマを生成および消火するために、RFプラズマ発生器66と通信する。
コントローラ94は、シャワーヘッド40の温度を制御するために用いられる熱伝導流体の流量および温度を制御するために、温度制御システム86と通信する。一部の例において、熱伝導流体は、水、エチレングリコールと混合した水、フッ化ペルフルオロポリエーテル流体またはその他の流体、ならびに/もしくは、1または複数のガスを含みうる。一部の例において、温度制御システム86は、閉ループ制御を用いて、熱伝導流体の流量および温度を制御する。他の例において、温度制御システム86は、比例積分微分(PID)制御を用いて、流量および温度を制御する。熱伝導流体は、建物の水循環システムから開ループシステムで提供されてもよい。一部の例において、熱伝導流体は、真空チャンバから密閉される。
一部の例において、コントローラ94は、シャワーヘッド40の1または複数の温度を検知するために、シャワーヘッド40に配置された1または複数の温度センサ(図示せず)に接続される。一部の例において、コントローラ94は、処理チャンバ内の1または複数の圧力を検知するために、シャワーヘッド40に配置された1または複数の圧力センサ(図示せず)に接続される。コントローラ94は、上側および下側チャンバ20、30内の圧力を制御するため、および、そこから選択的に反応物質を排出するために、バルブ88およびポンプ90と通信する。
ここで、図2A〜図3を参照すると、シャワーヘッド40の上面102、底面104、および、側面108が示されている。図2Aにおいて、シャワーヘッド40は、シャワーヘッドの軸の中央部分または中央に、シャワーヘッド40の上面102からシャワーヘッド40の底面104へ通る複数の離間した貫通孔110を備える。一部の例では、O−リング111が、図2Bに示すように、シャワーヘッド40の底面104と下側チャンバ30との間に配置されてもよい。溝113が、O−リング111を位置決めするために、シャワーヘッド40および下側チャンバ30の一方または両方に配置されてよい。
複数の二次ガスインジェクタ112が、シャワーヘッド40から二次ガス(前駆体ガスなど)を供給する。一部の例において、二次ガスインジェクタ112は、シャワーヘッド40の中央部分において、シャワーヘッド40の底面104から下方に伸びる。一部の例において、二次ガスインジェクタ112は、逆拡散を防ぐため、および、二次ガスインジェクタごとのガス流を均一にするために、底面104上に制限部(図示せず)を備える。制限部は、チョーク流れ条件を引き起こしうる。
図3において、シャワーヘッド40は、流入口および流出口として機能する対になった熱流体ポート120、122を備える。シャワーヘッド40は、より多くの対になったポートを備えた2以上の熱流体プレナムを含んでもよい。漏れ回収トレイ128が、熱流体ポート120、122の一方または両方の周りに配置されてよい。漏れ回収トレイ128は、上側および下側チャンバの外側に配置されてよい。漏れ回収トレイ128は、漏れ検出を可能にする。一部の例では、O−リング115が、シャワーヘッド40の上面102と上側チャンバ20との間に配置されてもよい。図2Bに示すのと同様に、溝がO−リング111を位置決めするために、シャワーヘッド40および上側チャンバ20の一方または両方に配置されてよい。
ここで、図4Aを参照すると、シャワーヘッド40の貫通孔110および二次ガスインジェクタ112は、様々なパターンで配列されてよい。例えば、図4Aに示すシャワーヘッド40の貫通孔110および二次ガスインジェクタ112は、オフセットされた三角形パターンTを有してよい。別のパターンは、長方形、放射状、六角形、または、らせんパターンを含むが、その他のパターンが用いられてもよい。一部の例において、二次ガスインジェクタ112の間隔は、0.25インチ〜2インチ(50.8mm)の範囲である。一部の例において、貫通孔110は、二次ガスインジェクタと同じ間隔を有してよいが、図4Bおよび図4Cに示すように、異なる間隔が用いられてもよい。
一部の例において、貫通孔110は、図4Bおよび図4Cの例に示すように、各二次ガスインジェクタ112の周りに集まる複数のより小さい貫通孔を含んでもよい。二次ガスインジェクタ112の周りの貫通孔110の配列は、図4Bに示すように均一であってもよいし、図4Cに示すように不均一であってもよい。一部の例では、貫通孔110−Rが、二次ガスインジェクタのシャワーヘッド40の中心に近い側でシャワーヘッド40の放射線上に配置される。
ここで、図5A〜図8Bを参照すると、シャワーヘッド40の側断面図が示されている。図5Aにおいて、貫通孔110は、シャワーヘッド40の上面102からその底面104まで貫通している。貫通孔110と直交し、シャワーヘッド40の上面102と平行であるが上面102からオフセットされた1または複数の平面内に、1または複数の熱伝導流体プレナム140が配置されている。貫通孔110と直交し、シャワーヘッド40の底面104ならびに熱伝導流体プレナム140を含む1または複数の平面と平行であるがそれらの面からオフセットされた1または複数の平面内に、1または複数の二次ガスプレナム150が配置されている。図の構成では、二次ガス供給部の上方に熱伝導流体プレナムがある。プレナムは、二次ガスプレナムが熱伝導流体プレナムの上方になるように逆転されてもよい。
1または複数の熱伝導流体プレナム140は、熱流体ポート120、122に接続されている。1または複数の二次ガスプレナム150は、二次ガス流入口(図2A)からガスを受け入れ、二次ガスインジェクタ112の流路152に二次ガス流を供給する。
一部の例において、二次ガスインジェクタ112は、シャワーヘッド40への膜の蒸着を低減するために、シャワーヘッド40の底面から離れる向きに所定の距離だけ伸びている。一部の例において、所定の距離は、0.1インチ〜1.5インチの範囲であるが、その他の距離が用いられてもよい。一部の例において、二次ガスインジェクタ112は、逆拡散を防いで二次ガスインジェクタごとの流れの均一性を保証するために、制限部を備える。一部の例において、貫通孔110は、0.05インチ〜0.3インチの範囲の直径を有する。
図5Bにおいて、シャワーヘッド40は、互いに接続された最上層163、中間層165、および、最下層167を含む複数の層で形成されうる。より多くの層が、さらなるプレナムを形成するために追加されてもよい。一部の例において、シャワーヘッド40は、複雑かつ独特な形状を合理的なコストで可能にするために、真空ろう付け、タングステン不活性ガス(TIG)溶接、または、電子ビーム溶接を用いて製造されてよい。真空ろう付け接合は、プレートに溝を切って各プレートの間にろう付け層を設けた平坦なプレートとして、シャワーヘッドを機械加工することを可能にする。溶接技術は、シーリングを必要とするすべての領域に溶接がアクセスするために、より複雑なサブ構成要素を必要とする。溶接がアクセス可能である部分の表面までシーリング領域を持ち上げるために、ポストおよび対応する穴が機械加工されてもよい。
一部の例において、中間層165の上面が、1または複数の熱伝導流体プレナム140を規定し、中間層165の底面が、1または複数の二次ガスプレナム150を規定する。ただし、最上層163の底面が、1または複数の熱伝導流体プレナム140を部分的または完全に規定するために用いられてもよく、最下層167の上面が、1または複数の二次ガスプレナムを完全または部分的に規定するために用いられてもよい。
一部の例において、プレナムおよびそれらの上下にある材料の厚さは、0.05インチ〜0.25インチ(6.35mm)であるが、他の厚さが用いられてもよい。プレナムの間および上/下の材料の厚さは、製造に必要とされる流体圧力および材料厚さをサポートするのに必要な強度によって決定される。熱流体プレナム140の厚さは、流体の圧力降下を低減するようなサイズであってよい。二次ガスプレナム150のサイズは、各インジェクタ112への均一なガス分散を可能にするのに十分な大きさに選択されてよい。各層の厚さは、全体の厚さを削減することで貫通孔110内でのラジカルの損失を低減するために最小化されることが好ましい。
一部の例において、最上層163および最下層167の厚さは、0.075インチ(1.905mm)〜0.125インチ(3.175mm)の範囲であるが、他の厚さが用いられてもよい。一部の例において、最上層163および最下層167の厚さは、0.1インチであるが、他の厚さが用いられてもよい。一部の例において、中間層165の厚さは、0.4インチ(10.16mm)〜0.6インチ(15.24mm)の範囲であるが、他の厚さが用いられてもよい。一部の例において、中間層165の厚さは、0.5インチ(12.7mm)であるが、他の厚さが用いられてもよい。一部の例において、シャワーヘッドの厚さは、1インチ(25.4mm)以下である。一部の例において、シャワーヘッドの厚さは、0.7インチ(17.78mm)以下である。
図6および図7に、漏れ回収トレイ128が示されている。漏れ回収トレイ128は、熱流体ポート120、122の少なくとも一方の周りに配置された凹部を含む。一部の例において、凹部は円筒形であるが、他の形状が用いられてもよい。
図8Aにおいて、一部の例は、シャワーヘッド40の半径方向外側縁部208から(近くでまたは半径方向内側に離間されて)基板36に向かって(かつ、貫通孔110および二次ガスインジェクタ112の半径方向外側で)下方に伸びる円筒壁210を備える。円筒壁210は、シャワーヘッド40と一体化されてもよいし、シャワーヘッド40に取り付けられてもよい。円筒壁210は、基板から見たシャワーヘッド40とチャンバ壁との間の熱均一性を改善する。円筒壁210は、壁と基板支持体34との間に流量制限を設けることによって、排出ポートのポンピングの非均一性を抑制するために用いられてもよい。一部の例において、円筒壁210は、基板支持体34の上面を含む平面の下方に伸びる。
図8Bにおいて、一部の例は、シャワーヘッド40の半径方向外側縁部208から(近くでまたは半径方向内側に離間されて)(かつ、貫通孔110および二次ガスインジェクタ112の半径方向外側で)下向きに伸びる円筒壁211を備える。円筒壁211は、シャワーヘッド40の上面と一体化されてもよいし、シャワーヘッド40の上面に取り付けられてもよい。円筒壁211は、ラジカル源を取り付けるための取り付け面を提供する。
ここで、図9〜図10を参照すると、1または複数の熱伝導流体プレナム140の構成例が示されている。図9には、中間層165の上面が示されている。1または複数の熱伝導流体プレナム140は、第1プレナム156−1を含む。一部の例において、第1プレナム156−1は、弓形であるが、その他の形状が用いられてもよい。一部の例では、複数の制限部158−1が、第1プレナム156−1の片側で互いに隣接して配置されている。複数の制限部158−1の各々の間の間隔は、第1プレナム156−1から第2プレナム156−2への流れを制限して分散させるように選択される。一部の例において、複数の制限部158−1の各々は、円形、楕円形、または、長円形の形状を有するポストを含むが、その他の形状が用いられてもよい。複数の制限部158−1は、流路160の間の流体流をより均一にするため、および、噴出効果を排除するために用いられてよい。あるいは、流路160の内の1または複数が、図10に示すように、流れを制御するために制限部164を備えてもよい。流路160が制限部164を備える場合、複数の制限部158−1を省略することができるため、第1および第2プレナム156−1および156−2を単一のプレナムとすることができる。
第2プレナム156−2は、流路160の第1端に向かって開いている。一部の例において、流路160は、表面積を増大させるために、三角形、方形波、曲線、または、略正弦曲線の形状を有する。流路160の第2端はシャワーヘッド40の反対側に配置された第3プレナム156−3に接続されている。複数の制限部158−2が、第3プレナム156−3の片側に配置されている。複数の制限部158−2の各々は、第4プレナム156−4への流れを制限するために配置される。第4プレナム156−4は、流出口に接続されている。流路160が制限部164を備える場合、複数の制限部158−2を省略することができるため、第3および第4プレナム156−3および156−4を単一のプレナムとすることができる。
一部の例において、熱流体流路160は、流量の10%以下のチャネル間不均一性を有する。一部の例において、熱流体の流量は、毎分10ガロンであり、シャワーヘッド表面全体を±1℃までに制御する。一部の例において、二次ガスインジェクタ112は、質量流量の1%以下の流量不均一性を有する。一部の例において、二次ガスインジェクタ112は、質量流量の0.1%以下の不均一性を有する。
図11では、中間層165の底面が示されている。1または複数の二次ガスプレナム150は、ガス流入口172と、第1プレナム176−1および第2プレナム176−2と流体連通する流路174と、を備える。第1複数の壁180が、第1プレナム176−1と第2プレナム176−2との間に配置されている。複数のスロット184が、第1プレナム176−1と第2プレナム176−2との間の流れを制限するために、複数の壁180の端部の間に配置されている。一部の例において、第1プレナム176−1はリング形状であり、第2プレナム176−2は円形であり、第1複数の壁180は弓形であるが、その他の形状が用いられてもよい。
第2複数の壁190が、貫通孔110の周りに配置されている。一部の例において、第2複数の壁190は円筒形であるが、その他の形状が用いられてもよい。一部の例において、第2複数の壁190の上縁は、二次プレナム176−2と貫通孔110との間に真空シールを形成するために、結合領域を提供する。一部の例では、複数の制限部186が、第2プレナム176−2から下側チャンバ30への二次ガスの流れを制御するために、第2ガスインジェクタ112の流入口に提供される。
一部の例において、スロット184は、スロット184での圧力降下ΔPスロットが圧力降下ΔP第1プレナムよりも大幅に大きくなるように、制限部186に対してサイズを決められる。一部の例において、ΔPスロットは、ΔP第1プレナムの20倍の大きさである。一部の例において、ΔPスロットは、ΔP第1プレナムの5倍の大きさである。
ここで、図12〜図14を参照すると、別のシャワーヘッド40の中間部分300が、その片側に沿って配置された熱伝導流体流入口および流出口を備えることが図示されている。換言すると、流路は、流入口からシャワーヘッドを横切り、折り返してシャワーヘッドを横切って流出口へ至る。
図12には、中間部分300の上面が示されている。流体流入口310が、流体流入プレナム320に接続されている。一部の例において、流体流入プレナム320は、弓形である。複数の流路330への流入口324が、流体流入プレナム320に接続されている。複数の流路330は、シャワーヘッド40全体を横切って、折り返した後に流入口324の内の隣接する流入口の間に配置された流出口334に戻る。流路330は、直線部分として図示されているが、直線ではない流路(上で示したようなものなど)が、表面積および熱伝導を増大させるために用いられてもよい(もしくは、直線および曲線の組みあわせが用いられてもよい)。
流出口334は、中間部分300におけるガス導管338を通して、図13における中間部分300の底面側に配置された流出プレナム350へ至る。流出プレナム350は、流体流出口358に接続されている。理解できるとおり、中間部分300の底面は、図11に示したものと同様の二次ガスプレナムも備えてよい。導管338のサイズは、流路ごとの不均一な流量を補償してポスト158を用いるのと同じ均一性を達成するために変更されてよい。
本明細書に記載の統合シャワーヘッドは、十分かつ均一なラジカルを供給し、遠隔プラズマ源からのイオンをフィルタリングし、均一な温度制御を提供し、均一な前駆体を供給する。一部の例において、上述の熱伝導流体流路を備えたシャワーヘッドによって提供される温度制御は、基板にわたる温度の不均一性を5℃未満まで抑制する。また、熱伝導流体流路は、上側チャンバ20の空間に含まれるプラズマから生成される熱を抑制することができる。シャワーヘッドは、さらに、下側チャンバに均一な前駆体供給を提供する内部二次ガスプレナムを備える。一部の例において、二次ガスプレナムからのガス流出口は、シャワーヘッド上への蒸着を最小限に抑えて洗浄の合間の時間を延ばすために、シャワーヘッドの底面からの所定の距離だけオフセットされる。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(基板ペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体基板または基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外への基板移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体基板に対するまたは半導体基板のための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する。動作パラメータは、いくつかの実施形態において、基板の1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、基板処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体基板の加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこから基板のコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。

Claims (25)

  1. 基板処理システムであって、
    基板支持体を備える第1チャンバと、
    前記第1チャンバの上方に配置され、イオンをフィルタリングし、プラズマ源から前記第1チャンバにラジカルを供給するように構成されているシャワーヘッドと、
    を備え、
    前記シャワーヘッドは、
    熱伝導流体を受け入れるための流入口と、前記シャワーヘッドの中央部分通じて流出口まで前記熱伝導流体を導いて前記シャワーヘッドの温度を制御するための複数の流路とを備える熱伝導流体プレナムと、
    二次ガスを受け入れるための流入口と、前記二次ガスを前記第1チャンバに注入するための複数の二次ガスインジェクタとを備える二次ガスプレナムと、
    前記シャワーヘッドを貫通する複数の貫通孔と、
    を備え、
    前記貫通孔は、前記熱伝導流体プレナムとも前記二次ガスプレナムとも流体連通していない、基板処理システム。
  2. 請求項1に記載の基板処理システムであって、
    前記熱伝導流体プレナムは、前記流入口と流体連通する第1プレナムと、
    前記流路の第1端は、前記第1プレナムと流体連通し、
    前記流路の反対端と流体連通する第2プレナムとを、備える、基板処理システム。
  3. 請求項1に記載の基板処理システムであって、前記熱伝導流体プレナムは、
    前記流入口と流体連通する第1プレナムと、
    前記流路の第1端と流体連通する第2プレナムと、
    前記第1プレナムと前記第2プレナムとの間に配置され、それらの間の流体の流れを制限する第1複数の制限部と、
    前記流路の反対端と流体連通する第3プレナムと、
    前記流出口と流体連通する第4プレナムと、
    前記第3プレナムと前記第4プレナムとの間に配置され、それらの間の流体の流れを制限する第2複数の制限部と、
    を備える、基板処理システム。
  4. 請求項1に記載の基板処理システムであって、前記複数の流路は、前記シャワーヘッドの片側から前記シャワーヘッドの反対側へ半径方向に流れる、基板処理システム。
  5. 請求項4に記載の基板処理システムであって、前記複数の流路は、直線経路を規定する、基板処理システム。
  6. 請求項4に記載の基板処理システムであって、前記複数の流路は、曲線経路を規定する、基板処理システム。
  7. 請求項6に記載の基板処理システムであって、前記複数の流路は、正弦曲線形状の経路を規定する、基板処理システム。
  8. 請求項1に記載の基板処理システムであって、前記二次ガスプレナムは、
    第1プレナムと、
    第2プレナムと、
    前記第1プレナムと前記第2プレナムとの間に配置されている流量制限部と、
    を備える、基板処理システム。
  9. 請求項8に記載の基板処理システムであって、前記流量制限部は、
    第1複数の壁と、
    前記第1複数の壁の間に規定されている複数のスロットと、
    を備える、基板処理システム。
  10. 請求項9に記載の基板処理システムであって、前記第1複数の壁は、弓形である、基板処理システム。
  11. 請求項9に記載の基板処理システムであって、さらに、前記第2プレナムの前記貫通孔の周りに配置されている第2複数の壁を備える、基板処理システム。
  12. 請求項11に記載の基板処理システムであって、前記第2複数の壁は、円筒形である、基板処理システム。
  13. 請求項8に記載の基板処理システムであって、前記二次ガスインジェクタは、前記第2プレナムと流体連通する、基板処理システム。
  14. 請求項13に記載の基板処理システムであって、さらに、前記第2プレナムと前記二次ガスインジェクタとの間に配置されている複数の制限部を備える、基板処理システム。
  15. 請求項1に記載の基板処理システムであって、前記複数の流路は、流入口および流出口を備え、前記複数の流路の前記流入口は、前記シャワーヘッドの片側に配置され、前記複数の流路の前記流出口は、前記片側で前記流入口の間に配置され、前記複数の流路は、前記流入口に接続し、前記シャワーヘッドを横切り、折り返して前記シャワーヘッドを横切って前記流出口に戻る、基板処理システム。
  16. 請求項1に記載の基板処理システムであって、さらに、
    前記第1チャンバの上方に配置されている第2チャンバと、前記シャワーヘッドは前記第1チャンバと前記第2チャンバとの間に配置され、
    前記第2チャンバの周りに配置されているコイルと、
    前記第2チャンバ内でプラズマを生成するために、前記コイルに接続されているRF発生器と、
    を備える、基板処理システム。
  17. 請求項1に記載の基板処理システムであって、前記流路の内の少なくとも1つは、流量制限部を備える、基板処理システム。
  18. 請求項1に記載の基板処理システムであって、前記熱伝導流体は、液体を含む、基板処理システム。
  19. 請求項1に記載の基板処理システムであって、前記熱伝導流体は、気体を含む、基板処理システム。
  20. 請求項1に記載の基板処理システムであって、前記熱伝導流体は、前記第1チャンバには流れ込まない、基板処理システム。
  21. 請求項1に記載の基板処理システムであって、前記二次ガスインジェクタは、前記シャワーヘッドの底面から所定の距離だけ伸びており、前記所定の距離は、0.1インチ(2.54mm)〜1.5インチ(38.1mm)の範囲内である、基板処理システム。
  22. 請求項1に記載の基板処理システムであって、前記貫通孔は、0.05インチ(1.27mm)〜0.3インチ(7.62mm)の範囲の直径を有する、基板処理システム。
  23. 請求項1に記載の基板処理システムであって、前記シャワーヘッドは、円筒壁を備え、前記円筒壁は、前記シャワーヘッドの底面から伸びて、前記複数の貫通孔および前記複数の二次ガスインジェクタの半径方向外側に配置されている、基板処理システム。
  24. 請求項1に記載の基板処理システムであって、前記シャワーヘッドは、円筒壁を備え、前記円筒壁は、前記シャワーヘッドの上面から上向きに伸びて、前記複数の貫通孔および前記複数の二次ガスインジェクタの半径方向外側に配置されている、基板処理システム。
  25. 請求項1に記載の基板処理システムであって、さらに、前記シャワーヘッドの上面と前記上側チャンバとの間に配置されている第1O−リングと、前記シャワーヘッドの前記底面と前記下側チャンバとの間に配置されている第2O−リングと、を備える、基板処理システム。
JP2019531737A 2016-12-14 2017-12-14 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド Active JP7163289B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022167262A JP2023002673A (ja) 2016-12-14 2022-10-19 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/378,854 US10604841B2 (en) 2016-12-14 2016-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US15/378,854 2016-12-14
PCT/US2017/066411 WO2018112197A1 (en) 2016-12-14 2017-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022167262A Division JP2023002673A (ja) 2016-12-14 2022-10-19 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド

Publications (2)

Publication Number Publication Date
JP2020502793A true JP2020502793A (ja) 2020-01-23
JP7163289B2 JP7163289B2 (ja) 2022-10-31

Family

ID=62488447

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019531737A Active JP7163289B2 (ja) 2016-12-14 2017-12-14 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
JP2022167262A Pending JP2023002673A (ja) 2016-12-14 2022-10-19 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022167262A Pending JP2023002673A (ja) 2016-12-14 2022-10-19 ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド

Country Status (6)

Country Link
US (4) US10604841B2 (ja)
JP (2) JP7163289B2 (ja)
KR (2) KR102470174B1 (ja)
CN (2) CN110088885B (ja)
TW (1) TW201836440A (ja)
WO (1) WO2018112197A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022028496A (ja) * 2020-08-03 2022-02-16 株式会社ニッシン プラズマ処理装置

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20190088451A1 (en) * 2017-05-12 2019-03-21 Ontos Equipment Systems, Inc. Integrated Thermal Management for Surface Treatment with Atmospheric Plasma
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2022536293A (ja) * 2019-06-07 2022-08-15 ラム リサーチ コーポレーション マルチステーション半導体処理における独立して調整可能な流路コンダクタンス
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
DE102019131794A1 (de) 2019-11-25 2021-05-27 Aixtron Se Wandgekühltes Gaseinlassorgan für einen CVD-Reaktor
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220350251A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Chamber and methods of treating a substrate after exposure to radiation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202336801A (zh) * 2021-10-29 2023-09-16 美商蘭姆研究公司 具有用於自由基物種輸送的孔尺寸之噴淋頭

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014220231A (ja) * 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2016531436A (ja) * 2013-08-07 2016-10-06 北京北方微▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 プレクリーニングチャンバおよび半導体処理装置

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials, Inc. Plasmareaktoren zur Halbleiterscheibenbehandlung
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20030092062A (ko) 2001-04-10 2003-12-03 바스프 악티엔게젤샤프트 고 정제도를 갖는 산화철
JP4250375B2 (ja) 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
KR100421223B1 (ko) 2001-12-13 2004-03-02 삼성전자주식회사 화학 기상 반응기용 샤워헤드
US6617679B2 (en) 2002-02-08 2003-09-09 Advanced Energy Industries, Inc. Semiconductor package for multiple high power transistors
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100520979B1 (ko) 2003-03-07 2005-10-12 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR100520980B1 (ko) 2003-04-19 2005-10-13 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6921437B1 (en) 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US7198653B2 (en) 2003-07-31 2007-04-03 Delavau Llc Calcium carbonate granulation
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7298534B2 (en) 2004-04-13 2007-11-20 Philip Morris Usa Inc. Off-axis holographic light concentrator and method of use thereof
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100636038B1 (ko) * 2005-02-01 2006-10-18 삼성전자주식회사 가스 공급 장치 및 이를 갖는 막 형성 장치
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
CN101003895B (zh) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US20070202701A1 (en) 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP4827083B2 (ja) 2006-02-27 2011-11-30 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR101140502B1 (ko) * 2006-06-13 2012-04-30 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
EP1970468B1 (de) 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US7978631B1 (en) 2007-05-31 2011-07-12 Oracle America, Inc. Method and apparatus for encoding and mapping of virtual addresses for clusters
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP2009016453A (ja) 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
JP5444599B2 (ja) 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
FI123322B (fi) 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
EP2187104B1 (en) * 2008-11-18 2011-12-21 Sauer-Danfoss ApS Fluid distribution valve
JP2010192513A (ja) 2009-02-16 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置およびその運転方法
KR101658758B1 (ko) 2009-02-20 2016-09-21 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101659303B1 (ko) 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101097386B1 (ko) 2009-10-01 2011-12-23 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기를 지지하는 거치대
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20120321910A1 (en) 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US8381275B2 (en) 2010-01-27 2013-02-19 International Business Machines Corporation Staged user deletion
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5445252B2 (ja) 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
JP5474193B2 (ja) 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101477602B1 (ko) 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN108546932A (zh) * 2013-02-15 2018-09-18 诺发***公司 带温度控制的多室喷头
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190119815A1 (en) 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US11186910B2 (en) 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
KR20220049926A (ko) 2020-10-15 2022-04-22 주식회사 원익아이피에스 기판처리장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014220231A (ja) * 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2016531436A (ja) * 2013-08-07 2016-10-06 北京北方微▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 プレクリーニングチャンバおよび半導体処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022028496A (ja) * 2020-08-03 2022-02-16 株式会社ニッシン プラズマ処理装置

Also Published As

Publication number Publication date
KR20220158875A (ko) 2022-12-01
CN110088885A (zh) 2019-08-02
CN117497451A (zh) 2024-02-02
CN110088885B (zh) 2023-10-13
US20230175134A1 (en) 2023-06-08
JP2023002673A (ja) 2023-01-10
US12000047B2 (en) 2024-06-04
US20180163305A1 (en) 2018-06-14
US10604841B2 (en) 2020-03-31
US11101164B2 (en) 2021-08-24
US20210371982A1 (en) 2021-12-02
WO2018112197A1 (en) 2018-06-21
KR102470174B1 (ko) 2022-11-22
US11608559B2 (en) 2023-03-21
TW201836440A (zh) 2018-10-01
KR20190087608A (ko) 2019-07-24
US20200219757A1 (en) 2020-07-09
JP7163289B2 (ja) 2022-10-31

Similar Documents

Publication Publication Date Title
JP7163289B2 (ja) ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
JP7232864B2 (ja) 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
JP2016188424A (ja) 埋め込み電極を伴うガス分配セラミック板
KR20170082989A (ko) 복수의 가스 주입 지점들 및 듀얼 주입기를 포함한 기판 프로세싱 챔버
TW201631654A (zh) 為求均勻處理半導體基板處理設備中之半導體基板而採用之氣體噴射方法
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102453999B1 (ko) 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스
JP2018078284A (ja) 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
US20230332291A1 (en) Remote plasma architecture for true radical processing
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
KR20240093865A (ko) 라디칼 종 전달을 위한 구멍 크기를 갖는 샤워헤드
TW202239272A (zh) 下游電漿腔室中的邊緣自由基通量最佳化

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190814

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220920

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221019

R150 Certificate of patent or registration of utility model

Ref document number: 7163289

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150