TW201634198A - 工具自動教導方法及設備 - Google Patents

工具自動教導方法及設備 Download PDF

Info

Publication number
TW201634198A
TW201634198A TW104137024A TW104137024A TW201634198A TW 201634198 A TW201634198 A TW 201634198A TW 104137024 A TW104137024 A TW 104137024A TW 104137024 A TW104137024 A TW 104137024A TW 201634198 A TW201634198 A TW 201634198A
Authority
TW
Taiwan
Prior art keywords
substrate
station
location
teaching
eccentricity
Prior art date
Application number
TW104137024A
Other languages
English (en)
Other versions
TWI710440B (zh
Inventor
傑羅 摩拉
艾倫 蓋立克
瑞薩 賽德派瑞
Original Assignee
布魯克斯自動機械公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 布魯克斯自動機械公司 filed Critical 布魯克斯自動機械公司
Publication of TW201634198A publication Critical patent/TW201634198A/zh
Application granted granted Critical
Publication of TWI710440B publication Critical patent/TWI710440B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Numerical Control (AREA)
  • Manipulator (AREA)

Abstract

用於自動教導基板站地點的基板運送設備自動教導系統,該系統包括機架;基板運送器,被連接至該機架,該基板運送器具有被建構來支撐基板之末端執行器;及控制器,被建構來移動該基板運送器,以致該基板運送器使被支撐在該末端執行器上的基板偏向抵靠著基板站部件,造成該基板與該末端執行器間之偏心率中的變化,決定偏心率中之變化,及至少基於該基板與該末端執行器間之偏心率中的變化來決定該基板站地點。

Description

工具自動教導方法及設備 相關申請案的交互參照
此申請案係非臨時申請案及主張2015年10月28日申請的美國臨時專利申請案第62/247,647號之利益、2015年7月13日申請的美國臨時專利申請案第62/191,829號、2014年11月11日申請的美國臨時專利申請案第62/078,345號、2014年11月10日申請的美國臨時專利申請案第62/077,775號,其揭示內容係全部以引用的方式併入本文中。
該等示範實施例大致上有關基板處理系統,且更特別地是有關該基板處理系統之零組件的校準及同步性。
基板處理設備典型係能夠在基板上施行多數個操作。該基板處理設備大致上包括轉移室及一或多個被耦接至該轉移室之製程模組。於該轉移室內的基板運送機器人在該等製程模組之中移動基板,在此不同的操作、諸如濺鍍、 蝕刻、塗覆、浸漬等被施行。藉由譬如半導體裝置製造廠及材料生產者所使用之生產製程通常需要該基板處理設備中的基板之精確定位。
該基板的精確位置大致上經由該等製程模組之教導位置被提供至該基板運送機器人。該基板運送機器人(robot)的教導包括以被加至該基板處理設備之專用的教導感測器偵測該機器人及/或藉由該機器人所載送之基板的位置、利用藉由該基板運送機器人所載送之裝有儀表的基板(例如包括機上、感測器或照相機)、利用被放置在該基板處理設備之製程模組或另一基板固持站內的可移去之夾具、利用位在該等製程模組內或可在該等製程模組外部存取的晶圓中心定位感測器、利用被設置在該等製程模組外部之感測器(例如照相機)、或藉由接觸該製程模組內的目標與該基板運送機器人、或藉由該基板運送機器人所載送之物體。這些教導基板處理設備內的地點之方式可需要被放置在真空中的感測器,可需要對顧客處理設備及/或工具之變化,可不適合用於真空環境或在高溫度,可需要被放置在該處理設備內的感測器目標、鏡子或夾具,可破壞該基板處理設備之真空環境,及/或可需要對嵌入該基板運送機器人的控制器及/或處理系統之控制器的碼之軟體改變。
其將為有利的是將該處理設備內之基板處理位置自動地教導基板運送機器人,而不會打擾該處理設備內的環境或需要額外之儀表及/或對該基板處理設備作修改。
按照所揭示實施例之一或多個態樣,用於自動教導一基板站地點的基板運送設備自動教導系統被提供。該系統包括機架;基板運送器,被連接至該機架,該基板運送器具有被建構來支撐基板之末端執行器;及控制器,被建構來移動該基板運送器,以致該基板運送器使被支撐在該末端執行器上的基板偏向抵靠著基板站部件,造成該基板與該末端執行器間之偏心率中的變化,決定偏心率中之變化,及至少基於該基板與該末端執行器間之偏心率中的變化來決定該基板站地點。
按照所揭示實施例之一或多個態樣,該基板站地點係該基板站的Z地點。
按照所揭示實施例之一或多個態樣,該系統另包含基板定位單元,其被連接至該機架。
按照所揭示實施例之一或多個態樣,該基板定位單元包括被連接至該機架的自動晶圓定心(AWC)單元。
按照所揭示實施例之一或多個態樣,該基板站部件係位於在其中具有真空壓力環境的製程模組內側。
按照所揭示實施例之一或多個態樣,該真空壓力環境係高度真空。
按照所揭示實施例之一或多個態樣,該基板運送器於該真空壓力環境中使被支撐在該末端執行器上的基板偏向抵靠著基板站部件。
按照所揭示實施例之一或多個態樣,該基板站部件係位於製程模組內,該製程模組係在用於處理基板的製程安全性之狀態中。
按照所揭示實施例之一或多個態樣,該控制器包括嵌入式抓取/放置命令,以移動該基板運送器及使該基板偏向。
按照所揭示實施例之一或多個態樣,該控制器包括嵌入式基板定位命令,以決定該基板偏心率。
按照所揭示實施例之一或多個態樣,製程工具包括機架;基板運送器,被連接至該機架,且具有被建構來支撐基板之末端執行器;及基板運送設備自動教導系統,用於自動教導基板站地點,該自動教導系統包括被建構來移動該基板運送器的控制器,以致該基板運送器抵靠著基板站部件輕碰被支撐在該末端執行器上之基板,造成該基板與該末端執行器間之偏心率中的變化,決定偏心率中之變化,及至少基於該基板與該末端執行器間之偏心率中的變化決定該基板站地點。
按照所揭示實施例之一或多個態樣,該製程工具另包括被連接至該機架的基板定位單元。
按照所揭示實施例之一或多個態樣,該基板定位單元包括被連接至該機架的自動晶圓定心(AWC)單元。
按照所揭示實施例之一或多個態樣,該基板站部件係位於在其中具有真空壓力環境的製程模組內側。
按照所揭示實施例之一或多個態樣,該真空壓力環境 係高度真空。
按照所揭示實施例之一或多個態樣,該基板運送器於該真空壓力環境中使被支撐在該末端執行器上的基板偏向抵靠著基板站部件。
按照所揭示實施例之一或多個態樣,該基板站部件係位於製程模組內,該製程模組係在用於處理基板的製程安全性之狀態中。
按照所揭示實施例之一或多個態樣,該控制器包括嵌入式抓取/放置命令,以移動該基板運送器及使該基板偏向。
按照所揭示實施例之一或多個態樣,該控制器包括嵌入式基板定位命令,以決定該基板偏心率。
按照所揭示實施例之一或多個態樣,基板運送設備包括機架;基板運送設備,可移動地連接至該機架及具有被建構來支撐基板之末端執行器;基板站,被連接至該機架及具有至少一個第一站部件,該第一站部件與該基板站的基板固持地點具有一預定之空間關係;及自動教導系統,用於自動教導該基板站的基板站地點,該自動教導系統包括控制系統,其可操作地連接至該基板運送設備,且被建構來以來自該控制器中之嵌入式抓取/放置命令的至少一嵌入式抓取/放置命令決定該基板固持地點,其中來自該至少一嵌入式抓取/放置命令,該基板運送設備之被命令的運送器實施該末端執行器之移動,以致被支撐在該末端執行器上的基板輕碰該至少第一站部件,經由與該至少第 一站部件接觸而造成該基板與該末端執行器間之偏心率,決定該偏心率的數量,及基於該偏心率及該預定空間關係決定該基板固持地點之地點。
按照所揭示實施例之一或多個態樣,該至少第一站部件係位於在其中具有真空壓力環境的製程模組內側。
按照所揭示實施例之一或多個態樣,該真空壓力環境係高度真空。
按照所揭示實施例之一或多個態樣,該基板支撐件於該真空壓力環境中輕碰被支撐在該末端執行器上而抵靠著該至少第一站部件的基板。
按照所揭示實施例之一或多個態樣,該至少第一站部件係位於製程模組內側,該製程模組係在用於處理基板的製程安全性之狀態中。
按照所揭示實施例之一或多個態樣,該嵌入式抓取/放置命令移動該基板運送器及抵靠著該至少第一站部件輕碰該基板。
按照所揭示實施例之一或多個態樣,該控制器包括嵌入式基板定位命令,以決定該偏心率。
按照所揭示實施例之一或多個態樣,該基板站包括與該基板站的基板固持地點具有預定空間關係之第二站部件。
按照所揭示實施例之一或多個態樣,用於自動教導一基板站地點的方法包括提供基板運送器及支撐在該基板運送器之末端執行器上的基板;藉由以控制器移動該基板運 送器而造成該基板與該末端執行器間之偏心率中的變化,以致該基板運送器使被支撐在該末端執行器上之基板偏向抵靠著基板站部件;以該控制器決定偏心率中的變化;及至少基於該基板與該末端執行器間之偏心率中的變化以該控制器決定該基板站地點。
按照所揭示實施例之一或多個態樣,該基板站部件係位於在其中具有真空壓力環境的製程模組內側。
按照所揭示實施例之一或多個態樣,該真空壓力環境係高度真空。
按照所揭示實施例之一或多個態樣,該基板運送器於該真空壓力環境中使被支撐在該末端執行器上的基板偏向抵靠著基板站部件。
按照所揭示實施例之一或多個態樣,該方法另包括以該控制器的嵌入式抓取/放置命令移動該基板運送器及使該基板偏向。
按照所揭示實施例之一或多個態樣,該方法另包括以該控制器的嵌入式基板定位命令決定該偏心率。
按照所揭示實施例之一或多個態樣,該方法包括提供一具有被建構來支撐基板的末端執行器之基板運送設備;提供一具有至少第一站部件的基板站,該第一站部件與該基板站之基板固持地點具有預定的空間關係;及藉由以來自控制器中之嵌入式抓取/放置命令的至少一嵌入式抓取/放置命令決定該基板固持地點,自動地教導該基板站的基板站地點,其中來自該至少一嵌入式抓取/放置命令, 該基板運送設備之被命令的運送器實施該末端執行器之移動,以致被支撐在該末端執行器上的基板輕碰該至少第一站部件,經由與該至少第一站部件接觸而造成該基板與該末端執行器間之偏心率,以該控制器決定該偏心率的數量,及基於該偏心率及該預定空間關係以該控制器決定該基板固持地點之地點。
按照所揭示實施例之一或多個態樣,該至少第一站部件係位於製程模組內側,該製程模組在其中具有真空壓力環境。
按照所揭示實施例之一或多個態樣,該真空壓力環境係高度真空。
按照所揭示實施例之一或多個態樣,該基板運送器於該真空壓力環境中輕碰被支撐在該末端執行器上而抵靠著該至少第一站部件的基板。
按照所揭示實施例之一或多個態樣,該方法另包括以該嵌入式抓取/放置命令移動該基板運送器及抵靠著該至少第一站部件輕碰該基板。
按照所揭示實施例之一或多個態樣,該方法另包括以該控制器的嵌入式基板定位命令決定該偏心率。
按照所揭示實施例之一或多個態樣,該方法另包括提供設有第二站部件的基板站,該第二站部件與該基板站之基板固持地點具有預定的空間關係。
按照所揭示實施例之一或多個態樣,用於基板站地點之現場自動教導的方法包含: 提供基板固持站上之確定性站部件,該等確定性站部件確定性地界定與該等確定性站部件接觸的基板之預定位置,該預定位置與該基板固持站具有預定關係及識別該基板固持站;經由該基板及至少一確定性站部件間之接觸,決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:於運送設備坐標系中藉由接觸該至少一確定性站部件與該基板而建立該站部件之地點,及決定該基板的偏心率。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:反覆地接觸該至少一確定性站部件與該基板,以確認該基板相對該運送設備坐標系之偏心率,直至該偏心率中的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:基於該共用偏心率決定該基板之預定位置及固持該基板的運送設備末端執行器之中心位置。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:由該基板相對於該基板固持站之預定位置、及該運送 設備末端執行器的中心位置,於該運送設備坐標系中決定該基板固持站之教導地點。
按照所揭示實施例之一或多個態樣,該基板及至少一站部件間之接觸係由共用方向。
按照所揭示實施例之一或多個態樣,該基板固持站的教導地點係現場被決定至該基板固持站。
按照所揭示實施例之一或多個態樣,該基板及至少一確定性站部件間之接觸係反覆式接觸,且為每一反覆執行決定該基板的偏心率。
按照所揭示實施例之一或多個態樣,該基板係基於用於每一反覆執行的偏心率相對固持該基板之基板運送器重新定位。
按照所揭示實施例之一或多個態樣,該共用偏心率係在晶圓感測器的信號雜訊內之偏心率,該晶圓感測器被建構來偵測該基板,用於決定該共用偏心率。
按照所揭示實施例之一或多個態樣,該方法另包含以控制器決定基板運送器末端執行器的中心位置,以實施相對該中心地點決定該共用偏心率,在此該控制器調整該中心地點之地點,以補償該運送設備上的熱效應。
按照所揭示實施例之一或多個態樣,用於自動教導一基板固持地點的基板運送設備自動教導系統包含:機架;基板固持站,被連接至該機架,且具有確定性站部件,其確定性地界定與該等確定性站部件接觸的基板之預 定位置,該預定位置與該基板固持站具有預定關係及識別該基板固持站;基板運送設備,被連接至該機架及被建構來移動該基板;及控制器,被建構來
經由該基板及至少一確定性站部件間之接觸,決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
按照所揭示實施例之一或多個態樣,該控制器另被建構來:藉由控制該基板運送設備在該基板運送設備的坐標系中建立該等站部件之地點,以致該基板接觸該至少一站部件及決定該基板的偏心率。
按照所揭示實施例之一或多個態樣,該控制器另被建構來:在該至少一確定性站部件與該基板之間施行反覆的接觸,以確認該基板相對該坐標系之偏心率,直至該偏心率中的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,該控制器另被建構來:基於該共用偏心率決定該基板的預定位置及該運送設備之中心位置。
按照所揭示實施例之一或多個態樣,該控制器另被建構來: 於該坐標系中,由該基板相對於該基板固持站的預定位置、及該運送設備的中心位置決定該基板固持站的教導地點。
按照所揭示實施例之一或多個態樣,該控制器被建構來由共用方向施行該基板及至少一站部件間之接觸。
按照所揭示實施例之一或多個態樣,該基板固持站的教導地點被現場決定至該基板固持站。
按照所揭示實施例之一或多個態樣,該控制器被建構來施行該基板用於每一反覆接觸的偏心率決定。
按照所揭示實施例之一或多個態樣,該控制器被建構來基於用於每一反覆接觸的偏心率決定而施行該基板相對基板運送器之復位。
按照所揭示實施例之一或多個態樣,該共用偏心率係在晶圓感測器的信號雜訊內之偏心率,該晶圓感測器被建構來偵測該基板,用於決定該共用偏心率。
按照所揭示實施例之一或多個態樣,該基板運送器包含末端執行器,具有中心地點,該末端執行器被建構來固持該基板,及該控制器另被建構來決定該中心地點,以施行相對該中心地點決定該共用偏心率,在此該控制器被建構來調整該中心地點的地點,以補償該運送設備上之熱效應。
按照所揭示實施例之一或多個態樣,用於自動教導基板固持地點之基板運送設備自動教導系統包含:機架; 站夾具,被連接至該機架及具有確定性站部件,該等確定性站部件確定性地界定基板與該等確定性站部件接觸的預定位置,該預定位置與該站夾具之基板固持地點具有預定關係且識別該站夾具之基板固持地點;及基板運送器,被建構來固持該教導基板;及控制器,被建構來移動該基板運送器,以致該教導基板相對該站部件於一共用方向中移動。
按照所揭示實施例之一或多個態樣,該控制器被建構來決定該基板的預定位置及該基板固持地點,在此該基板的位置及該基板固持地點係藉由該基板與該確定性站部件間之接觸所施行。
按照所揭示實施例之一或多個態樣,用於自動教導基板固持地點之基板運送設備自動教導系統包含:機架;站夾具,被連接至該機架及具有確定性站部件,該等確定性站部件確定性地界定教導基板與該等確定性站部件接觸的預定位置;教導基板,被建構以致與該等確定性站部件之接觸將該教導基板定位於該預定位置中,並與該基板固持地點有一預定關係及識別該基板固持地點;及基板運送器,被建構來固持該教導基板;及控制器,被建構來移動該基板運送器,以致該教導基板相對該站部件於共用方向中移動。
按照所揭示實施例之一或多個態樣,該控制器被建構 來決定該基板的預定位置及該基板固持地點,在此該基板的位置及該基板固持地點係藉由該基板與該等確定性站部件間之接觸所施行。
按照所揭示實施例之一或多個態樣,用於自動教導基板固持地點之基板運送設備自動教導系統包含:機架;基板固持站,被連接至該機架,該基板固持站具有確定性站部件,其界定與該等確定性站部件接觸的基板之預定位置,該預定位置與該站夾具的基板固持地點具有預定關係及識別該站夾具之基板固持地點;運送設備,被連接至該機架及被建構來固持該基板;及控制器,被建構來
以該運送設備施行該基板的移動,在此該基板接觸該等確定性站部件之至少一者,相對於該基板運送設備決定該基板的共用偏心率,及基於該共用偏心率決定該基板固持地點之位置。
按照所揭示實施例之一或多個態樣,用於自動教導基板站地點之基板運送設備自動教導系統包含:機架;基板運送器,被連接至該機架,該基板運送器具有末端執行器,被建構來支撐基板;基板固持站,被連接至該機架,該基板固持站具有確定性站部件;及 控制器,被建構來
移動該基板運送器,以致該基板接觸該等確定性站部件,造成該基板與該末端執行器間之偏心率中的變化,決定偏心率中之變化,及。
至少基於偏心率中的變化決定該基板站地點,在此該偏心率識別該基板站地點。
按照所揭示實施例之一或多個態樣,用於基板站地點之現場自動教導的方法包含:在基板固持站上提供確定性站部件,該等確定性站部件確定性地界定基板與該等確定性站部件互相作用之預定位置,該預定位置與該基板固持站具有一預定關係及識別該基板固持站;經由該基板及至少一確定性站部件間之相互作用決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:藉由接觸該至少一確定性站部件與該基板,在運送設備坐標系中建立該等站部件之地點,並決定該基板的偏心率。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:反覆地接觸該至少一確定性站部件與該基板,以確認該基板相對該運送設備坐標系之偏心率,直至該偏心率中 的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,決定該基板固持站的教導地點包含:反覆地傳遞該基板通過至少一確定性站部件,以確認該基板相對該運送設備坐標系之偏心率,直至該偏心率中的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,感測該基板施行運送器末端執行器相對該基板固持地點的中心位置之登記,並固持該基板。
按照所揭示實施例之一或多個態樣,用於自動教導基板固持地點之基板運送設備自動教導系統,包含:機架;基板固持站,被連接至該機架,且具有確定性站部件,其確定性地界定與該等確定性站部件接觸的基板之預定位置,該預定位置與該基板固持站具有預定關係及識別該基板固持站;基板運送器,被連接至該機架及被建構來移動該基板;及控制器,被建構來
經由該基板及至少一確定性站部件間之接觸,決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
按照所揭示實施例之一或多個態樣,該控制器另被建構來藉由施行該至少一確定性站部件與該基板間之接觸, 於運送設備坐標系中建立該站部件的地點,且決定該基板之偏心率。
按照所揭示實施例之一或多個態樣,該控制器另被建構來在該至少一確定性站部件與該基板之間施行反覆的接觸,以確認該基板相對該運送設備坐標系之偏心率,直至該偏心率中的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,該控制器另被建構來施行反覆地傳遞該基板通過該至少一確定性站部件,以確認該基板相對該運送設備坐標系之偏心率,直至該偏心率中的變化決定該共用偏心率。
按照所揭示實施例之一或多個態樣,感測該基板施行運送設備末端執行器相對該基板固持地點的中心位置之登記,並固持該基板。
按照所揭示實施例之一或多個態樣,用於自動教導基板固持地點之基板運送設備自動教導系統,包含:基板固持夾具;及教導基板,該基板固持夾具及該教導基板在結合中具有一組構,該組構相對於基板固持夾具Z教導地點係確定性的,該基板固持夾具Z教導地點係以撞觸被施行;其中該基板固持夾具及該教導基板之組構:以該基板固持夾具及教導基板間之接觸表面界定至少一部件,該至少一部件具有於Z方向及基板運送器的徑向延伸方向兩者中之預定差異,及經由該教導基板與該接觸表面間之接觸決定該基板固 持夾具Z教導地點的解析度。
12‧‧‧工具介面區段
15‧‧‧運送手臂
18B‧‧‧運送室模組
18i‧‧‧運送室模組
26B‧‧‧運送設備
26i‧‧‧運送設備
30i‧‧‧工件站
30S1‧‧‧工件支撐件/擱板
30S2‧‧‧工件支撐件/擱板
56‧‧‧載入鎖模組
56A‧‧‧載入鎖模組
56S1‧‧‧工件支撐件/擱板
56S2‧‧‧工件支撐件/擱板
108‧‧‧滑移
214‧‧‧滑動手臂
216‧‧‧蛙腿手臂
217‧‧‧跳蛙手臂
218‧‧‧雙對稱手臂
219‧‧‧轉移手臂
219A‧‧‧關節式手臂
219B‧‧‧關節式手臂
219E‧‧‧末端執行器
311‧‧‧自動晶圓定心站
311S1‧‧‧感測器
311S2‧‧‧感測器
312‧‧‧基板固持地點
314‧‧‧轉移機器人
314A‧‧‧機器人手臂
314E‧‧‧末端執行器
314L1‧‧‧手臂連桿
314L2‧‧‧手臂連桿
330‧‧‧製程模組
330W‧‧‧壁面
331‧‧‧基板固持地點
390‧‧‧處理工具
401‧‧‧基準部件
402‧‧‧基準部件
410‧‧‧處理工具
412‧‧‧工件進入/離開站
416‧‧‧運送室
1302‧‧‧組構
1304‧‧‧組構
1306‧‧‧組構
1308‧‧‧組構
1310‧‧‧組構
1312‧‧‧組構
1402‧‧‧抓握部件
1404‧‧‧抓握部件
1406‧‧‧抓握部件
1408‧‧‧嚙合墊片
1410‧‧‧嚙合墊片
1412‧‧‧嚙合墊片
1414C‧‧‧夾頭
1414C’‧‧‧夾頭
1414E‧‧‧末端執行器
1414E’‧‧‧末端執行器
1500‧‧‧舉升栓銷
1501‧‧‧舉升栓銷
1502‧‧‧舉升栓銷
1504‧‧‧突出部份
1506‧‧‧教導基板
1508‧‧‧突出部份
1510‧‧‧教導基板
1512‧‧‧突出部份
1516‧‧‧突出部件
1518‧‧‧突出部件
1550‧‧‧基板
1600‧‧‧處理工具
1601‧‧‧室
1602‧‧‧室
1610‧‧‧確定性站部件
1610A‧‧‧確定性站部件
1610B‧‧‧確定性站部件
1611‧‧‧確定性站部件
1611A‧‧‧確定性站部件
1611B‧‧‧確定性站部件
1620A‧‧‧基板固持支撐件
1620A1‧‧‧部份
1620A2‧‧‧部份
1620B‧‧‧基板固持支撐件
1620B1‧‧‧部份
1620B2‧‧‧部份
1650‧‧‧栓銷
1651‧‧‧栓銷
1700‧‧‧對齊夾具
1710‧‧‧凹槽
1711‧‧‧舉升栓銷
1715‧‧‧凹部
1816‧‧‧共用方向
2010‧‧‧基板處理系統
2012‧‧‧工具介面區段
2050‧‧‧介面
2060‧‧‧介面
2070‧‧‧介面
2080‧‧‧基板運送器
2010‧‧‧處理系統
2410‧‧‧感測器
2411‧‧‧感測器
2710‧‧‧舉升栓銷
2711‧‧‧舉升栓銷
3010‧‧‧確定性站部件
3011‧‧‧確定性站部件
3018‧‧‧運送室模組
3018A‧‧‧運送室模組
3018I‧‧‧運送室模組
3018J‧‧‧運送室模組
3019A‧‧‧運送室模組
11000‧‧‧前端
11005‧‧‧載入通口模組
11010‧‧‧真空載入鎖
11011‧‧‧對齊器
11013‧‧‧轉移機器人
11014‧‧‧轉移機器人
11020‧‧‧真空後端
11025‧‧‧運送室
11030‧‧‧處理站
11040‧‧‧載入通口
11050‧‧‧基板載具
11060‧‧‧迷你環境
11090‧‧‧工具站
11091‧‧‧控制器
C1‧‧‧地點
C2‧‧‧地點
C2A‧‧‧接觸點
C2B‧‧‧接觸點
C3A‧‧‧接觸點
C3B‧‧‧接觸點
DF‧‧‧機器人驅動器凸緣
DR‧‧‧機器人驅動器
DS‧‧‧驅動軸桿
ENC‧‧‧編碼器
F1‧‧‧部件
F2‧‧‧部件
MI‧‧‧機械式介面
p‧‧‧突出部份
PM‧‧‧處理模組
RC‧‧‧旋轉式夾頭
RL1‧‧‧參考線
RL1’‧‧‧參考線
RL2‧‧‧參考線
RL2’‧‧‧參考線
RL3‧‧‧參考線
RL3’‧‧‧參考線
RL4‧‧‧參考線
RL5‧‧‧參考線
RS1‧‧‧參考表面
RS1’‧‧‧彎曲壁面
RS1F1‧‧‧確定性部件
RS1F2‧‧‧確定性部件
RS2‧‧‧參考表面
RS2’‧‧‧彎曲壁面
RS2F1‧‧‧確定性部件
RS2F2‧‧‧確定性部件
RS3’‧‧‧彎曲壁面
RS3F1‧‧‧確定性部件
RS3F2‧‧‧確定性部件
RS3‧‧‧參考表面
RS4‧‧‧參考表面
RS5‧‧‧參考表面
RSC‧‧‧中心點
RSC’‧‧‧中心點
S‧‧‧基板
S1‧‧‧基板
S2‧‧‧基板
SRP1‧‧‧站參考點
SRP2‧‧‧站參考點
SRP3‧‧‧站參考點
SRP4‧‧‧站參考點
SS1‧‧‧第一表面
SS1’‧‧‧第一表面
SS1”‧‧‧第一表面
SS2‧‧‧第二表面
SS2’‧‧‧第二表面
SS2”‧‧‧第二表面
ST‧‧‧教導基板
STE‧‧‧周邊表面
所揭示的實施例之前面態樣及其他特色係在取自與所附圖面連接的以下敘述中被說明,其中:圖1A-1D係併入所揭示實施例之態樣的基板處理設備之概要圖示;圖2A-2E係按照所揭示實施例的態樣之運送手臂的概要圖示;圖3係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖4係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖5A-5E係按照所揭示實施例的態樣之基板處理設備的各部份之概要圖示;圖6及6A係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖7係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖8係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖9及10係按照所揭示實施例的態樣之自動教導過程的流程圖;圖11A及11B係用於決定按照所揭示實施例的態樣 之站的概要圖示;圖12係按照所揭示實施例的態樣之自動教導過程的流程圖;圖13係說明該處理設備之一部份的不同組構之平面圖;圖14A-14B分別係具有不同組構的基板末端執行器之概要平面圖;圖15A-15F係概要側視圖及立體視圖,分別說明依照所揭示實施例的態樣之教導基板關於該末端執行器的不同特色:圖16係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖16A-16D係按照所揭示實施例的態樣之基板處理設備的各部份之概要圖示;圖17A-17C係按照所揭示實施例的態樣之站自動教導夾具的概要視圖;圖18A及18B係按照所揭示實施例之態樣的基板及基板固持地點間之確定性關係的概要圖示;圖19係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖20係說明按照所揭示實施例的態樣之自動教導過程之圖;圖21係按照所揭示實施例的態樣之自動教導過程的流程圖; 圖22A-22C係按照所揭示實施例的態樣之自動教導過程的概要圖示;圖23係按照所揭示實施例的態樣之自動教導過程的流程圖;圖24A-24B係按照所揭示實施例之態樣的基板及基板固持地點間之確定性關係的概要圖示;圖25係按照所揭示實施例的態樣之基板處理設備的一部份之概要圖示;圖26係按照所揭示實施例的態樣之自動教導過程的流程圖;圖27A-27C係按照所揭示實施例的態樣之自動教導過程的概要圖示;圖28係按照所揭示實施例的態樣之自動教導過程的流程圖;圖29A-29F係按照所揭示實施例的態樣之自動教導過程的概要圖示;圖30係用於按照所揭示實施例的態樣之自動教導過程的基板/教導基板及確定性站部件之概要圖示;圖31A及31B係按照所揭示實施例的態樣之自動教導過程的概要圖示;及圖32係按照所揭示實施例的態樣之自動教導過程的流程圖。
參考圖1A-1D,顯示有併入所揭示實施例之態樣的基板處理設備或工具之概要圖示,如將在此中被進一步敘述。雖然所揭示實施例的態樣將參考該等圖面被敘述,應被了解所揭示實施例之態樣可在很多形式中被具體化。此外,元件或材料的任何合適尺寸、形狀或型式可被使用。
如將在下面被更詳細地敘述,所揭示實施例之態樣提供用於基板處理設備的基板固持站之該自動(例如沒有操作員介入)位置及對基板運送設備教導該等基板固持站的位置。如在此中所使用,該基板固持站一詞係譬如在製程模組內之基板固持地點、或在該基板處理設備內的任何另一合適之基板固持地點、諸如載入通口(或基板匣盒被固持在其上)、載入鎖、緩衝站等。所揭示實施例的態樣影響現存設備及在該基板處理設備中所使用之裝置、諸如基板處理感測器。如在此中所使用的基板處理感測器係主動晶圓定心感測器(AWC)、基板對齊器及/或於基板處理期間被使用於基板之對齊及/或定心的另一合適之基板偏心率(例如相對在末端執行器上的預定基板固持地點)偵測單元。換句話說,在該基板處理設備的最初採購/組構之後,當按照所揭示實施例的態樣之自動教導被利用時,大體上無藉由譬如該顧客所招致之額外的儀器成本。
所揭示實施例之態樣亦可大體上被施行,而沒有對被嵌入該基板運送設備及/或該基板處理設備系統控制器的程式碼作軟體改變。譬如,所揭示實施例之態樣可利用與該基板運送設備有關聯的現存命令、諸如“抓取及放置” 命令及/或“基板對齊”命令。所揭示實施例之態樣係亦操作環境、諸如相容的真空環境(以及大氣環境、例如惰性氣體、經過濾之清潔空氣),因在此無位於該處理環境內的電子零組件(例如纜線、印刷電路板等)。如可被實現,於大氣處理環境中,該AWC中心可為位在該大氣處理環境內。據此,所揭示實施例之態樣於該基板運送設備的自動教導期間提供用於減少的機械停工時間,而不會破壞業已在該基板處理設備內建立之處理環境(例如真空或大氣)(例如該基板處理設備及其零組件於該自動教導過程期間保持被密封或以別的方式與外部環境隔絕)。
參考圖1A及1B,譬如處理設備、諸如按照所揭示實施例的態樣之半導體工具站11090被顯示。雖然半導體工具11090被顯示在該等圖面中,在此中所敘述的被揭示實施例之態樣可被應用至任何工具站或採用機器人操縱器的應用。於此範例中,該工具11090被顯示為一群集工具,然而,所揭示實施例之態樣可譬如被應用至任何合適的工具站、諸如線性工具站,諸如在圖1C及1D中所顯示及於2013年3月19日公告之美國專利第8,398,355號中所敘述者,其發明名稱為“線性分佈半導體工件處理工具”,其揭示內容係全部以引用的方式併入本文中。該工具站11090大致上包括大氣前端11000、真空載入鎖11010、及真空後端11020。於其他態樣中,該工具站可具有任何合適之組構。前端11000、載入鎖11010、及後端11020的每一者之零組件可被連接至控制器11091,其 可為譬如任何合適的控制架構之一部份、諸如群集式架構控制。該控制系統可為具有主要控制器的封閉迴路控制器、群集控制器、及自主式遠端控制器,諸如那些在2011年3月8日公告之美國專利第7,904,182號中所揭示者,其發明名稱為“可縮放動作控制系統”,其揭示內容係全部以引用的方式併入本文中。於其於態樣中,任何合適之控制器及/或控制系統可被利用。該控制器11091包括任何合適的記憶體及處理器,其包括用於操作在此中所敘述之處理設備的非暫態程式碼,以施行基板處理設備之基板固持站的自動定位、及對基板運送設備教導該等基板固持站之位置,如在此中所敘述。譬如,於一態樣中,該控制器11091包括嵌入式抓取/放置命令(例如用於該基板運送設備,以移動該基板運送設備及偏向或輕碰(tap)該基板,以便產生如在此中所敘述的偏心率)及/或嵌入式基板定位命令(例如用於決定該基板及該基板運送設備的末端執行器間之偏心率)。於一態樣中,該控制器被建構來移動該基板運送器,以致該基板運送器使被支撐在該末端執行器上之基板偏向(或輕碰)抵靠著基板站部件(如將在下面被更詳細地敘述),造成該基板與該末端執行器間之偏心率中的變化。該控制器被建構來決定偏心率中之變化,並基於至少該基板與該末端執行器間之偏心率中的變化來決定該基板站地點。如可被實現,及如在此中所敘述,於一態樣中,該基板站被定位在內側,且在此中所敘述之自動教導發生在一於其中具有真空壓力環 境的製程模組中。於一態樣中,該真空壓力係高度真空。於一態樣中,在此中所敘述之自動教導發生在基板站部件內,該基板站部件譬如位在一製程模組內,該製程模組係於製程安全的狀態中(例如用於處理基板)。用於處理基板之製程安全的狀態係該製程模組的一條件,其中該製程模組被密封在預備好用於將製程真空或大氣導入該製程模組之清潔度狀態中、或預備好用於將生產晶圓導入該製程模組的狀態中。
於一態樣中,該前端11000大致上譬如包括載入通口模組11005及迷你環境11060、諸如設備前端模組(EFEM)。該等載入通口模組11005可為至工具標準(BOLTS)介面之開箱器/載入器,該工具標準介面遵照SEMI標準E15.1、E47.1、E62、E19.5或E1.9,用於300毫米載入通口、前面開口或底部開口箱子/容器及匣盒。於其他態樣中,該等載入通口模組可譬如被建構為200毫米晶圓或450毫米晶圓介面或任何其他合適的基板介面、諸如較大或較小晶圓或用於平坦面板顯示器之平坦面板。雖然二載入通口模組11005被顯示在圖1A中,於其他態樣中,任何合適數目之載入通口模組可被併入該前端11000。該等載入通口模組11005可被建構來承納來自頭頂上運送系統、自動導引車輛、人導引車輛、軌道導引車輛或來自任何另一合適的運送方法之基板載具或匣盒11050。該等載入通口模組11005可經由載入通口11040與該迷你環境11060介接。於一態樣中,該等載入通口 11040允許基板通過該等基板匣盒11050與該迷你環境11060之間。
於一態樣中,該迷你環境11060大致上包括任何合適之轉移機器人11013,其併入在此中所敘述的被揭示實施例之一或多個態樣。於一態樣中,該機器人11013可譬如為軌道安裝式機器人、諸如於美國專利第6,002,840號中所敘述者,其揭示內容係全部以引用的方式併入本文中,或於其他態樣中,具有任何合適組構之任何另一合適的運送機器人。該迷你環境11060可提供用於多數個載入通口模組間之基板轉移的控制下、清潔區。
該真空裝載鎖11010可為位於該迷你環境11060及該後端11020之間,且被連接至該迷你環境11060及該後端11020。應注意的是如在此中所使用,該真空一詞可表示該等基板被處理之高度真空、諸如10-5托耳或以下。該載入鎖11010大致上包括大氣及真空槽閥。該等槽閥可提供該環境隔離,其被採用來在由該大氣前端載入基板之後抽空該載入鎖、及當以諸如氮的惰性氣體排出該鎖時維持該運送室中之真空。於一態樣中,該載入鎖11010包括對齊器11011,用於將該基板的基準對齊至想要位置供處理。於其他態樣中,該真空載入鎖可被定位在該基板處理設備之任何合適位置中,且具有任何合適的組構及/或精密測定設備。
該真空後端11020大致上包括運送室11025、一或多個處理站或模組11030、及任何合適的轉移機器人或設備 11014。該轉移機器人11014將在下面被敘述,且可為位於該運送室11025內,以在該載入鎖11010及該等各種處理站11030之間運送基板。該等處理站11030可經由各種沈積、蝕刻、或其他型式的製程在該等基板上操作,以在該等基板上形成電路系統或另一想要之結構。典型的製程包括、但不被限制於使用真空之薄膜製程、諸如電漿蝕刻或其他蝕刻製程、化學蒸氣沈積(CVD)、電漿氣化沈積(PVD)、諸如離子植入的植入法、精密測定、快速熱處理(RTP)、乾式剝離原子層沈積(ALD)、氧化/擴散、氮化物之形成、真空微影術、取向生長(EPI)、引線接合器及蒸發或其他使用真空壓力的薄膜製程。該等處理站11030被連接至該運送室11025,以允許基板將被由該運送室11025傳遞至該等處理站11030及反之亦然。於一態樣中,該等載入通口模組11005及載入通口11040係大體上直接地耦接至該真空後端11020,以致被安裝在該等載入通口上之匣盒11050大體上直接地(例如,於一態樣中,至少該迷你環境11060被省略,而在其他態樣中,該真空載入鎖11010亦被省略,使得該匣盒11050以類似於該真空載入鎖11010的方式被泵吸直至真空)與該運送室11025之真空環境及/或製程模組11030的處理真空介接(例如,該處理真空及/或真空環境延伸於該製程模組11030及該匣盒11050之間,且係共用於該製程模組11030及該匣盒11050之間)。
現在參考圖1C,線性基板處理系統2010的概要平面 圖被顯示,在此該工具介面區段2012被安裝至運送室模組3018,以致該介面區段2012大致上正面朝(例如朝內)該運送室3018之縱軸X、但係由該運送室3018之縱軸X偏置。該運送室模組3018可藉由將其他運送室模組3018A、3018I、3018J附接至介面2050、2060、2070而在任何合適的方向中延伸,如在先前以引用之方式併入本文中的美國專利第8,398,355號中所敘述。每一運送室模組3018、3019A、3018I、3018J包括任何合適之基板運送器2080,其可包括在此中所敘述的被揭示實施例之一或多個態樣,用於遍及該處理系統2010運送基板及譬如進入與離開處理模組PM(於一態樣中,其大體上類似於上述處理模組11030)。如可被實現,每一室模組可為能夠保持孤立或控制下之大氣(例如N2、清潔空氣、真空)。
參考圖1D,顯示有示範處理工具410之概要側視圖、諸如可被取自沿著該線性運送室416的縱軸X。於圖1D所示之被揭示實施例的態樣中,工具介面區段12可被代表性地連接至該運送室416。於此態樣中,介面區段12可界定該工具運送室416之一端部。如在圖1D中所視,該運送室416可具有另一工件進入/離開站412、譬如在離介面站12的相反端部。於其他態樣中,其他用於將工件***該運送室/由該運送室移去工件之進入/離開站可被提供。於一態樣中,介面區段12及進入/離開站412可允許工件由該工具的載入及卸載。於其他態樣中,工件 可由一端部被載入該工具及由該另一端部被移去。於一態樣中,該運送室416可具有一或多個轉移室模組18B、18i。每一室模組可為能夠保持孤立或控制下之大氣(例如N2、清潔空氣、真空)。如之前所注意者,於圖1D所示的運送室模組18B、18i、載入鎖模組56A、56、及形成該運送室416之工件站的組構/配置係只示範用,且於其他態樣中,該運送室可具有被設置在任何想要的模組化配置中之更多或更少的模組。於所示態樣中,站412可為載入鎖。於其他態樣中,載入鎖模組可為位於該端部進入/離開站(類似於站412)之間,或該鄰接運送室模組(類似於模組18i)可被建構來操作當作載入鎖。
如亦之前所注意的,運送室模組18B、18i具有一或多個對應之運送設備26B、26i,其可包括在此中所敘述、被定位在其中的被揭示實施例之一或多個態樣。該等個別運送室模組18B、18i的運送設備26B、26i可配合,以於該運送室中提供該線性分佈式工件運送系統。於此態樣中,該運送設備26B(其可為大體上類似於圖1A及1B中所說明之群集工具的運送設備11013、11014)可具有一般之SCARA手臂組構(雖然於其他態樣中,該運送手臂可譬如具有任何另一想要之配置、諸如在圖2B中所示的線性滑動手臂214、或具有任何合適之手臂連桿機件的其他合適手臂。手臂連桿機件之合適範例能被發現於譬如2009年8月25日公告的美國專利第7,578,649號、1998年8月18日公告之5,794,487、2011年5月24日公告之 7,946,800、2002年11月26日公告的6,485,250、2011年2月22日公告之7,891,935、2013年4月16日公告的8,419,341、及2011年11月10日申請之發明名稱為“雙手臂機器人”的美國專利申請案第13/293,717號、及2013年9月5日申請之發明名稱為“具有Z動作與關節式手臂的線性真空機器人”的美國專利申請案第13/861,693號中,其揭示內容係全部以引用的方式併入本文中。於所揭示實施例之態樣中,該至少一轉移手臂可為源自傳統SCARA(選擇性裝配機器人)型設計,其包括上臂、帶式驅動前臂、及帶式限定末端執行器、或來自伸縮手臂或任何另一合適的手臂設計。轉移手臂之合適範例能被發現於譬如在2008年5月8日申請的發明名稱為“具有利用機械式開關機件之多數個可移動手臂的基板運送設備之美國專利申請案第12/117,415號、及2010年1月19日公告的美國專利第7,648,327號中,其揭示內容係全部以引用的方式併入本文中。該等轉移手臂之操作可為彼此獨立(例如每一手臂的伸展/縮回係與其他手臂獨立的)、可經由空轉開關***作、或可被以任何合適之方式能操作地連結,使得該等手臂共享至少一共用驅動軸。於又其他態樣中,該等運送手臂可具有任何另一想要的配置、諸如蛙腿(frog leg)手臂216(圖2A)組構、跳蛙(leap frog)手臂217(圖2D)組構、雙對稱手臂218(圖2C)組構等。於另一態樣中,參考圖2E,該轉移手臂219包括至少一個第一及第二關節式手臂219A、 219B,在此每一手臂219A、219B包括被建構來於共用轉移平面中(該末端執行器219E之每一基板固持地點分享一用於抓取及放置該等基板S1、S2的共用驅動器)並排地固持至少二基板S1、S2之末端執行器219E,在此該等基板S1、S2間之間距DX對應於並排的基板固持地點間之固定式間距。運送手臂的合適範例可被發現在2001年5月15日公告之美國專利6,231,297、1993年1月19日公告的5,180,276、2002年10月15日公告之6,464,448、2001年5月1日公告的6,224,319、1995年9月5日公告之5,447,409、2009年8月25日公告的7,578,649、1998年8月18日公告之5,794,487、2011年5月24日公告的7,946,800、2002年11月26日公告之6,485,250、2011年2月22日公告的7,891,935、及2011年11月10日申請之發明名稱為“雙手臂機器人”的美國專利申請案第13/293,717號、及2011年11月10日申請之發明名稱為“同軸向驅動真空機器人”的第13/270,844號中,其揭示內容係全部以引用的方式併入本文中。
於圖1D所示之被揭示實施例的態樣中,該運送設備26B之手臂可被配置來提供能被稱為快速交換配置者,而允許該運送器由抓取/放置地點迅速地交換晶圓,如亦將在下面被進一步詳細地敘述者。該運送手臂26B可具有任何合適的驅動區段(例如同軸向地配置的驅動軸桿、並排的驅動軸桿、水平鄰接馬達、直立堆疊馬達等),用於對每一手臂提供任何合適數目之自由度(例如以Z軸動作繞 著肩部及肘關節的獨立旋轉)。如在圖1D中所視,於此態樣中,該等模組56A、56、30i可為填隙地位於轉移室模組18B、18i之間,並可界定合適的處理模組、載入鎖LL、緩衝站、精密測定站、或任何其他想要之站。譬如該等填隙模組、諸如載入鎖56A、56及工件站30i的每一者可具有固定不動之工件支撐件/擱板56S1、56S2、30S1、30S2,其可與該等運送手臂配合,以經由該運送室的長度沿著該運送室之線性軸X作用運送器或工件。當作範例,工件可藉由介面區段12被載入該運送室416。該工件可被以該介面區段的運送手臂15定位在載入鎖模組56A之支撐件上。於載入鎖模組56A中,該工件可藉由模組18B中之運送手臂26B被移動於載入鎖模組56A及載入鎖模組56之間,並以類似及連續的方式,以手臂26i(在模組18i中)於載入鎖56及工件站30i之間、及以模組18i中的手臂26i於站30i及站412之間。此製程可整個或局部被顛倒,以於該相反方向中移動該工件。如此,於一態樣中,工件可沿著軸X在任何方向中被移動及沿著該運送室至任何位置,並可被載入至與該運送室相通的任何想要之模組及由任何想要的模組卸載(處理或以別的方式)。於其他態樣中,具有靜態工件支撐件或擱板之填隙運送室模組可不被提供於運送室模組18B、18i之間。於此等態樣中,鄰接運送室模組的運送手臂可直接地由末端執行器移開工件或使一運送手臂通過另一運送手臂之末端執行器,以移動該工件經由該運送室。該等處理站模組可 在該等基板上經由各種沈積、蝕刻、或其他型式的製程來操作,以在該等基板上形成電路系統或另一想要之結構。該等處理站模組被連接至該等運送室模組,以允許基板被由該運送室傳遞至該等處理站,且反之亦然。具有與圖1D中所描述的處理設備類似之一般特色的處理工具之合適範例被敘述在先前全部以引用的方式併入本文中的美國專利第8,398,355號中。
現在參考圖3,任何合適之處理工具390的一部份之概要圖示被說明。在此,該處理工具390大體上係類似於上述處理工具的一或多個。在此,該處理工具包括至少一製程模組或站330(其大體上係類似於上述之製程模組11030、PM)、及至少一自動晶圓定心(AWC)站311。於一態樣中,該製程模組330係在該處理工具390的真空環境內之一地點,而於其他態樣中,該製程模組係在該處理工具390的控制下或孤立環境(例如大氣環境)內之一地點。該製程模組330包括或以別的方式形成一基板固持地點331。該基板固持地點331係相對於該製程模組330之一或多個部件或該處理工具390的任何另一合適之固定地點位在一預定關係中。於此態樣中,用於示範之目的,該固定地點對應於該製程模組330之一或多個參考表面RS1、RS2、RS3、RS4、RS5。在此,該基板固持地點331被設置離參考表面RS4、RS5一段距離Xstn及離參考表面RS3一段距離Ystn。該等距離Xstn,Ystn代表製程模組坐標,在此這些所揭示實施例的態樣識別(或以別的方 式轉換)這些製程模組坐標,以傳送機器人坐標R、θ,以致基板S(例如生產基板)係藉由該轉移機器人314(其係大體上類似於上述轉移機器人之一或多個)被放置在該基板固持地點331。該基板能具有任何結構。於一些態樣中,該基板為矽晶圓。於其他態樣中,該基板譬如係虛擬晶圓、諸如碳纖維虛擬(dummy)晶圓。於一些態樣中,該基板被選擇,以在與參考表面接觸時使微粒產生減至最小。如在圖13所視,該教導基板可被選自許多不同的基板。如可被由圖13所視,其在不同的示範組構1302、1304、1306、1308、1310、1312中說明該基板,於一些態樣中,該基板具有與該生產基板不同之尺寸(例如較小的直徑)或不同的形狀。該教導基板ST可為任何形狀,諸如圓形、正方形、長方形、橢圓形、不規則的等。於一些態樣中,該教導基板ST或基板S包括一或多個一體式突出部份p或突指,用於與該工具之參考表面造成接觸。於其他態樣中,該教導基板ST或基板S可被塑形,以一類似於圖15F中所說明的基板1550之方式環繞一或多個舉升栓銷1500-1502延伸,以如在此中所敘述地施行自動晶圓定心(AWC)及基板固持地點的自動教導,在此該等舉升栓銷1500-1502之至少一者形成類似於在此中所敘述的確定性站部件1610、1611之確定性站部件。
該至少一自動晶圓定心(AWC)站311包括任何合適的感測器,用於決定譬如該基板S相對譬如該轉移機器人末端執行器314E之預定地點的至少一偏心率。於一態樣 中,該至少一AWC站311包括一或多個感測器311S1、311S2,用於偵測該基板S(及/或教導基板ST,如將在下面被敘述)之前緣及後緣的一或多個。該一或多個感測器311S1、311S2係譬如任何合適之感測器,諸如非接觸式感測器(例如光學反射式感測器、透射光束感測器、電容式感測器、電感式感測器、或任何其他合適的感測器)、照相機、及CCD列陣。如可被實現,當一對(例如二個)感測器311S1、311S2被說明在圖3中時,於其他態樣中,該AWC站311包括相對彼此及/或該AWC站311的基板固持地點312被設置在任何合適之配置中的任何合適數目之感測器。於其他態樣中,該至少一AWC站311被建構來偵測該基板S的對齊基準,且包括該基板被放置在其上(例如藉由該末端執行器314E)之旋轉式夾頭RC,用於該基板S相對該末端執行器314E的基準之對齊及/或重新定位。
現在參考圖3及4,示範群集處理工具規劃被說明。該群集處理工具係大體上類似於圖1A及1B中所說明者。雖然所揭示實施例的態樣係相對於該群集工具390被敘述,應了解在此中所敘述之被揭示實施例的態樣係同樣地可適用於圖1C及1D中所說明之線性工具。大致上,該末端執行器314E的位置(例如於機器人坐標R、θ中)係以譬如來自該轉移機器人驅動器DR之合適編碼器ENC的反饋所決定,該編碼器ENC被連接至驅動軸桿DS,其控制該轉移機器人314之手臂連桿314L1、314L2 及末端執行器314E的一或多個之移動。於其他態樣中,該末端執行器314E的位置被以任何合適之方式決定,而用相對該轉移機器人314被設置在任何合適地點的任何合適之編碼器/感測器。
用於示範之目的,至少該製程模組330在約其個別之標稱(nominal)地點相對該轉移機器人314被連接至該轉移室11025(例如該等製程模組330的實際位置係如在此中所敘述地被決定)。如可被實現,至少該製程模組330之標稱地點係譬如由該處理工具390的CAD(電腦輔助繪圖)模型(或其他合適之模型)得知。於其他態樣中,該等製程模組的地點係由該處理工具(或其零組件、諸如該等製程模組330)的內建測量方法得知。該“機器人原位(home position)”(例如該轉移機器人在預定角度θ之完全縮回位置R,在此R係大體上等於0的距離,且θ係大體上等於0之角度)大致上係以該機器人手臂314A與機器人驅動器凸緣DF間之機械式復位夾具所界定。該驅動器凸緣DF大致上包括具有精確定位部件的機械式介面MI,其相對該處理工具390將該機器人原位定位在已知之標稱地點。於其他態樣中,該機器人原位被以任何合適的方式界定。如此,基於該等標稱地點(例如由該處理工具390之模型所獲得),至少每一製程模組330於機器人坐標R、θ中的最初或粗略地點被提供至譬如該控制器11091。
如可被實現,該等製程模組330之最初地點可能不夠 精確供該轉移機器人314由製程模組330的基板固持地點331抓取基板S或將該基板S放置在製程模組330的基板固持地點331。譬如,由於該機器人復位夾具中之機械式容差、編碼器準確性、馬達/末端執行器柔量、手臂連桿長度、轉移機器人零組件及站零組件的熱膨脹(或收縮)之誤差係用於準確度/位置誤差的一些說明性促成因素。參考圖5A及5B,為補償該轉移機器人定位/坐標系及該製程模組330地點間之最初準確度/位置誤差,較小尺寸的基板(例如教導基板ST)被採用,以施行所揭示實施例之自動教導。譬如,該基板S具有第一尺寸(半徑R1),而該教導基板ST具有第二尺寸(半徑R2),在此半徑R2係比半徑R1較小達任何合適的數量,以致該教導基板ST係能夠藉由譬如該AWC站311之感測器311S1、311S2(或任何其它合適的感測器)而被偵測。於一態樣中,該基板S係300毫米晶圓,而該教導基板係200毫米晶圓,而在其他態樣中,該基板S及教導基板ST相對彼此具有任何合適之尺寸。如可在圖5A及5B中被看見,比於該基板S及該製程模組330的表面RS1、RS2、RS3之間(看圖5A),較大間隙被提供於該教導基板ST及譬如該製程模組330的壁面/表面RS1、RS2、RS3之間(看圖5B)。如可被實現,該教導基板ST的較小尺寸允許(比較於該基板S)該轉移機器人314***該教導基板ST進入該製程模組330之工作空間領域,而沒有該教導基板ST及該等表面RS1、RS2、RS3間之干涉 (例如考慮上述該機器人坐標系及該製程模組地點間之準確性誤差),該干涉譬如由於藉由該較小的教導基板ST所提供之較大的間隙。
現在參考圖6及7,基板固持站之自動(例如沒有操作員介入)定位或教導將按照所揭示實施例的態樣被敘述。為施行基板固持站之自動定位,該教導基板ST大體上被定心在該末端執行器上的地點C2,其中心地點係藉由該轉移機器人314之地點C1、314E所表示、以譬如該AWC站311(或任何另一合適的對齊站)(圖9,方塊900)。於一些態樣中,最初之偏置可於C1及C2地點之間被造成。於一態樣中,該地點C1大體上對應於譬如預定點(諸如中心或包括偏置的任何另一合適的地點),其與該末端執行器314E之教導基板(ST)的中心C2具有已知關係,該末端執行器在該轉移機器人坐標系R、θ中具有坐標Reeθ ee(例如轉移機器人參考系)。於一態樣中,該等坐標Reeθ ee係由轉移機器人編碼器反饋所獲得,而在其他態樣中,該等坐標Reeθ ee係以任何合適之方式獲得。於其他態樣中,相對於該教導基板ST及該末端執行器314E間之對齊,所有需要者係將該教導基板ST之配置在該末端執行器314E上,以致該教導基板ST係在該等AWC感測器311S1、311S2的偵測範圍內(或在任何另一合適之對齊站的感測器之範圍內)。該等AWC感測器的位置可為先前已知,或該轉移機器人能以該教導基板施行一基準線通過,以建立一參考基準面 (AWC感測器)位置。
該製程模組330之參考表面RS1-RS5的一或多個之地點被識別,用於決定該製程模組的基板固持地點331之地點(圖9,方塊910)。如可被實現,該等參考表面RS1-RS5的每一者相對該基板固持地點331具有一已知地點(例如由CAD模型或當作內建測量方法),其允許用於該等基板固持地點坐標Xstn、Ystn之決定。譬如,如果任何非平行對的參考表面RS1-RS5之地點及/或方位係已知,則該基板固持地點331坐標Xstn、Ystn的地點可相對該非平行對參考表面RS1-RS5被決定。於該一或多個參考表面RS1-RS5之識別中,該轉移機器人314譬如相對該非平行對參考表面的第一表面、諸如參考表面RS1移動該教導基板ST,以便在該教導基板ST及該第一參考表面RS1之間造成小的機械式干涉(圖9,方塊915)。此小的機械式干涉係藉由諸如以控制器11091指示該轉移手臂314所施行,以用此一致使該教導基板ST輕微地輕碰(例如在減少之速度或以便使衝擊力減至最小)的方式移動該末端執行器、或以別的方式嚙合該第一參考表面RS1。在此,該教導基板ST係藉由該參考表面RS1所偏向,以便相對該末端執行器314E移動,該教導基板ST被載送在該末端執行器上,以在地點C1及該結果的地點C2(例如,該教導基板ST的中心在該偏向移動之後的地點-接觸點)之間產生一差異,該地點C2在該轉移機器人坐標系R、θ中具有坐標Rwθ w
如可被實現,在藉由該參考表面RS1所偏向之後,該教導基板ST相對該末端執行器坐標Reeθ ee的地點C2係未由該轉移機器人編碼器反饋得知。如此,偏心率向量e=(Ree,θ ee)-(Rw,θ w)係藉由譬如將該基板移動至該AWC站311或任何另一合適之對齊站所測量(圖9,方塊920)。如可被實現,該教導基板ST在該第二地點或接觸點C2的地點(Rw,θ w)係已知為(Rw,θ w)=(Ree,θ ee)-e。於一態樣中,其被決定該參考表面RS1及該教導基板ST之間是否造成接觸(圖9,方塊925)。譬如,在教導基板與該參考表面RS1接觸之前的偏心率向量ebf及在教導基板與該參考表面RS1接觸之後的偏心率向量eaf之間作一比較。於一態樣中,當該教導基板ST大體上被定心在該末端執行器314E上時,該偏心率向量ebf被測量(看圖9,方塊900),而於其他態樣中,在該教導基板ST接觸該參考表面RS1之前,該偏心率ebf係在任何合適的時間被測量。如可被實現,該偏心率向量eaf係在意圖造成教導基板ST及該參考表面RS1之間的接觸之後被測量。偵測接觸的條件被界定為(eaf-ebf)>容差,在此該容差係該末端執行器314E上之可接受的預定偏心率測量容差及/或誤差(或任何另一合適之基板)。如果偵測接觸的條件未被滿足,在該相同地點Reeθ ee建立該教導基板ST及該相同參考表面RS1間之接觸的另一企圖被施行(看圖9,方塊915-925),且持續被重複,直至在該地點Reeθ ee建立接觸。一旦接觸被建立,在該 點Reeθ ee,該參考表面RS1之地點係基於譬如該教導基板的已知直徑/半徑、該偏心率向量e、及該等末端執行器坐標Reeθ ee被決定(圖9,方塊930)。
如可被實現,為決定機器人坐標R、θ中之基板固持地點331的坐標Xstn、Ystn,第二參考表面RS1-RS5之地點及/或方位係以大體上類似上述者的方式被決定(圖9,方塊900-930),在此該第二參考表面RS1-RS5被導向,以橫越或相交(例如大體上垂直)於該第一參考表面RS1-RS5。當作一範例,於該上面之情景中,該第一參考表面係參考表面RS1,其允許該等參考表面RS2、RS4、RS5的一或多個具有該第二參考表面之作用。決定二相交參考表面的地點提供站參考點SRP1、SRP2、SRP3、SRP4之地點(例如該二參考表面間之交點,其可為由該二參考表面的實際交點偏置(看參考線RL1、RL2、RL3、RL4、RL5)達一等於該教導基板ST半徑R2之數量),其與該基板固持地點Xstn、Ystn(在製程模組坐標中)具有一已知關係,使得該基板固持地點Xstn、Ystn的機器人坐標R、θ中之地點被以任何合適的方決定式(圖9,方塊940)。只用於示範之目的,視所決定之站參考點SRP1、SRP2、SRP3、SRP4而定,該增量距離△X、△Y(例如於該製程模組坐標系中,由該基板固持地點331及該等參考點SRP1-SRP4間之已知關係所決定,看圖8)被加至所決定的站參考點SRP1(XSRP12,YSRP14)、SRP2(XSRP12,YSRP23)、SRP3(XSRP34,YSRP23)、SRP4(XSRP34, YSRP14)之坐標、或由所決定的站參考點SRP1(XSRP12,YSRP14)、SRP2(XSRP12,YSRP23)、SRP3(XSRP34,YSRP23)、SRP4(XSRP34,YSRP14)之坐標減去。
如可被實現,於一態樣中,沿著共用參考表面的一或多個接觸點被使用於決定該共用參考表面之地點。現在參考圖8,該參考表面RS1係藉由識別二或多個接觸點C2A(具有坐標Rw,θ w)、C2B(具有坐標Rw1,θ w1)所決定。譬如,第一接觸點C2A係以大體上類似於上述者的方式相對於參考表面RS1被識別(圖10,方塊900-925),且至少一個第二接觸點C2B亦以大體上類似於上述者的方式相對於參考表面RS1被識別(圖10,方塊900-925)。一旦該二或多個接觸點C2A、C2B被建立,參考線或輪廓RL1係基於該二或多個接觸點C2A、C2B之坐標被計算,且該參考表面RS1的地點及方位被建立(圖10,方塊1000)。如可被實現,該等接觸點C2A、C2B建立個別之參考線或輪廓RL1,其大體上係平行於該參考表面RS1(其係共用於該等接觸點C2A、C2B)及由該參考表面RS1偏置(例如達大體上等於該教導基板ST的半徑R2之距離)。於一態樣中,該參考線或輪廓RL1係以最小二乘法擬合來計算,並使用大於2的測量樣本或以任何另一合適之方式。該參考表面RS1的地點及方位係以任何合適之方式由該參考線RL1的地點及方位與該教導基板ST之已知半徑R2所決定。
以類似於上述者的方式,第二參考表面RS1-RS5的 地點及方位(大體上垂直於該第一參考表面)被決定,以建立一或多個該等站參考點SRP1-SRP3。當作一範例,於上面情景中,該第一參考表面係參考表面RS1,其允許一或多個該等參考表面RS2、RS4、RS5用作該第二參考表面。當作一範例,該參考表面RS2係藉由識別二或多個接觸點C3A(具有坐標Rw2,θ w2)、C3B(具有坐標Rw3,θ w3)所決定。譬如,第一接觸點C3A係以大體上類似於上述者的方式相對於參考表面RS2被識別(圖10,方塊900-925),且至少一個第二接觸點C3B亦以大體上類似於上述者的方式相對於參考表面RS2被識別(圖10,方塊900-925)。一旦該二或多個接觸點C3A、C3B被建立,參考線或輪廓RL2係基於該二或多個接觸點C3A、C3B之坐標被計算,且該參考表面RS2的地點及方位被建立(圖10,方塊1000)。如可被實現,該等接觸點C3A、C3B建立個別之參考線或輪廓RL2,其大體上係平行於該參考表面RS2(其係共用於該等接觸點C3A、C3B)及由該參考表面RS2偏置(例如達大體上等於該教導基板ST的半徑R2之距離)。於一態樣中,該參考線或輪廓RL2係以最小二乘法擬合來計算,並使用大於2的測量樣本或以任何另一合適之方式。該參考表面RS2的地點及方位係以任何合適之方式由該參考線RL2的地點及方位與該教導基板ST之已知半徑R2所決定。
如上述,決定二垂直參考表面或參考線RL1-RL5的地點提供站參考點SRP1、SRP2、SRP3、SRP4之地點 (例如二參考表面間之交點,其可被由該二參考表面的實際交點偏置(例如看參考線RL1-RL2)達等於該教導基板ST半徑R2之數量),其與該基板固持地點Xstn、Ystn(在製程模組坐標中)具有一已知關係(例如由CAD模型或當作內建測量方法),使得機器人坐標R、θ中之基板固持地點Xstn、Ystn的地點係以任何合適之方式被決定(圖10,方塊940)。只用於示範之目的,視所決定之站參考點SRP1、SRP2、SRP3、SRP4而定,該增量距離△X、△Y(例如於該製程模組坐標系中,由該基板固持地點331及該等參考點SRP1-SRP4間之已知關係所決定)被加至所決定的站參考點SRP1(XSRP12,YSRP14)、SRP2(XSRP12,YSRP23)、SRP3(XSRP34,YSRP23)、SRP4(XSRP34,YSRP14)之坐標、或由所決定的站參考點SRP1(XSRP12,YSRP14)、SRP2(XSRP12,YSRP23)、SRP3(XSRP34,YSRP23)、SRP4(XSRP34,YSRP14)之坐標減去(看圖6A)。
如可被實現,該基板固持地點331於製程模組坐標X、Y中的所決定地點被以任何合適之方式轉換至轉移機器人坐標R、θ。譬如,該等站參考點SRP1-SRP4的每一者之地點在製程模組坐標中係由譬如該處理工具390的CAD模型已知。如此,該基板固持地點Xstn、Ystn之地點係相對該等站參考點SRP1-SRP4的每一者已知。該等參考線RL1-RL5(及該等對應之站參考點SRP1-SRP4)允許用於該轉移機器人坐標系R、θ(及該工具坐標系)與 該教導基板半徑R2所給與的製程模組坐標系X、Y間之轉換的識別。
於一態樣中,二或更多個參考表面之地點被決定及比較,以決定(例如具有側面參考表面RS3的側面參考表面RS1、具有後面參考表面RS2之前面參考表面RS4及/或RS5、及/或具有前面參考表面RS5的前面參考表面RS4之)平行性、及/或(例如具有前面參考表面RS4、RS5及後面參考表面RS2的一或多個之側面參考表面RS1及/或RS3的)參考表面之垂直性。此外,二或多個參考表面的地點決定提供用於該基板固持地點331之驗證/確認。譬如,該基板固持地點331的地點係如上述在第一計算/決定中由參考表面RS1及RS2所決定,且於第二計算/決定中係藉由決定該基板固持地點331的地點而被證實,譬如以大體上類似於上述之方式使用參考表面RS3及RS5。如將在下面被敘述,於一態樣中,該第一及第二計算/決定的結果被併入或以別的方式平均,以基於該等參考表面及該基板固持地點331間之已知的尺寸關係(或其他合適之站部件),界定該基板固持地點331之地點(或該製程模組330的任何其他合適之站部件)。
如可被實現,該製程模組330的參考表面在此中被敘述為該製程模組330之側面、前面、及後壁,然而,於其他態樣中,該等參考表面係該等壁面的教導部件、諸如輪廓式位置確定性部件RS1F1、RS1F2、RS2F1、RS2F2、RS3F1、RS3F2等(例如看圖5B-諸如一或多個形狀或在 壁面上、一或多個栓銷、一或多個突出部份等),其當譬如該教導基板ST輕碰該輪廓式位置確定性部件時造成確定性的偏心率向量e。譬如,參考圖5C,該製程模組330之壁面330W(其可為側壁、前壁或後壁)被塑形,以便提供輪廓式位置確定性(例如與在尺寸上已知的基板接觸相對輪廓式部件產生確定性位置)部件F1、F2,該等部件係呈一或多對突出部份之形式。用於示範目的,圖5D說明該壁面330W具有輪廓式位置確定性部件F1、F2,其係呈一或多個栓銷耦接件之形式(例如二栓銷形成每一部件F1、F2)。如可被實現,該等輪廓式位置確定性部件F1、F2係相對於它們坐落於其上的330W壁面而在已知位置中、及/或於具有該基板固持地點331之已知地點中。如此,當該教導基板ST被帶入與一或多個輪廓式位置確定性部件F1、F2接觸時(以大體上係類似於上述者的方式),該偏心率向量e將視該教導基板ST及該輪廓式位置確定性部件F1、F2間之接觸點而定來改變。譬如,當該教導基板輕碰該等輪廓式位置確定性部件F1、F2時,該偏心率向量e1、e2係於藉由譬如該AWC站311所測量的方向中。該偏心率向量e1、e2被使用於相對該教導基板ST及該壁面330W決定該末端執行器之地點,用於如在此中所敘述地決定該基板固持地點331的地點。如可被實現,於一態樣中,該等輪廓式位置確定性部件F1、F2被整合於該製程模組330之組構(例如該等壁面330W的一部份)中或為該製程模組330的組構中所固有,或於其 他態樣中,該等輪廓式位置確定性部件被加至製程模組PM結構。如亦可被實現,該等輪廓式位置確定性部件F1、F2被定位,以便不妨礙基板S之轉移至該製程模組330及由該製程模組330轉移該基板S、或妨礙在該製程模組330內所施行的製程。
亦參考圖5E,該製程模組330之壁面被設計輪廓,以便界定非確定性的彎曲壁面或表面(例如該基板ST之半徑R2係比該壁面的半徑RW較小,使得該基板於接觸該壁面時之地點與該基板固持地點Xstn、Ystn缺乏一預定關係),在此每一彎曲壁面RS1’、RS2’、RS3’具有個別的半徑RW及中心點RSC,並用於示範目的只相對於壁面RS1’被顯示。每一中心點RSC相對於該基板固持地點Xstn、Ystn具有預定空間關係,使得當該中心點RSC被決定時,該基板固持地點Xstn、Ystn之地點亦被得知。於一態樣中,該中心點RSC係以大體上係類似於上述者的方式被決定,諸如藉由沿著一或多個壁面RS1’、RS2’、RS3’決定超過一點,以決定一或多個參考線RL1’、RL2’、RL3’(其例如係類似於圖6中之參考線RL1、RL2、RL3、RL4)。該等參考線RL1’、RL2’、RL3’具有對應於該等壁面RS1’、RS2’、RS3’的個別半徑RW之半徑RW’,且如此,該等參考線RL1’、RL2’、RL3’的中心點RSC’與該等壁面RS1’、RS2’、RS3’之個別中心點RSC具有一已知的預定關係。於一態樣中,因為每一彎曲壁面RS1’、RS2’、RS3’(及該個別參考線 RL1’、RL2’、RL3’)提供中心點RSC、RSC’,該中心點係與該基板固持地點Xstn、Ystn於一已知關係中,一旦用於該等壁面RS1’、RS2’、RS3’之其中一者的中心點(RSC或RSC’之任一者)被決定,該基板固持地點Xstn、Ystn的地點可被決定。於其他態樣中,用於隨後之壁面的中心點RSC、RSC’被決定,以譬如證實該基板固持地點Xstn、Ystn之地點。
現在參考圖11及11A-11B,示範自動教導計算將按照所揭示實施例的一或多個態樣被敘述。於在此中所敘述之示範自動教導計算中,該基板固持地點331將以該教導基板ST相對於參考表面RS1、RS2、RS3被決定,在此至少該基板固持地點的θ地點被決定,而不依賴該製程模組330之已知相關尺寸,在此該基板固持地點331的位置決定之結果被證實。如可在該等圖示中被看見,點R1θ 1及R2θ 2分別在與參考表面RS1及RS2的接觸點被說明該等晶圓中心地點。地點R1θ 1及R2θ 2能被決定,如藉由圖12中之方法所敘述。於一態樣中,該自動教導計算係被分成θ自動教導部份及徑向自動教導部份,在此末端執行器314E(例如看圖3)及因此教導基板ST(或任何另一合適的基板)動作被誘導(圖12,方塊1200),以故意地建立該教導基板ST及該參考表面間之接觸,藉此造成該教導基板ST相對該末端執行器314E滑動或以別的方式移動(圖12,方塊1210)。該基板固持地點331之角度地點θ ST的地點能藉由平均每一接觸點 之角度地點θ 1及θ 2而被決定(圖12,方塊1235),如於:
該基板固持地點331的徑向地點RSTN係使用θ STN被決定(圖12,方塊1240),在此該轉移機器人314被旋轉,以致該末端執行器之伸展/縮回的軸線係沿著對應於θ STN之方向,如可在圖11E中被看見,可基於該教導基板的半徑被決定。在此,末端執行器314E(及教導基板ST)動作係大致上於該X方向中被誘導,以故意地建立該教導基板ST及該參考表面RS3間之接觸,藉此造成該教導基板ST相對該末端執行器314E滑動或以別的方式被位移達一數量△1F。該教導基板ST及該參考表面RS3間之徑向接觸發生在R-△1F的徑向延伸處,在θ STN之伸展/縮回的角度,在此△1F係該教導基板ST相對該末端執行器314E的位移(如藉由AWC站311及/或藉由安裝在該末端執行器上之任何合適的感測器或任何另一偏心率測量方法(或許對準器)所決定),且在此R被選擇,以確保該教導基板ST與該參考表面RS3之接觸,以致該距離X的起點被決定。應注意的是於圖11A及11B中之點3(例如R3θ 3)對應於該地點(R-△1F)、θ STN。如此,該基板固持地點331的延伸地點RSTN係以該以下方程式基於該教導基板ST之半徑r被決定:R STN =X-r [2]
現在亦參考圖14A-14B,顯示有末端執行器1414E、1414E’的個別平面圖,諸如可被包括於該基板運送設備或該處理設備之機器人314(看圖3)中,如先前所述。如可被實現,該末端執行器1414E、1414E’可包括合適的夾頭1414C、1414C’(例如被動式易於抓握夾頭1414C,具有基板易於抓握部件1402-1406,其代表性範例被顯示在圖14A中)。按照另一態樣,該末端執行器1414E’可具有基板嚙合墊片1408-1412,其被設置成嚙合該等基板之背部,於生產期間藉由該機器人所處理,如在圖14B中所說明。按照所揭示實施例的一態樣,該教導基板ST具有執行器偏置部件,其將該教導基板ST定位在該末端執行器上,而具有一直立偏置或間隙GP被形成在該基板ST及該末端執行器1414E、1414E’的夾頭1414C、1414C’之間。這被說明在圖15A-15C中,其按照不同態樣顯示被安置在該末端執行器1414E、1414E’上的教導基板ST之個別視圖。該教導基板ST的底部(圖15A-15C說明該教導基板1502、1506、1510之不同代圖示)具有突出部份1504、1508、1512,其被建構來嚙合該末端執行器,及穩定地支撐在其上面的教導基板ST,而沒有該教導基板ST及該末端執行器的夾頭部件間之嚙合。這促進該教導基板及末端執行器間之滑移,未被該夾頭1414C、1414C’的基板夾持部件所限制。該教導基板之偏置部件或突出部份可被建構來使教導基板及末端執行器(例如圖15A-15B中的突出部份1504、1508、1512)間之嚙合力量/偏向(例 如摩擦)減至最小。如由圖15D-15E中所看見,顯示教導基板ST的底部立體圖,該等突出部件1516、1518可被適當地分佈在該教導基板上,以致抓握力量被平均地分佈在該等突出部份上,導致基板及末端執行器間之單一線性方向中的均勻滑移108。該突出部份可與該基板被一體地形成、或被增加至其上。於其他態樣中,該末端執行器上之夾頭可被修改,以轉化為具有該教導基板的嚙合部件。
現在參考圖16,站自動教導過程將按照本揭示內容之態樣被敘述。於一態樣中,至少二確定性站部件1610、1611係相對基板固持地點Xstn、Ystn位於已知關係中,以現場(in situ)對於如在此中所敘述的基板固持站、諸如處理工具1600之部份施行自動教導該基板固持地點Xstn、Ystn。於一態樣中,該處理工具1600的該部份係大體上類似於上述處理模組11030、PM。於此態樣中,處理工具1600之該部份包括二室1601、1602,在此每一室1601、1602包括對應於個別的基板固持地點之堆疊式基板固持支撐件1620A、1620B(例如在Xstn、Ystn位於彼此堆疊)。於其他態樣中,每一室1601、1602包括比二基板固持支撐件更多或較少的支撐件。於此態樣中,每一基板固持支撐件1620A、1620B係一分開式支撐件,在該室1601之一側面上具有一部份1620A1、1620B1及在該室1601的相向側面具有一部份1620A2、1620B2,在此一通道被設置於該等部份1620A1、1620A2及1620B1、1620B2之間,以譬如允許末端執行器通過該等部份 1620A1、1620A2及1620B1、1620B2之間。於其他態樣中,該等基板固持支撐件係連續式支撐件,並橫跨於該室1601、1602的相向側面之間。在此,該等基板固持支撐件1620A、1620B係邊緣抓握支撐件,被建構來抓握被放置在該等個別基板固持支撐件1620A、1620B上的基板之邊緣,而於其他態樣中,該等基板固持支撐件1620A、1620B的一或多個包括基板舉升栓銷、諸如圖15F中所說明之舉升栓銷1500-1502,用於支撐基板。
於一態樣中,該等確定性站部件1610、1611被連接至基板固持支撐件、諸如該最底部的基板固持支撐件1620B,且係位於基板轉移路徑之外面(例如用於由該等基板固持支撐件1620A、1620B抓取基板及將基板放置至該等基板固持支撐件1620A、1620B),同時係在基板運送設備的動作之範圍內,該基板運送設備由該等基板固持支撐件1620A、1620B抓取基板及將基板放置至該等基板固持支撐件1620A、1620B。於一態樣中,該等確定性站部件1610、1611係與該基板固持支撐件1620B一體地形成,而在其他態樣中,該等確定性站部件1610、1611被以任何合適的方式耦接至該基板固持支撐件。於一態樣中,該等確定性站部件1610、1611係可移去的,用於該等確定性站部件1610、1611之替換。亦參考圖16A-16D,該等確定性站部件1610、1611具有任何合適的形狀,當譬如藉由該基板S或教導基板ST所接觸時,其於已知地點中位在該基板S或教導基板ST之中心。譬如, 該等確定性站部件1610、1611確定性地界定與該等確定性站部件1610、1611接觸的基板S或教導基板ST之預定位置,該預定位置與(例如該基板固持站的)基板固持地點Xstn、Ystn具有一預定關係,且識別該基板固持地點Xstn、Ystn
於一態樣中,該等確定性站部件1610、1611為圓形栓銷,如於圖16A中所示,而於其他態樣中,如圖16B及16C中所示,該等確定性站部件1610A、1611A及1610B、1611B係不連續之彎曲接觸表面。於又其他態樣中,該等確定性站部件1610C形成連續的接觸表面,其被建構來在二點接觸該基板S或教導基板ST之邊緣,用於界定該基板S或教導基板ST的預定位置。該等確定性站部件1610、1611被放置(例如隔開)在該基板固持支撐件1620B上及/或被建構,以便接觸該基板S或教導基板ST之彎曲邊緣,在此該基板係相對基板運送設備的末端執行器314E、諸如轉移機器人314於一預定方位中,以致該基板S、或教導基板ST上之平坦部或凹口係譬如位在該等確定性站部件1610、1611之間。於其他態樣中,諸如在該等確定性站部件形成彎曲的表面之處,如在圖16B-16D中所示,該基板、諸如教導基板ST包括接觸該等彎曲表面的栓銷1650、1651,用於以與該等確定性站部件接觸之教導基板ST的栓銷1650、1651界定該教導基板ST之預定位置,該預定位置與該基板固持地點Xstn、Ystn(例如該基板固持站)具有一預定關係,且識別該基 板固持地點Xstn、Ystn
於另一態樣中,參考圖17A-17C,該等確定性站部件1610、1611被設置在對齊夾具1700上或與對齊夾具1700一體地形成,該對齊夾具能藉由譬如該基板轉移機器人314的末端執行器314E被由基板固持站抓取及被放置至基板固持站。於此態樣中,該對齊夾具藉由該基板轉移機器人314被運送至該基板固持站及由該基板固持站運送,同時維持該基板固持站(及該對齊夾具移動經過的任何轉移室-例如,該基板固持站之機架不需被打開供放置該對齊夾具,藉此將該基板固持站之內部暴露至譬如大氣環境)內之處理環境的完整性。於一態樣中,該對齊夾具1700譬如包括移動學上的對齊部件、諸如至少一凹槽1710及凹部1715,其相對該基板固持站將該對齊夾具1700定位及固定於預定位置中。譬如,於一態樣中,該基板固持站包括基板舉起栓銷、諸如圖15F中所說明之舉升栓銷1500-1502,基板被支撐在該等舉升栓銷上。該等舉升栓銷1500-1502的至少二者嚙合該至少一凹槽1710及凹部1715,用於移動學上地定位該對齊夾具1700。當作一範例,一舉升栓銷1500-1502嚙合該凹部1715,以將該對齊夾具固定於譬如該X及Y軸中,且至少另一舉升栓銷1500-1502嚙合該至少一凹槽1710,以於旋轉RT中固定該對齊夾具,以致該等確定性站部件1610、1611相對該基板固持站之基板固持地點Xstn、Ystn具有預定地點。
現在參考圖18A、18B、19及20,於一態樣中,如上述,該等確定性站部件1610、1611被設在基板固持站上或以別的方式固定至基板固持站。該等確定性站部件1610、1611被塑形,以確定性地界定與該等確定性站部件1610、1611接觸之基板S、ST的預定位置,該預定位置與該基板固持站之基板固持地點Xstn、Ystn具有一預定關係,且識別該基板固持站之基板固持地點Xstn、Ystn。於此態樣中,該基板固持地點Xstn、Ystn於現場對該基板固持站的教導係藉由該基板S、ST及該等確定性站部件1610、1611間之反覆接觸所施行(例如衝撞(bump)或碰觸(touch),在此該反覆接觸可被稱為撞觸(bump touch),而非經由一套基準部件(諸如該基板站之壁面,當被該基板S、ST所接觸時,其相對該基板固持地點Xstn、Ystn具有非獨特或大體上無限的解決方案)之決定。於此態樣中,相對於該基板固持地點Xstn、Ystn的位置之獨特的解決方案係與譬如該基板S、ST之形狀結合,由該等確定性站部件1610、1611的確定性特徵(例如角落、半徑等,如相對於譬如圖16A-16D所敘述)所代數地界定。
如上述,該等確定性站部件1610、1611係相對該基板固持站之基板固持地點Xstn、Ystn位在已知位置中。基板、諸如與該等確定性站部件1610、1611接觸的教導基板ST或基板S具有中心WC,其係離該等確定性站部件1610、1611之每一者有一段已知的距離。譬如,該基板 ST、S之中心WC係遠離該等確定性站部件1610、1611達一段距離RD(例如等於該基板ST、S之半徑)。因該距離RD係已知,且該等確定性站部件1610、1611的地點XP1、YP1及XP2、YP2間之關係是相對該基板固持地點Xstn、Ystn已知,該晶圓中心WC相對該基板固持地點Xstn、Ystn的地點係亦已知。於一態樣中,如將在下面被較詳細地敘述,諸如那些上述者之基板運送設備係藉由任何合適的控制器、諸如控制器11091所控制,以在該運送設備基板之至少一末端執行器上運送基板S、ST,以致該基板S、ST反覆地接近該等確定性站部件1610、1611,直至該基板S、ST接觸該等確定性站部件1610、1611兩者。於每一反覆執行中,該基板運送設備接近該等確定性站部件1610、1611,且該基板S、ST的偏心率e係以任何合適之方式被測量、諸如以被設置於該基板固持站中或環繞該基板固持站的自動晶圓定心感測器,該基板固持站諸如圖16中所說明之處理工具1600的一部份。於一態樣中,每一製程模組或站330、諸如該處理工具1600之一部份包括如上述的一或多個感測器311S1、311S2,用於偵測該基板S之前緣及後緣的一或多個,以施行自動晶圓定心,以致當該基板S、ST被移入及移出該製程模組或站330時,在每一站作自動晶圓定心測量。於其他態樣中,用於超過一個製程模組或站330,有一共用之自動晶圓定心、諸如自動晶圓定心(AWC)站311。自動晶圓定中心的合適範例能被發現於譬如美國專利第6990430、 7859685、7925378、7894657、8125652、8253948、8270702、8634633及8934706號以及2014年7月8日申請之美國專利申請案第14/325702號中,其全部以引用的方式併入本文中。於其他態樣中,任何合適之基板對準器可譬如被使用來決定該基板S、ST的偏心率、諸如旋轉式對準器,其被設置在該基板固持站內、或與該末端執行器314E為一體。
該反覆製程被重複,直至該晶圓偏心率e譬如聚合至在預定容差內之一值、諸如自動晶圓定心感測器測量/信號處理雜訊、或譬如約±150微米(例如該偏心率e於反覆執行之間抵達穩態或具有大體上未改變的共用偏心率,遭受該預定容差),在此來自與該等確定性站部件1610、1611反覆碰觸/接觸之偏心率e識別該基板固持地點Xstn、Ystn
於一態樣中,至少一基板S、ST大體上以類似於上述者的方式被定心在該轉移機器人314之末端執行器314E上的個別地點EC,諸如使站311或使自動晶圓定心感測器位在該處理工具1600之該部份或環繞該處理工具1600的該部份。於一態樣中,該轉移機器人314在至少一末端執行器314E上承載至少一基板S、ST,且反覆地移動該至少一基板朝該等確定性站部件1610、1611,如藉由圖20中之自動教導過程的階段1所說明(圖21,方塊2100)。於一態樣中,該基板轉移機器人314由一共用方向1816移動該至少一基板S、ST朝該等確定性站部件 1610、1611。於一態樣中,該共用方向1816係大體上直線路徑,而於其他態樣中,該共用方向1816係一彎曲路徑。在每一反覆執行之後,如上述,該至少一基板S、ST相對該末端執行器314E的偏心率e被測量(例如,以確認該基板相對譬如運送設備坐標系之偏心率)(圖21,方塊2105)。於一態樣中,在此由一反覆執行至下一反覆執行的偏心率未被改變被決定,諸如於與該等確定性站部件1610、1611的一或多個接觸之前,在該末端執行器314E上之先前定心地點,該基板S、ST可留在該末端執行器314E上。於其他態樣中,在此其係決定該偏心率已由一反覆執行至下一反覆執行被改變、諸如在與該等確定性站部件1610、1611的一或多個接觸之後,該基板S、ST可被以諸如上述的任何合適之方式重新定位在該末端執行器314E上,以致在每一反覆執行之起初,該基板的中心WC係大體上與末端執行器參考點EC(例如機器人位置)重合,以致該基板與該末端執行器314E係於一已知關係中。
用於每一者反覆移動,該基板轉移機器人314的地點、諸如該末端執行器參考點EC及/或該基板S、ST之地點被以任何合適之方式追蹤(圖20說明該基板中心WC的反覆地點)、諸如藉由至少被連接至該基板轉移機器人314之控制器11091。圖21的方塊2100、2105被重複,直至該等確定性站部件1610、1611之第一者被接觸(圖21,方塊2110),如藉由譬如偏心率e中的最初變 化所決定,該偏心率e中的最初變化係在由該自動教導過程之階段1過渡至階段2被反映在圖20中。應被了解在該基板S、ST接觸該等確定性站部件1610、1611的一或多個之後,當該末端執行器314E持續移動時,該偏心率e係於該末端執行器314E及該基板S、ST之間產生或誘發。該等確定性站部件1610、1611的第一者被反覆地接觸(圖21,方塊2115),且該基板S、ST之偏心率e在每一反覆執行被決定(圖21,方塊2120),直至該偏心率e譬如聚合至在預定範圍內、諸如約±150微米、或至在該自動晶圓定心感測器、諸如感測器311S1、311S2的測量/信號雜訊內。一旦該基板S、ST之所決定偏心率e係在該預定範圍內(例如該偏心率決定該共用偏心率),該基板被決定為與該等確定性站部件1610、1611兩者接觸(圖21,方塊2125)。應被了解雖然二確定性站部件在此中被敘述,於其他態樣中,可有超過二個確定性站部件被配置用於與該基板S、ST同時接觸。
參考圖18A及18B,該基板S、ST的中心WC及該基板轉移機器人314之位置EC的一或多個係於該運送設備坐標系中基於該共用偏心率被決定(圖21,方塊2130)。譬如,該晶圓WC之中心位置係大體上等於該機器人位置EC加上該偏心率e。如此,該基板運送設備參考點EC的定心位置Xc、Yc可由以下方程式被決定:(Xc,Yc)=(XEC,YEC)-(△X,△Y) [3]
在此△X、△Y係該共用偏心率,且Xec、Yec係該末 端執行器參考點EC於譬如該基板固持站的X、Y坐標系中之地點(看圖18A)。於一態樣中,該末端執行器參考點EC的位置能被以大體上類似於美國專利第7925378及6990430號中所敘述之方式來決定,其事先以引用的方式併入本文中。該末端執行器參考點EC對應於Xc、Yc之地點被以任何合適的方式轉換至轉移機器人坐標R、θ,用於決定該教導地點Rstnθ stn(對應於該基板固持地點Xstn、Ystn)(圖21,方塊2135),且因為在該等確定性站部件1610、1611及該站固持地點之間有一預定關係:(Rstnstn)=(Rc,θc)+(△R,△θ) [4]
在此Rc、θ c對應於該基板運送坐標系中的Xc、Yc,△R係該運送設備徑向延伸值RS2及RS1間之差值(例如△R=RS2-RS1),且△θ係該運送設備旋轉值θ S1及θ S2間之差值(例如△θ=θ S2-θ S1)。
雖然該站固持地點的自動教導係在上面相對於單一末端執行器被敘述,應被了解該上述自動站固持地點教導過程係可適用於具有多數個基板夾具之末端執行器,在此該多數個基板夾具共享一共用驅動軸。譬如,再次參考圖2E,每一末端執行器219E具有例如二基板夾具,其將基板S、ST固持於一並排配置中。該個別的關節式手臂219A、219B係藉由譬如控制器11091所控制,以便移動該等基板S、ST進入其個別處理站(其每一者在一態樣中係類似於那些上述者),以致每一基板S、ST於一共用方向中被反覆地移向該個別之確定性站部件1610、1611, 如上述,具有該運送設備基板的至少一共用驅動器。該偏心率e被追蹤用於藉由該末端執行器219E所固持之每一個別基板,且用於每一基板S、ST的站固持地點之地點係相對於圖21以大體上類似於上述者的方式被決定。
參考圖22A-22C,該基板固持站、諸如處理工具1600之部份的直立或Z坐標可被以大體上類似於在此中所敘述者之方式來決定或教導,在此該基板轉移機器人314係譬如藉由控制器11091所控制,以移移動該基板S、ST與該等確定性站部件1610、1611的一或多個或該基板站之一或多個舉升栓銷、諸如舉升栓銷1500-1502造成接觸,同時亦於該Z方向中移動該基板S、ST。於此態樣中,該基板S、ST被放置在該基板轉移機器人314的末端執行器314E上,以致該基板S、ST相對該末端執行器314E具有一已知關係(圖23,方塊2300)。於一態樣中,譬如,該基板轉移機器人314被控制,以於一組合之徑向R及Z軸移動中移動該基板S、ST,以致該基板S、ST接觸該等確定性站部件1610、1611的一或多個或該等舉升栓銷1500-1502之一或多個(圖23,方塊2305)。該基板轉移機器人314持續該組合的徑向R及Z軸移動,以相對該末端執行器誘發該基板S、ST(其係與該等確定性站部件1610、1611之一或多個或該等舉升栓銷1500-1502的一或多個接觸)之移動(圖23,方塊2310)。該基板S、ST係藉由基板轉移機器人314所舉升,以致該基板S、ST直立地行進通過該等確定性站部件1610、1611之一或多個 或該等舉升栓銷1500-1502的一或多個之頂部,在此點,該基板S、ST停止相對該末端執行器移動,因該末端執行器持續於該組合的徑向R及Z方向中移動(圖23,方塊2315)。該末端執行器314E及該基板S、ST之間沿著該徑向R方向的相對移動△RM(例如△RM=RM1-RM2)係以任何合適之方式被決定,諸如藉由上述的自動晶圓定心感測器,在此△RM被比較於該末端執行器314E之總徑向動作TRM,以決定所停止的基板S、ST在何處相對該末端執行器314E移動(圖23,方塊2320)。應注意的是該基板轉移機器人314末端執行器314E於該組合的徑向R及Z方向中之移動係藉由譬如該控制器11091所協調,使得該末端執行器314E的Z高度係已知用於該末端執行器314E之任何給定的徑向位置,以致該等確定性站部件1610、1611之一或多個或該等舉升栓銷1500-1502的一或多個之頂部的Z高度(及如此該基板固持站之教導高度),係由該總徑向移動TRM與該相對移動△RM間之差值所決(圖定23,方塊2325)。如可被實現,該基板固持站的Z坐標係相對於該基板固持站參考系被教導(例如其係與該基板固持站本身之部件的地點決定相依)。如此,該基板固持站之被教導的Z坐標之分辨率係與該手臂/末端執行器組構的實際建造差異無關。該實際建造差異之範例包括手臂下落或鬆垂,末端執行器位準、傾斜及/或扭轉。其被注意的是該實際建造差異係存在,且於該基板固持站X、Y、及Z坐標之教導期間大體上係恆定的及 被有效地抵消。
參考圖27A-27C,該基板固持站、諸如處理工具1600之部份的直立或Z坐標可被以大體上類似於在此中所敘述者的方式決定,在此該基板轉移機器人314係藉由譬如控制器11091所控制,以在該Z方向中之一或多個高度移動該基板S、ST至與該基板站之確定性站部件/舉升栓銷2710、2711(其可為大體上類似於上述的確定性站部件/舉升栓銷1710、1711、1500-1502、1610、1611)的一或多個造成接觸。於此態樣中,該基板S、ST包括大體上平坦之周邊表面STE,且該等確定性站部件2710、2711之自由端係錐形的(例如包括相對彼此成一角度之第一表面SS1及第二表面SS2)。於其他態樣中,該基板S、ST可包括設有某一輪廓或製成圓形的周邊表面,如於例如圖22A-22C中所示。該基板S、ST之周邊表面STE被建構,以致該基板S、ST在該基板上的預定、已知地點(例如該圓形的周邊表面之切線上的大體上平坦周邊表面STE之頂部或底部邊緣)接觸該等確定性站部件2710、2711。在該大體上平坦周邊表面STE及該圓形周邊表面STE接觸該等確定性站部件2710、2711之處中的差異被說明於存在於該控制器11091中或被該控制器11091所使用之演算法中,以決定該末端執行器314E的徑向位置R1、R2、R3、R4。藉由該控制器之登記、即其接觸及站地點(X、Y及Z)的登記係藉由以大體上類似於之前所敘述者的方式偵測該基板S、ST之後接觸偏心率所施行, 且如在下面進一步提及者。
於此態樣中,該基板S、ST以任何合適的方式被放置在該基板轉移機器人314之末端執行器314E上,以致該基板S、ST相對該末端執行器314E具有一已知關係(圖28,方塊2800)。於一態樣中,譬如,該基板轉移機器人314被控制,以在第一Z軸高度於徑向R移動中移動該基板S、ST(其譬如由該基板S、ST的已知位置、諸如所決定或已知站地點、或已知之基板地點、及用於已知的R距離、或如果最初未知者被解決而被啟動,以將該基板帶入與預定之確定性站部件2710造成接觸,造成所解析的基板偏心率決定接觸地點),以致該基板S、ST接觸該等確定性站部件2710、2711之一或多個(圖28,方塊2810)。該末端執行器314E的徑向延伸R1及高度Z1藉由譬如控制器11091被記錄(圖28,方塊2820),以施行該基板固持站高度Zs之決定,如將在下面被敘述者。該基板轉移機器人314被控制,以在另一變動的Z軸高度於徑向移動R1、R2、R3、R4中反覆地移動該基板S、ST,以致該基板S、ST接觸(如上述所決定)該等確定性站部件2710、2711之一或多個(圖28,方塊2810)。該末端執行器314E的徑向延伸R2及高度Z2藉由譬如控制器11091被記錄(圖28,方塊2820),以施行該基板固持站高度Zs之決定,如將在下面被敘述者。圖28的方塊2810-2820被反覆地重複,以便在該等確定性站部件2710、2711之一或多個的側表面SS1、SS2之每一者上建 立至少二點,以便能夠譬如使該控制器11091內插該等側表面SS1、SS2的地點及方位,並決定該等側表面SS1、SS2間之交點(圖28,方塊2830)。於一態樣中,該等側表面SS1、SS2間之交點係相對該基板固持站的參考坐標系(或任何另一合適之參考系、諸如該轉移機器人314的參考系)位在該交點高度Zf。應注意的是該交點高度Zf及該基板固持站教導高度Zs間之距離或高度L係已知的。
如可在圖27C中被看見,於圖28之方塊2810-2820中反覆地獲得的Z高度Z1-Z4及該徑向延伸位置R1-R4譬如被該控制器11091所使用,以內插該側表面SS1、SS2間之相交處的交點Rf、Zf之地點。該基板固持站的教導高度Zs係由Zs=Zf-L所決定(圖28,方塊2840),在此L係如上述之一已知值。
參考圖29A-29F,於另一態樣中,該等確定性站部件2910、2911(其可為大體上類似於上述的那些確定性站部件及/或舉升栓銷)具有大體上係平坦之自由端,而該基板S、ST的周邊表面STE包括相對彼此成一角度之第一表面SS1’及第二表面SS2’。在大體上類似於上述者的方式中,該基板S、ST以任何合適之方式被放置在該基板轉移機器人314的末端執行器314E上,以致該基板S、ST相對該末端執行器314E具有一已知關係(圖28,方塊2800)。於一態樣中,譬如,該基板轉移機器人314被控制,以在多重Z高度Zi於徑向R移動中移動該基板S、 ST,用於決定該基板固持站之教導高度Zs。譬如,該基板轉移機器人314被控制,以於徑向移動R1中在第一Z軸高度Z1移動該基板,以致該基板S、ST接觸該等確定性站部件2910、2911的一或多個(圖28,方塊2810)。該末端執行器314E之徑向延伸R1及高度Z1藉由譬如控制器11091被記錄(圖28,方塊2820),以施行該站高度Zs之決定,如將在下面被敘述。該基板轉移機器人314被控制,以於徑向移動R2中在另一Z軸高度Z2移動該基板S、ST,以致該基板S、ST接觸該等確定性站部件2910、2911的一或多個(圖28,方塊2810)。該末端執行器314E之徑向延伸R2及高度Z2藉由譬如控制器11091被記錄(圖28,方塊2820),以施行該站高度Zs的決定,如將在下面被敘述。圖28之方塊2810-2820被反覆地重複,以便在該基板S、ST的側表面SS1’、SS2’之每一者上建立至少二點,以便能夠譬如使該控制器11091內插該等側表面SS1’、SS2’的地點及方位,如在圖29F中所說明,並決定該等側表面SS1’、SS2’間之交點Rf、Zf(圖28,方塊2830)。於一態樣中,該等側表面SS1’、SS2’間之交點對應於該等確定性站部件2910、2911的高度Zf。如上述,該交點高度Zf及該基板固持站教導高度Zs間之距離或高度L係已知,使得該基板固持站的教導高度Zs可如上述相對於圖28之方塊2840被由Zs=Zf-L所決定。
現在參考圖30,可為大體上類似於那些上述確定性 站部件及/或舉升栓銷的一或多個確定性站部件3010、3011包括呈喇叭形之自由端(如相向於圖27A及27B中所說明的錐形自由端)。於此態樣中,該基板固持站之教導高度Zs係以大體上類似於上述者的方式相對於圖28被決定。譬如,該基板轉移機器人314被控制,以在各種Z高度徑向地移動該基板S、ST,以至少決定該等地點R1、Z1-R4、Z4(例如在該等確定性站部件3010、3011之每一表面SS1”、SS2”上的至少二點),以致該等表面SS1”、SS2”之交點Rf、Zf可藉由該控制器11091以任何合適的方式被內插或計算,在此該基板站教導高度Zs係如上述由Zs=Zf-L所決定。
如可被實現,該基板固持站之教導高度Zs可在該基板固持站的X、Y地點已被決定/教導之後被決定或被設立,而於其他態樣中,該基板固持站的教導高度Zs可於該基板固持站之X、Y地點的決定之前被決定。譬如,雖然該上面敘述使用一或多個確定性站部件(例如、諸如二確定性站部件)的教導高度Zs之決定,於其他態樣中,單一確定性站部件、諸如確定性站部件2711(或任何另一合適的確定性站部件或舉升栓銷)可被使用來建立該教導高度Zs。參考圖31A及31B,該基板S、ST被以任何合適之方式放置在該基板轉移機器人314的末端執行器314E上,以致該基板S、ST相對該末端執行器314E具有一已知關係(圖32,方塊3200)。於一態樣中,譬如,該基板轉移機器人314被控制,以在多重Z高度沿著弧形 R’移動該基板S、ST(例如、諸如藉由繞著該轉移機器人之手腕關節轉動該末端執行器或以任何另一合適的方式),用於決定該基板固持站之教導高度Zs。譬如,該基板轉移機器人314被控制,以在第一Z軸高度Z1沿著弧形R’移動該基板,以致該基板S、ST只接觸該等確定性站部件2711的其中一者(圖32,方塊3210)。該末端執行器314E之旋轉式移動R1’及高度Z1藉由譬如控制器11091被記錄(圖32,方塊3220),以施行該站高度Zs的決定,如將在下面被敘述。如可被實現,在記錄該旋轉式移動121’及高度Z1之後,該基板可被返回至該基板的旋轉式移動開始之位置(例如實際上用於該旋轉式移動的起始位置),以提供用於一基本地點或位置,由此測量該基板ST之旋轉式移動。該基板轉移機器人314被控制,以於旋轉式移動R2’中在另一Z軸高度Z2移動該基板S、ST,以致該基板S、ST只接觸該等確定性站部件2711的其中一者(圖32,方塊3210)。該末端執行器314E之旋轉式移動R2’及高度Z2藉由譬如控制器11091被記錄(圖32,方塊3220),以施行該站高度Zs的決定,如將在下面被敘述。圖32之方塊3210-3220被反覆地重複,以便在該等確定性站部件2711的側表面SS1、SS2之每一者上建立至少二點,以便能夠譬如使該控制器11091內插該等側表面SS1、SS2的地點及方位,如在圖31B中所說明,並決定該等側表面SS1、SS2間之交點Rf’、Zf(圖32,方塊3230)。於一態樣中,該等側表面SS1、SS2間 之交點對應於該等確定性站部件2711的高度Zf。如上述,該交點高度Zf及該基板固持站教導高度Zs間之距離或高度L係已知,使得該基板固持站的教導高度Zs可如上述相對於圖28之方塊2840被由Zs=Zf-L所決定(圖32,方塊3240)。
於一態樣中,在此中所敘述的站自動教導過程係在約200℃至約850℃之基板處理溫度施行。於其他態樣中,在此中所敘述的站自動教導過程係在低於約200℃或高於約850℃之溫度施行。於一態樣中,該轉移機器人314的末端執行器314E上之地點C1的位置被調整,以用任何合適之方式補償熱膨脹或收縮,用於在此中所敘述的站自動教導過程中決定該基板S、ST之偏心率。譬如,當該末端執行器314E移入及移出該基板處理模組/站時,任何合適的靜態偵測感測器、諸如被設置鄰接譬如任何合適之基板處理模組/站的感測器311S1、311S2偵測該基板S、ST之邊緣及/或該末端執行器314E的基準部件401、402(圖3)。來自該等感測器311S1、311S2而對應於該基板邊緣及/或末端執行器基準部件之偵測的信號被譬如控制器11091所接收,且該控制器11091控制該轉移機器人314,以基於該等感測器信號調整該末端執行器314E上之地點C1的位置,以大體上類似於2015年7月13日申請具有代理人案號第390P015253-US(-#)、發明名稱為“運作中之自動晶圓定心方法及設備”的美國臨時專利申請案第62/191,863號中所敘述之方式補償該轉移機 器人314之熱膨脹及/或收縮,其揭示內容係全部以引用的方式併入本文中。
於一態樣中,參考圖24A、24B及25,該基板固持地點Xstn、Ystn被以靜態或固定式感測器2410、2411而非上述該等接觸確定性站部件1610、1611所教導。於此態樣中,每一感測器2410、2411之地點與該基板固持地點Xstn、Ystn具有預定的空間關係。該晶圓S、ST之中心能使用該等以下方程式被以該等感測器2410、2411發現:
X3-X1=R cos(α SS -θ SS ) → X3=X1+R cos(α SS -θ SS ) [8]
Y3-Y1=Rsin(α SS -θ SS ) → Y3=Y1+R sin (α SS -θ SS ) [9]
以大體上類似於上述者的方式,該晶圓S、ST之中心WC、及該基板轉移機器人314的位置EC之一或多個被決定於該運送設備坐標系中。於一態樣中,該晶圓S、ST被定心在該末端執行器上,以致大體上於該晶圓中心WC及該末端執行器中心EC之間有零偏心率。於此態樣中,該晶圓S、ST藉由該末端執行器被移動朝該等確定性站部件,於此態樣中,該等確定性站部件係感測器2410、2411(圖26,方塊2600)。該晶圓S、ST係以該等感測器所感測(圖26,方塊2610),且該晶圓中心WC及該基板運送設備的位置之一或多個的決定(圖26,方塊 2620)。如可被實現,因為該等感測器2410、2411相對該基板固持地點Xstn、Ystn之地點係已知,且因為該晶圓中心WC係大體上與該末端執行器中心EC重合,該基板固持站相對該末端執行器中心EC的地點係亦已知,且被教導至該基板運送設備,在此感測該晶圓S、ST實施該末端執行器中心EC(亦即該基板運送設備的位置)相對該基板固持地點Xstn、Ystn之登記(圖26,方塊2630)。
於其他態樣中,在此於該晶圓S、ST及該末端執行器中心EC之間可有偏心率e。在此,例如,如上面相對於圖18A、18B及21所敘述該晶圓WC的中心位置係大體上等於該機器人位置EC加上該偏心率e。為發現該偏心率e及該末端執行器EC之中心,該個別的關節式手臂219A、219B係藉由譬如控制器11091所控制,以便移動該等基板S、ST進入其個別處理站(於一態樣中,該處理站的每一者係類似於上述者),以致如上述,以該基板運送設備之至少一共用驅動器,每一基板S、ST於共用方向中被反覆地移向該個別感測器2410、2411。用於藉由該末端執行器219E所固持之每一個別基板的偏心率e被追蹤,且用於每一基板S、ST之站固持地點的地點係以大體上類似於上面相對於圖21所敘述者之方式被決定,然而,該等接觸確定性站部件1610、1611被以該等非接觸式確定性站部件2410、2411所替換。譬如,當其被決定時,在此於該晶圓S、ST及該末端執行器中心EC之間大體上有零偏心率e,而該等感測器2410、2411大體上同 時地感測該晶圓S、ST,且該偏心率係在如上述的預定容差內(圖26,方塊2640)。
應被了解該前面之敘述僅只是所揭示實施例的態樣之說明。各種另外選擇及修改能被那些熟習此技術領域者所設計,而未由所揭示實施例的態樣脫離。據此,所揭示實施例之態樣係意欲涵括所有此等落在所附申請專利的範圍內之另外選擇、修改及差異。據此,按照所揭示實施例的態樣,上面段落中所敘述之特色的任何一或多個可被與該等上面段落中所敘述之特色的任何其他特色有利地結合,此一結合留在本發明之態樣的範圍內。再者,不同特色被陳述在彼此不同之申請專利範圍附屬項或獨立項的純粹事實未指示這些特色之結合不能被有利地使用,此一結合留在本發明的態樣之範圍內。
314‧‧‧轉移機器人
314E‧‧‧末端執行器
11091‧‧‧控制器
C1‧‧‧地點
C2‧‧‧地點
RS1‧‧‧參考表面
RS2‧‧‧參考表面
RS3‧‧‧參考表面
RS4‧‧‧參考表面
RS5‧‧‧參考表面
ST‧‧‧教導基板

Claims (33)

  1. 一種製程工具,包含:機架;基板運送器,被連接至該機架及具有被建構來支撐基板之末端執行器;及基板運送設備自動教導系統,用於自動教導基板站地點,該自動教導系統包括控制器,該控制器被建構來移動該基板運送器,以致該基板運送器使被支撐在該末端執行器上之該基板輕碰抵靠著基板站部件,造成該基板與該末端執行器間之偏心率中的變化,決定該偏心率中之變化,及至少基於該基板與該末端執行器間之該偏心率中的變化來決定該基板站地點。
  2. 如申請專利範圍第1項之製程工具,其中基板站地點係該基板站的Z地點。
  3. 如申請專利範圍第1項之製程工具,另包含基板定位單元,其被連接至該機架,且包括被連接至該機架的自動晶圓定心(AWC)單元。
  4. 如申請專利範圍第1項之製程工具,其中該基板站部件係位於在其中具有真空壓力環境的製程模組內側。
  5. 如申請專利範圍第4項之製程工具,其中該真空壓力環境係高度真空。
  6. 如申請專利範圍第4項之製程工具,其中該基板運送器於該真空壓力環境中使被支撐在該末端執行器上的 該基板偏向抵靠著基板站部件。
  7. 如申請專利範圍第1項之製程工具,其中該基板站部件係位於製程模組內,該製程模組係在用於處理基板的製程安全性之狀態中。
  8. 如申請專利範圍第1項之製程工具,其中該控制器包括嵌入式抓取/放置命令,以移動該基板運送器及使該基板偏向。
  9. 如申請專利範圍第1項之製程工具,其中該控制器包括嵌入式基板定位命令,以決定該基板偏心率。
  10. 一種用於基板站地點之現場自動教導的方法,包含:提供基板固持站上之確定性站部件,該等確定性站部件確定性地界定與該等確定性站部件接觸的基板之預定位置,該預定位置與該基板固持站具有預定關係及識別該基板固持站;經由該基板及至少一確定性站部件間之接觸,決定該基板的共用偏心率;及基於該共用偏心率來決定該基板固持站之教導地點。
  11. 一種用於自動教導基板固持地點的基板運送設備自動教導系統,包含:機架;基板固持站,被連接至該機架,且具有確定性站部件,其確定性地界定與該等確定性站部件接觸的基板之預定位置,該預定位置與該基板固持站具有預定關係及識別 該基板固持站;基板運送設備,被連接至該機架及被建構來移動該基板;及控制器,被建構來經由該基板及至少一確定性站部件間之接觸,決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
  12. 如申請專利範圍第11項之系統,其中該控制器另被建構來:藉由控制該基板運送設備而在該基板運送設備的坐標系中建立該確定性站部件之地點,以致該基板接觸該至少一確定性站部件及決定該基板的偏心率。
  13. 如申請專利範圍第12項之系統,其中該控制器另被建構來:在該至少一確定性站部件與該基板之間施行反覆的接觸,以確認該基板相對該坐標系之該偏心率,直至該偏心率中的變化決定該共用偏心率。
  14. 如申請專利範圍第13項之系統,其中該控制器被建構來施行該基板用於每一反覆接觸的該偏心率決定。
  15. 如申請專利範圍第13項之系統,其中該控制器被建構來基於用於每一反覆接觸的該偏心率來決定而施行該基板相對基板運送器之復位。
  16. 如申請專利範圍第12項之系統,其中該控制器 另被建構來:於該坐標系中,由該基板相對於該基板固持站的該預定位置、及該基板運送設備的中心位置來決定該基板固持站的該教導地點。
  17. 如申請專利範圍第11項之系統,其中該控制器另被建構來:基於該共用偏心率來決定該基板的該預定位置及該運送設備之中心位置。
  18. 如申請專利範圍第11項之系統,其中該控制器被建構來由共用方向施行該基板及至少一站部件間之接觸。
  19. 如申請專利範圍第11項之系統,其中該基板固持站的該教導地點被現場決定至該基板固持站。
  20. 如申請專利範圍第11項之系統,其中該共用偏心率係在晶圓感測器的信號雜訊內之偏心率,該晶圓感測器被建構來偵測該基板,用於決定該共用偏心率。
  21. 如申請專利範圍第11項之系統,其中該基板運送器包含:末端執行器,具有中心地點,該末端執行器被建構來固持該基板,及該控制器另被建構來決定該中心地點,以施行相對該中心地點決定該共用偏心率,在此該控制器被建構來調整該中心地點的地點,以補償該運送設備上之熱效應。
  22. 一種用於自動教導基板固持地點之基板運送設備 自動教導系統,包含:機架;站夾具,被連接至該機架及具有確定性站部件,該等確定性站部件確定性地界定基板與該等確定性站部件接觸的預定位置,該預定位置與該站夾具之該基板固持地點具有預定關係且識別該站夾具之該基板固持地點;及基板運送器,被建構來固持一教導基板;及控制器,被建構來移動該基板運送器,以致該教導基板相對該站部件於一共用方向中移動。
  23. 如申請專利範圍第22項之系統,其中該控制器被建構來決定該教導基板的該預定位置及該基板固持地點,在此該教導基板的該位置及該基板固持地點係藉由該教導基板與該確定性站部件間之接觸所施行。
  24. 一種用於自動教導基板固持地點之基板運送設備自動教導系統,包含:機架;基板固持站,被連接至該機架,該基板固持站具有確定性站部件,其確定性地界定基板與該等確定性站部件接觸的預定位置,該預定位置與該站夾具之該基板固持地點具有一預定關係及識別該站夾具之該基板固持地點;運送設備,被連接至該機架及被建構來固持該基板;及控制器,被建構來以該運送設備施行該基板之移動,在此該基板接 觸該等確定性站部件的至少一者,決定該基板相對該基板運送設備之共用偏心率,及基於該共用偏心率來決定該基板固持地點的位置。
  25. 如申請專利範圍第24項之系統,其中該控制器被建構來移動該運送設備,以致該基板接觸該等確定性站部件,造成該基板及末端執行器間之偏心率中的變化。
  26. 如申請專利範圍第24項之系統,其中該控制器被建構來移動該運送設備,以致該基板接觸該等確定性站部件,造成該基板及末端執行器間之偏心率中的變化。
  27. 一種用於基板站地點之現場自動教導的方法,包含:在基板固持站上提供確定性站部件,該等確定性站部件確定性地界定基板與該等確定性站部件互相作用之預定位置,該預定位置與該基板固持站具有一預定關係及識別該基板固持站;經由該基板及至少一確定性站部件間之相互作用來決定該基板的共用偏心率;及基於該共用偏心率來決定該基板固持站之教導地點。
  28. 一種用於自動教導基板固持地點之基板運送設備自動教導系統,包含:機架;基板固持站,被連接至該機架及具有確定性站部件, 其確定性地界定與該等確定性站部件界接(interfacing)的基板之預定位置,該預定位置與該基板固持站具有預定關係及識別該基板固持站;基板運送器,被連接至該機架及被建構來移動該基板;及控制器,被建構來經由該基板及至少一確定性站部件間之相互作用,決定該基板的共用偏心率;及基於該共用偏心率決定該基板固持站之教導地點。
  29. 如申請專利範圍第28項之系統,其中該控制器另被建構來藉由施行該至少一確定性站部件及該基板間之接觸,在運送設備坐標系中建立該等確定性站部件之地點,並決定該基板的偏心率。
  30. 如申請專利範圍第29項之系統,其中該控制器另被建構來在該至少一確定性站部件與該基板之間施行反覆的接觸,以確認該基板相對該運送設備坐標系之該偏心率,直至該偏心率中的變化決定該共用偏心率。
  31. 如申請專利範圍第29項之系統,其中該控制器另被建構來施行反覆地傳遞該基板通過該至少一確定性站部件,以確認該基板相對該運送設備坐標系之該偏心率,直至該偏心率中的變化決定該共用偏心率。
  32. 如申請專利範圍第28項之系統,其中感測該基板施行運送器末端執行器相對該基板固持地點的中心位 置,並固持該基板。
  33. 一種用於自動教導基板固持地點之基板運送設備自動教導系統,包含:基板固持夾具;及教導基板,該基板固持夾具及該教導基板結合地具有一組構,該組構相對於基板固持夾具Z教導地點係確定性的,該基板固持夾具Z教導地點係以撞觸而被施行;其中該基板固持夾具及該教導基板之組構以該基板固持夾具及教導基板間之接觸表面界定至少一部件,該至少一部件具有於Z方向及基板運送器的徑向延伸方向兩者中之預定差異,及經由該教導基板與該接觸表面間之接觸來決定該基板固持夾具Z教導地點的解析度。
TW104137024A 2014-11-10 2015-11-10 工具自動教導方法及設備 TWI710440B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201462077775P 2014-11-10 2014-11-10
US62/077,775 2014-11-10
US201462078345P 2014-11-11 2014-11-11
US62/078,345 2014-11-11
US201562191829P 2015-07-13 2015-07-13
US62/191,829 2015-07-13
US201562247647P 2015-10-28 2015-10-28
US62/247,647 2015-10-28

Publications (2)

Publication Number Publication Date
TW201634198A true TW201634198A (zh) 2016-10-01
TWI710440B TWI710440B (zh) 2020-11-21

Family

ID=55911510

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137024A TWI710440B (zh) 2014-11-10 2015-11-10 工具自動教導方法及設備

Country Status (7)

Country Link
US (5) US10002781B2 (zh)
EP (1) EP3218925B1 (zh)
JP (1) JP6833685B2 (zh)
KR (2) KR20230048568A (zh)
CN (1) CN107112266B (zh)
TW (1) TWI710440B (zh)
WO (1) WO2016077387A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742635B (zh) * 2020-04-27 2021-10-11 創博股份有限公司 教導位置與姿態的觸發與補償方法
TWI824772B (zh) * 2022-06-30 2023-12-01 大陸商西安奕斯偉材料科技股份有限公司 對機械手相對於承載空間取放矽片進行示教的系統及方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014105989A1 (en) * 2012-12-31 2014-07-03 Flir Systems, Inc. Wafer level packaging of microbolometer vacuum package assemblies
WO2016103292A1 (ja) * 2014-12-22 2016-06-30 川崎重工業株式会社 ロボットシステム及びエンドエフェクタの変形検出方法
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
JP6741538B2 (ja) * 2016-09-28 2020-08-19 川崎重工業株式会社 ロボット、ロボットの制御装置、及び、ロボットの位置教示方法
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP7002307B2 (ja) * 2017-11-30 2022-01-20 株式会社荏原製作所 基板搬送システム、基板処理装置、ハンド位置調整方法
CN110239819B (zh) * 2018-03-09 2020-10-23 创意电子股份有限公司 晶圆载运装置
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof
SG11202108522TA (en) 2019-02-08 2021-09-29 Yaskawa America Inc Through-beam auto teaching
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11031269B2 (en) * 2019-08-22 2021-06-08 Kawasaki Jukogyo Kabushiki Kaisha Substrate transport robot, substrate transport system, and substrate transport method
US12027400B2 (en) * 2020-05-26 2024-07-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US20220013383A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Substrate processing module and method of moving a workpiece
CN112820686A (zh) * 2021-03-09 2021-05-18 上海广川科技有限公司 晶圆示教装置及示教方法
CN113161268A (zh) * 2021-05-11 2021-07-23 杭州众硅电子科技有限公司 标定抛光头和装卸台位置的装置、抛光设备及标定方法
US11817724B2 (en) * 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
CN114753640B (zh) * 2022-04-01 2023-04-07 中联重科股份有限公司 臂架末端运动规划方法、装置、控制***及工程机械
DE102022213714A1 (de) 2022-12-15 2024-06-20 Carl Zeiss Smt Gmbh Austauschobjekt-Haltevorrichtung für ein EUV-Metrologiesystem, Verfahren zum Kalibrieren einer derartigen Austauschobjekt-Haltevorrichtung sowie EUV-Metrologiesystem mit einer derartigen Austauschobjekt-Haltevorrichtung

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US598194A (en) 1898-02-01 Cromwell o
JPS5235376Y2 (zh) 1972-05-04 1977-08-12
JPS5235376B2 (zh) 1973-07-19 1977-09-08
JPS51106166A (en) 1975-03-17 1976-09-20 Sankyo Seiki Seisakusho Kk Nenseiekijotaino chunyusochi
JPS541748Y2 (zh) 1975-06-18 1979-01-25
JPS5235376A (en) 1975-09-13 1977-03-17 Keiichi Hara Electric dust collector
JPS541748A (en) 1977-06-06 1979-01-08 Nissan Motor Co Ltd Clutch connection controller of automatic clutch
US4692876A (en) * 1984-10-12 1987-09-08 Hitachi, Ltd. Automatic freight stacking system
US5155423A (en) 1986-02-18 1992-10-13 Robotics Research Corporation Industrial robot with servo
US4973215A (en) 1986-02-18 1990-11-27 Robotics Research Corporation Industrial robot with servo
US4724322A (en) 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
JPH02146602A (ja) 1988-08-11 1990-06-05 Fanuc Ltd サーボモータにより駆動される被駆動体の衝突検出・停出方法
US5102280A (en) 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
WO1992005920A1 (en) 1990-09-27 1992-04-16 Genmark Automation Scanning end effector assembly
JPH05114804A (ja) 1991-08-16 1993-05-07 Tdk Corp 高周波フイルタ
JP3134481B2 (ja) 1991-03-29 2001-02-13 株式会社村田製作所 静磁波装置
JPH05235376A (ja) 1992-02-24 1993-09-10 Copal Electron Co Ltd 半導体圧力変換器
EP0597637B1 (en) 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JP3115147B2 (ja) 1993-03-12 2000-12-04 富士通株式会社 ロボット制御装置及びコントローラ
JP3184675B2 (ja) 1993-09-22 2001-07-09 株式会社東芝 微細パターンの測定装置
US6707528B1 (en) 1994-03-02 2004-03-16 Nikon Corporation Exposure apparatus having independent chambers and methods of making the same
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6121743A (en) 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US5789890A (en) 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5908283A (en) * 1996-11-26 1999-06-01 United Parcel Service Of Americia, Inc. Method and apparatus for palletizing packages of random size and weight
US6690185B1 (en) 1997-01-15 2004-02-10 Formfactor, Inc. Large contactor with multiple, aligned contactor units
US6213708B1 (en) 1997-03-12 2001-04-10 Advanced Micro Devices, Inc. System for sorting multiple semiconductor wafers
WO1999002996A2 (en) 1997-07-11 1999-01-21 Genmark Automation Multiple point position scanning system
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6022485A (en) 1997-10-17 2000-02-08 International Business Machines Corporation Method for controlled removal of material from a solid surface
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6085125A (en) 1998-05-11 2000-07-04 Genmark Automation, Inc. Prealigner and planarity teaching station
US6405101B1 (en) 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
IL143467A (en) 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
WO2000052646A2 (en) 1999-03-03 2000-09-08 Molecularware, Inc. A method and apparatus for automation of laboratory experimentation
US6075334A (en) 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
US6304051B1 (en) 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
US6242879B1 (en) 2000-03-13 2001-06-05 Berkeley Process Control, Inc. Touch calibration system for wafer transfer robot
US6850806B2 (en) 1999-04-16 2005-02-01 Siemens Energy & Automation, Inc. Method and apparatus for determining calibration options in a motion control system
US6474712B1 (en) 1999-05-15 2002-11-05 Applied Materials, Inc. Gripper for supporting substrate in a vertical orientation
WO2001006933A2 (en) 1999-07-26 2001-02-01 Regeneration Technologies, Inc. Suture anchor
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6478532B1 (en) 1999-11-30 2002-11-12 Asyst Technologies, Inc. Wafer orienting and reading mechanism
US6577923B1 (en) 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
US6228429B1 (en) 2000-02-01 2001-05-08 Intevac, Inc. Methods and apparatus for processing insulating substrates
US6327517B1 (en) 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6516244B1 (en) 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
TW559855B (en) 2000-09-06 2003-11-01 Olympus Optical Co Wafer transfer apparatus
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP2002178279A (ja) * 2000-12-12 2002-06-25 Ulvac Japan Ltd 基板搬送方法
TW493799U (en) 2000-12-15 2002-07-01 Mosel Vitelic Inc Teaching tool for a robot arm for wafer reaction ovens
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6865499B2 (en) 2001-04-26 2005-03-08 Siemens Energy & Automation, Inc. Method and apparatus for tuning compensation parameters in a motion control system associated with a mechanical member
US6859747B2 (en) 2001-04-26 2005-02-22 Siemens Energy & Automation, Inc. Method and apparatus for self-calibrating a motion control system
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
DE10141025B4 (de) 2001-08-22 2007-05-24 Infineon Technologies Ag Verfahren zum Testen von Wafern unter Verwendung eines Kalibrierwafers und zugehöriger Kalibriewafer
US6855858B2 (en) 2001-12-31 2005-02-15 Exxonmobil Chemical Patents Inc. Method of removing dimethyl ether from an olefin stream
US7058622B1 (en) 2001-12-26 2006-06-06 Tedesco Michael A Method, apparatus and system for screening database queries prior to submission to a database
US7054713B2 (en) 2002-01-07 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Calibration cassette pod for robot teaching and method of using
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
US7085622B2 (en) 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6831436B2 (en) 2002-04-22 2004-12-14 Jose Raul Gonzalez Modular hybrid multi-axis robot
US20030201170A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Apparatus and method for electropolishing a substrate in an electroplating cell
WO2003105192A2 (en) 2002-06-07 2003-12-18 Akrion, Llc Apparatus and method for cassette-less transfer of wafers
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6996456B2 (en) 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US6795786B2 (en) 2002-12-31 2004-09-21 Intel Corporation Robotic sensor calibration system
US7139641B2 (en) 2003-03-27 2006-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer protection system
EP1465011A1 (en) 2003-03-31 2004-10-06 ASML Netherlands B.V. Transfer apparatus for transferring an object and method of use thereof and lithographic projection apparatus comprising such a transfer apparatus
SG125948A1 (en) 2003-03-31 2006-10-30 Asml Netherlands Bv Supporting structure for use in a lithographic apparatus
US7397539B2 (en) 2003-03-31 2008-07-08 Asml Netherlands, B.V. Transfer apparatus for transferring an object, lithographic apparatus employing such a transfer apparatus, and method of use thereof
EP1694885A4 (en) 2003-04-18 2007-12-19 Applied Materials Inc GALVANIZATION SYSTEM WITH MULTIPLE CHEMISTRY
KR101015778B1 (ko) 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US6934606B1 (en) 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US7300082B2 (en) 2003-07-21 2007-11-27 Asyst Technologies, Inc. Active edge gripping and effector
US7039498B2 (en) 2003-07-23 2006-05-02 Newport Corporation Robot end effector position error correction using auto-teach methodology
US6914337B2 (en) 2003-11-04 2005-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Calibration wafer and kit
US7792350B2 (en) 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US7230702B2 (en) 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
DE102004017114B4 (de) 2004-04-07 2012-03-15 Integrated Dynamics Engineering Gmbh Vorrichtung zur Handhabung eines scheibenartigen Elements, insbesondere zur Handhabung eines Wafers
US20060005602A1 (en) 2004-07-06 2006-01-12 Zyvex Corporation Calibration for automated microassembly
US7637142B2 (en) 2004-06-25 2009-12-29 Zyvex Labs Calibration for automated microassembly
US7433759B2 (en) 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US20060046269A1 (en) 2004-09-02 2006-03-02 Thompson Allen C Methods and devices for processing chemical arrays
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7440091B2 (en) 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
JP4671724B2 (ja) 2005-03-16 2011-04-20 信越半導体株式会社 半導体ウェーハの保持用グリッパー及び保持方法並びに形状測定装置
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
CN102130033B (zh) 2005-07-08 2014-05-14 交叉自动控制公司 工件支撑结构及其使用设备
CN101305318B (zh) 2005-07-11 2011-07-06 布鲁克斯自动化公司 具有自动化对准的衬底输送设备
US20070080067A1 (en) 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7933685B1 (en) 2006-01-10 2011-04-26 National Semiconductor Corporation System and method for calibrating a wafer handling robot and a wafer cassette
JP4756367B2 (ja) * 2006-08-17 2011-08-24 株式会社ダイフク 物品収納設備
JP2008192840A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20080213076A1 (en) 2007-03-02 2008-09-04 Stephen Hanson Edge grip end effector
JP3134481U (ja) 2007-06-04 2007-08-16 三英貿易株式会社 抱き込み形状のぬいぐるみ
DE102007026299B4 (de) 2007-06-06 2018-08-16 Kuka Roboter Gmbh Industrieroboter und Verfahren zum Programmieren eines Industrieroboters
US20080302480A1 (en) 2007-06-07 2008-12-11 Berger Michael A Method and apparatus for using tapes to remove materials from substrate surfaces
JP2009054993A (ja) 2007-08-02 2009-03-12 Tokyo Electron Ltd 位置検出用治具
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
JP5235376B2 (ja) 2007-10-05 2013-07-10 川崎重工業株式会社 ロボットのターゲット位置検出装置
US20090110532A1 (en) 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
CA2711294C (en) 2008-01-10 2016-03-08 Parata Systems, Llc System and method for calibrating an automated materials handling system
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
NL1036673A1 (nl) 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8242730B2 (en) 2008-06-10 2012-08-14 Nichols Michael J Automated robot teach tool and method of use
WO2010004636A1 (ja) 2008-07-10 2010-01-14 川崎重工業株式会社 ロボット及びその教示方法
US8788087B2 (en) 2008-07-10 2014-07-22 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof
WO2010009048A2 (en) 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
JP5402284B2 (ja) 2008-12-18 2014-01-29 株式会社安川電機 基板搬送ロボット、基板搬送装置、半導体製造装置および基板搬送ロボットの干渉物回避方法
KR101117557B1 (ko) 2009-02-06 2012-03-05 김경순 벤딩형 체크밸브가 장착된 비닐봉투
CN102307538B (zh) 2009-02-09 2014-01-01 李道相 具有经过改良的植入结构的种植体夹具
DE102009011300B4 (de) * 2009-03-02 2022-08-11 Kuka Roboter Gmbh Beladung von Lademitteln mit Paketen mittels Manipulator
DE102009016811A1 (de) 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
TWI680928B (zh) * 2009-04-10 2020-01-01 美商辛波提克有限責任公司 垂直升降系統及在多層儲存結構往返運送空的貨箱單元之方法
CN101592924B (zh) 2009-06-30 2011-01-12 上海科勒电子科技有限公司 自动感应***
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP5557516B2 (ja) * 2009-12-09 2014-07-23 株式会社日立ハイテクノロジーズ 真空処理装置
US8692503B2 (en) 2009-12-18 2014-04-08 Varian Medical Systems, Inc. Homing and establishing reference frames for motion axes in radiation systems
WO2011077976A1 (ja) * 2009-12-24 2011-06-30 シャープ株式会社 基板搬送装置およびセンサ取り付け台
CN107017191B (zh) * 2010-02-17 2020-08-14 株式会社尼康 搬送装置、曝光装置、以及元件制造方法
JP5513930B2 (ja) * 2010-03-03 2014-06-04 デマティック アカウンティング サービシーズ ゲーエムベーハー 立体自動倉庫
US9037286B2 (en) * 2010-03-12 2015-05-19 Symbotic Llc Each pick
JP5570296B2 (ja) 2010-05-19 2014-08-13 キヤノンアネルバ株式会社 基板回転装置及び真空処理装置並びに成膜方法
US8731718B2 (en) 2010-10-22 2014-05-20 Lam Research Corporation Dual sensing end effector with single sensor
PL2450492T3 (pl) 2010-11-09 2019-09-30 Geberit International Ag System do mocowania artykułu sanitarnego
US8998554B2 (en) * 2010-12-15 2015-04-07 Symbotic Llc Multilevel vertical conveyor platform guides
US9187244B2 (en) * 2010-12-15 2015-11-17 Symbotic, LLC BOT payload alignment and sensing
US9561905B2 (en) * 2010-12-15 2017-02-07 Symbotic, LLC Autonomous transport vehicle
US20120191517A1 (en) * 2010-12-15 2012-07-26 Daffin Jr Mack Paul Prepaid virtual card
US9499338B2 (en) * 2010-12-15 2016-11-22 Symbotic, LLC Automated bot transfer arm drive system
US10822168B2 (en) * 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US20130173039A1 (en) 2012-01-04 2013-07-04 Seagate Technology Llc Methods and devices for determining a teaching point location using pressure measurements
JP5884624B2 (ja) * 2012-05-02 2016-03-15 東京エレクトロン株式会社 基板処理装置、調整方法及び記憶媒体
JP5529920B2 (ja) 2012-05-11 2014-06-25 川崎重工業株式会社 ロボットのターゲット位置検出装置、半導体装置およびターゲット位置検出方法
JP5401748B2 (ja) * 2012-08-30 2014-01-29 川崎重工業株式会社 ロボット及びその教示方法
US20140100999A1 (en) * 2012-10-04 2014-04-10 Amazon Technologies, Inc. Filling an order at an inventory pier
JP6148025B2 (ja) * 2013-02-04 2017-06-14 株式会社Screenホールディングス 受渡位置教示方法、受渡位置教示装置および基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742635B (zh) * 2020-04-27 2021-10-11 創博股份有限公司 教導位置與姿態的觸發與補償方法
TWI824772B (zh) * 2022-06-30 2023-12-01 大陸商西安奕斯偉材料科技股份有限公司 對機械手相對於承載空間取放矽片進行示教的系統及方法

Also Published As

Publication number Publication date
JP6833685B2 (ja) 2021-02-24
US20230215752A1 (en) 2023-07-06
EP3218925B1 (en) 2020-12-30
JP2017535957A (ja) 2017-11-30
US10381252B2 (en) 2019-08-13
CN107112266A (zh) 2017-08-29
US11908721B2 (en) 2024-02-20
KR20230048568A (ko) 2023-04-11
US20210098276A1 (en) 2021-04-01
EP3218925A1 (en) 2017-09-20
CN107112266B (zh) 2020-12-08
US20190371641A1 (en) 2019-12-05
US20190027389A1 (en) 2019-01-24
KR20170082615A (ko) 2017-07-14
TWI710440B (zh) 2020-11-21
US11469126B2 (en) 2022-10-11
US20160129586A1 (en) 2016-05-12
US10770325B2 (en) 2020-09-08
WO2016077387A1 (en) 2016-05-19
KR102516801B1 (ko) 2023-03-31
US10002781B2 (en) 2018-06-19

Similar Documents

Publication Publication Date Title
TWI710440B (zh) 工具自動教導方法及設備
US11776834B2 (en) On the fly automatic wafer centering method and apparatus
JP7486570B2 (ja) ロボット埋め込み型視覚装置
CN116097170B (zh) 用于机器人***的自动示教装置及其方法
TWI846916B (zh) 基板運輸設備及操作基板運輸設備的方法
TW202110602A (zh) 機器人內嵌之視覺設備