CN107112266B - 工具自动教导方法和设备 - Google Patents

工具自动教导方法和设备 Download PDF

Info

Publication number
CN107112266B
CN107112266B CN201580072914.1A CN201580072914A CN107112266B CN 107112266 B CN107112266 B CN 107112266B CN 201580072914 A CN201580072914 A CN 201580072914A CN 107112266 B CN107112266 B CN 107112266B
Authority
CN
China
Prior art keywords
substrate
station
eccentricity
teaching
deterministic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580072914.1A
Other languages
English (en)
Other versions
CN107112266A (zh
Inventor
J.T.莫拉
A.高利克
R.塞德普拉扎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Borucos automation USA Co.,Ltd.
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of CN107112266A publication Critical patent/CN107112266A/zh
Application granted granted Critical
Publication of CN107112266B publication Critical patent/CN107112266B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)
  • Numerical Control (AREA)
  • Manipulator (AREA)

Abstract

一种用于自动教导基板站位置的基板运输设备自动教导***,所述***包括:框架;基板运输器,所述基板运输器连接至所述框架,所述基板运输器具有被构造成支撑基板的末端执行器;以及控制器,所述控制器被构造成使所述基板运输器移动,使得所述基板运输器抵靠基板站部件偏压支撑在所述末端执行器上的所述基板,从而引起所述基板与所述末端执行器之间的偏心度的变化,确定所述偏心度的变化,并且至少基于所述基板与所述末端执行器之间的所述偏心度的变化来确定所述基板站位置。

Description

工具自动教导方法和设备
相关申请的交叉引用
本申请是2015年10月28日提交的美国临时申请第62/247,647号、2015年7月13日提交的美国临时专利申请第62/191,829号、2014年11月11日提交的美国临时专利申请第62/078,345号以及2014年11月10日提交的美国临时专利申请第62/077,775号的非临时申请案并且要求上述临时申请案的权益,上述申请案的全部公开内容通过引用的方式并入本文。
背景
1. 技术领域
示例性实施例一般涉及基板处理***,并且更具体地涉及基板处理***的部件的校准和同步。
2. 背景技术
基板处理设备通常能够在基板上执行多种处理。基板处理设备一般包括传送室和联接至该传送室的一个或多个处理模块。传送室内的基板运输机器人在处理模块之间移动基板,在处理模块处执行诸如溅射、蚀刻、涂覆、浸渍等的不同操作。例如,由半导体器件制造商和材料生产商使用的生产工艺往往要求将基板精确地定位在基板处理设备中。
一般通过将处理模块的位置教导给基板运输机器人来提供基板的精确位置。一般而言,对基板运输机器人的教导包括:使用添加到基板处理设备的专用教导传感器,利用由基板运输机器人承载的仪表化基板(例如,机载传感器或摄像头),利用置于基板处理设备的处理模块或者其它基板保持站内的可移除夹具,利用位于处理模块内或者在处理模块处可从外部触及的晶片定中传感器,利用设置在处理模块外部的传感器(例如,摄像头),或者通过将处理模块内的目标与基板运输机器人或者由基板运输机器人承载的物体相接触,来检测机器人和/或由机器人承载的基板的位置。这些教导基板处理设备内的位置的方法可能会要求将传感器置于真空中,可能会要求改变客户处理设备和/或工装,可能不适合用在真空环境或者高温环境,可能要求将传感器目标、镜子或者夹具置于处理设备内,可能会破坏基板处理设备的真空环境,和/或可能会要求对嵌入在基板运输机器人的和/或处理***的控制器中的代码进行软件改变。
在不扰乱处理设备内的环境或者在不要求对基板处理设备进行另外的仪表化和/或修改的情况下将处理设备内的基板处理位置自动教导给基础运输机器人会是有利的。
附图说明
在结合附图的以下描述中解释了公开实施例的上述方面和其它特征,其中:
图1A至图1D是包含公开实施例的各个方面的基板处理设备的示意图;
图2A至图2E是根据公开实施例的各个方面的运输臂的示意图;
图3是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图4是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图5A至图5E是根据公开实施例的各个方面的基板处理设备的多个部分的示意图;
图6和图6A是根据公开实施例的各个方面的基板处理设备的一分的示意图;
图7是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图8是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图9和图10是根据公开实施例的各个方面的自动教导过程的流程图;
图11A和图11B是根据公开实施例的各个方面的用于确定站的示意图;
图12是根据公开实施例的各个方面的自动教导过程的流程图;
图13是图示了处理设备的一部分的不同构造的平面图;
图14A至图14B分别是具有不同构造的基板末端执行器(end effector)的示意平面图;
图15A至图15F是分别图示了根据公开实施例的各个方面的与末端执行器有关的教导基板的不同特征的示意性立视图和透视图;
图16是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图16A至图16D是根据公开实施例的各个方面的基板处理设备的多个部分的示意图;
图17A至图17C是根据公开实施例的各个方面的站自动教导夹具的示意图;
图18A和18B是根据公开实施例的各个方面的在基板与基板保持位置之间的确定性关系的示意图;
图19是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图20是根据公开实施例的各个方面的自动教导过程的曲线图;
图21是根据公开实施例的各个方面的自动教导过程的流程图;
图22A至图22C是根据公开实施例的各个方面的自动教导过程的示意图;
图23是根据公开实施例的各个方面的自动教导过程的流程图;
图24A至图24B是根据公开实施例的各个方面的在基板与基板保持位置之间的确定性关系的示意图;
图25是根据公开实施例的各个方面的基板处理设备的一部分的示意图;
图26是根据公开实施例的各个方面的自动教导过程的流程图;
图27A至图27C是根据公开实施例的各个方面的自动教导过程的示意图;
图28是根据公开实施例的各个方面的自动教导过程的流程图;
图29A至图29F是根据公开实施例的各个方面的自动教导过程的示意图;
图30是根据公开实施例的各个方面的用于自动教导过程的基板/教导基板和确定性站部件的示意图;
图31A和图31B是根据公开实施例的各个方面的自动教导过程的示意图;以及
图32是根据公开实施例的各个方面的自动教导过程的流程图。
具体实施方式
参照图1A至图1D,如本文中将进一步描述的,其示出了包含公开实施例的各个方面的基板处理设备或工具的示意图。尽管将参照附图来描述公开实施例的各个方面,但是应该理解的是,公开实施例的各个方面能够以许多形式实施。另外,可以使用任意合适的大小、形状或者类型的元件或者材料。
如下面将更详细地描述的,公开实施例的各个方面提供了基板处理设备的基板保持站的自动(例如,在没有操作者干预的情况下)定位,并且将基板保持站的位置教导给基板运输设备。当在本文中使用时,术语“基板保持站”是处理模块内的基板保持位置或者基板处理设备内的任意其它合适的基板保持位置,诸如例如,装载端口(或者保持在该装载端口上的基板盒体)、装载锁、缓冲站,等等。公开实施例的各个方面利用了在基板处理设备中采用的现有设备和装置,诸如,基板处理传感器。当在本文中使用时,基板处理传感器是有源晶片定中传感器(AWC)、基板对准器和/或在基板处理期间用于对准和/或定中基板的其它合适的基板偏心度(例如,相对于末端执行器上的预定的基板保持位置)检测单元。换言之,当使用根据公开实施例的各个方面的自动化教导时,例如在基板处理装置的初始购买/配置之后,客户基本上不产生额外的仪器成本。
也可以在基本上不对嵌入到基板运输设备和/或基板处理设备***控制器中的编程代码进行软件改变的情况下实施公开实施例的各个方面。例如,公开实施例的各个方面可以利用与基板运输设备相关联的现有命令,诸如,“抓取和放置”命令和/或“基板对准”命令。公开实施例的各个方面也能够与操作环境兼容,诸如,真空环境(以及大气环境,例如,惰性气体、过滤的洁净空气),因为没有电子部件(例如,电缆、印刷电路板等)定位在处理环境内。如可以实现的,在大气处理环境中,AWC中心可以位于大气处理环境内。因此,公开实施例的各个方面在基板运输设备的自动教导期间提供了降低的停机时间,而基本上不扰乱在基板处理设备内已经建立的处理环境(例如,真空或者大气)(例如,在自动教导过程期间,基板处理设备及其部件仍然保持密封或者与外部环境隔离。)
参照图1A和图1B,根据公开实施例的各个方面示出了处理设备,诸如例如,半导体工具站11090。尽管在附图中示出了半导体工具11090,但是本文中描述的公开实施例的各个方面能够应用于任意工具站或者采用机器人操作臂的应用。在该示例中,工具1090被示出为群集工具(cluster tool),然而,公开实施例的各个方面可以应用于任意合适的工具站,诸如例如,在图1C和图1D中示出并且在2013年3月19日提交的名称为“LinearlyDistributed Semiconductor Workpiece Processing Tool”的美国专利申请第8,398,355号中描述的线性工具站,该申请的全部内容通过引用的方式并入本文。工具站11090通常包括大气前端11000、真空装载锁11010和真空后端11020。在其它方面中,工具站可以具有任意合适的构造。前端11000、装载锁11010和后端11020中的每一个的部件可以连接至控制器11091,该控制器11091可以是任意合适的控制架构(诸如例如,群集架构控制器)的一部分。控制***可以是闭环控制器,该闭环控制器具有主控制器、群集控制器和自主远程控制器,诸如,在2011年3月8日公布的名称为“Scalable Motion Control System”的美国专利第7,904,182号中公开的控制器,该申请的全部内容通过引用的方式并入本文。在其它方面中,可以利用任意合适的控制器和/或控制***。控制器11091包括包含非暂时性程序代码的任意合适的存储器和(多个)处理器,该非暂时性程序代码用于操作本文中描述的处理设备以便实现基板处理设备的基板保持站的自动定位并且如本文中描述的将基板保持站的位置教导给基板运输设备的。例如,在一个方面中,控制器11091包括嵌入式抓取/放置命令(例如,用于基板运输设备以便使基板运输设备移动并且偏压或轻碰(taps)基板以便如本文中描述地产生偏心度)和/或嵌入式基板定位命令(例如,用于确定基板与基板运输设备的末端执行器之间的偏心度)。在一个方面中,控制器被构造成使基板运输器移动以使得基板运输器抵靠基板站部件偏压(或者轻碰)支撑在末端执行器上的基板(如下面将更详细地描述的),从而引起基板与末端执行器之间的偏心度的变化。控制器被构造成确定偏心度的变化,并且至少基于在基板与末端执行器之间的偏心度的变化来确定基板站位置。如可以实现的并且如在本文中描述的,在一个方面中,基板站位于内部,并且本文中描述的自动教导发生在具有真空压力环境的处理模块中。在一个方面中,真空压力是高真空。在一个方面中,本文中描述的自动教导发生在例如位于处理模块内的基板站部件内,该处理模块处于处理安全性状态(例如,用于处理基板)中。用于处理基板的处理安全性状态是处理模块的一种条件,其中,处理模块被密封在准备好将工艺真空或大气引入处理模块中的清洁状态中,或者密封在准备好将生产晶片引入处理模块中的状态中。
在一个方面中,前端11000通常包括装载端口模块11005和微环境11060,诸如例如,设备前端模块(EFEM)。装载端口模块11005可以是至工具标准(BOLTS)接口的开箱器/装载器,其符合用于300 mm装载端口、前部开口或者底部开口的箱体/舱体和盒体的SEMI标准E15.1、E47.1、E62、E19.5或者E1.9。在其它方面中,装载端口模块可以被构造为200 mm晶片或者450 mm晶片接口或者任意其它合适的基板接口,诸如例如,更大或更小的晶片或者用于平板显示器的平坦面板。经过在图1A中示出了两个装载端口模块11005,但是在其它方面中,可以将任意合适数量的装载端口模块包含到前端11000中。装载端口模块11005可以被构造成从高架运输***、自动导向车辆、人力导向车辆、轨道导向车辆或者从任意其它合适的运输方法接收基板载体或盒体11050。装载端口模块11005可以通过装载端口11040与微环境11060对接。在一个方面中,装载端口11040允许基板在基板盒体11050与微环境11060之间经过。
在一个方面中,微环境11060通常包括任意合适的转移机器人11013,转移机器人11013包含本文中描述的公开实施例的一个或多个方面。在一个方面中,机器人11013可以是轨道安装式机器人,诸如例如,在美国专利第6,002,840号中描述的轨道安装式机器人,该专利的全部内容通过引用的方式并入本文;或者在其它方面中,机器人11013可以是具有任意合适构造的任意其它合适的运输机器人。微环境11060可以提供受控的清洁区以用于在多个装载端口模块之间进行基板运输。
真空装载锁11010可以位于微环境11060与后端11020之间,并且连接至微环境11060和后端11020。要注意的是,当在本文中使用时,术语“真空”可以表示处理基板时的高真空,诸如,10-5托或以下。装载锁11010通常包括大气和真空槽阀。这些槽阀可以提供环境隔离,该环境隔离被用来在从大气前端装载基板之后抽空装载锁,并且在将诸如氮气的惰性气体排出该锁时维持运输室中的真空。在一个方面中,装载锁11010包括对准器11011,对准器11011用于将基板的基准点对准至期望的位置以用于处理。在其它方面中,真空装载锁可以位于处理设备的任意合适位置处,并且具有任意合适的构造和/或测量设备。
真空后端11020通常包括运输室11025、一个或多个处理站或模块11030以及任意合适的传送机器人或设备11014。传送机器人11014将在下文中被描述,并且可以位于运输室11025内以便在装载锁11010与各处理站11030之间运输基板。处理站11030可以通过各种沉积、蚀刻或者其它类型的工艺在基板上操作以便在基板上形成电路或其它期望的结构。典型的工艺包括但不限于:使用真空的薄膜工艺(诸如,等离子体蚀刻或其它蚀刻工艺)、化学气相沉积(CVD)、等离子体气相沉积(PVD)、注入法(诸如,离子注入)、测量技术、快速热处理(RTP)、干式剥离原子层沉积(ALD)、氧化/扩散、氮化物的形成、真空光刻、外延(EPI)、引线键合与蒸发或者其它使用真空压力的薄膜工艺。处理站11030连接至运输室11025以便允许基板从运输室11025传递至处理站11030或者从处理站11030传递至运输室11025。在一个方面中,装载端口模块11005和装载端口11040基本上直接联接至真空后端11020,使得安装在装载端口上的盒体11050与运输室11025的真空环境和/或处理模块11030的处理真空(例如,处理真空和/或真空环境在处理模块11030与盒体11050之间延伸,并且是处理模块11030与盒体11050之间共有的)基本上直接对接(例如,在一个方面中,至少省略了微环境11060,而在其它方面中,还省略了真空装载锁11010以使得盒体11050以类似于真空装载锁11010的方式泵吸至真空)。
现在参照图1C,其示出了线性基板处理***2010的示意平面图,其中,工具接口区段2012安装至运输室模块3018以使得接口区段2012大体上面向(例如,面向内)运输室3018的纵向轴线X但是偏离运输室3018的纵向轴线X。通过将其它运输室模块3018A、3018I、3018J附接至接口2050、2060、2070,可以使运输室模块3018在任意合适方向上延伸,如在之前通过引用的方式并入本文的美国专利第8,398,533号中所述。每个运输室模块3018、3018A、3018I、3018J分别包括任意合适的基板运输器2080,该基板运输器2080可以包括本文中描述的公开实施例的一个或多个方面,以用于在整个处理***2010中运输基板,并且例如将基板运输到处理模块PM中和使基板离开处理模块PM(在一个方面中,处理模块PM基本上类似于上面描述的处理模块11030)。如可以意识到的,每个室模块可能能够保持隔离的或受控的大气(例如,N2、清洁空气、真空)。
参照图1D,其示出了示例性处理工具410的示意立视图,诸如可以沿线性运输室416的纵向轴线X获取。在图1D所示的公开实施例的方面中,工具接口区段12可以代表性地连接至运输室416。在该方面中,接口区段12可以限定工具运输室416的一端。如图1D所示,例如,在与接口区段12相对的一端上,运输室416可以具有另一工件入口/出口站412。在其它方面中,可以设置用于将工件***/移出运输室的其它入口/出口站。在一个方面中,接口区段12和入口/出口站412可以允许从工具装载和卸载工件。在其它方面中,工件可以从一端装载至工具中,并且从另一端从工具中移除。在一个方面中,运输室416可以具有一个或多个运输室模块18B、18i。每个室模块可能能够保持隔离的或者受控的大气(例如,N2、清洁空气、真空)。如前面提到的,图1D所示形成运输室416的运输室模块18B、18i、装载锁模块56A、56和工件站的构造/结构仅是示例性的,并且在其它方面中,运输室可以具有以任意期望的模块化结构设置的更多或更少的模块。在所示方面中,站412可以是装载锁。在其它方面中,装载锁模块可以位于端部入口/出口站(类似于站412)之间,或者邻接的运输室模块(类似于模块18i)可以被构造成作为装载锁操作。
如前面提到的,运输室模块18B、18i具有一个或多个相应的运输设备26B、26i,运输设备26B、26i可以包括位于其中的本文中描述的公开实施例的一个或多个方面。相应的运输室模块18B、18i的运输设备26B、26i可以配合以便在运输室中提供线性分布的工件运输***。在该方面中,运输设备26B(其可以基本上类似于图1A和图1B所示的群集工具的运输设备11013、11014)可以具有一般的SCARA臂构造(虽然在其它方面中,该运输臂可以具有任意其它期望的结构,诸如例如,图2B所示的线性滑动壁214或者具有任意合适的臂连杆机构的其它合适的臂)。例如,臂连杆机构的合适示例能够下述专利文件中找到:2009年8月25日公布的美国专利第7,578,649号、1998年8月18日公布的美国专利第5,794,487号、2011年5月24日公布的美国专利第7,946,800号、2002年11月26日公布的美国专利第6,485,250号、2011年2月22日公布的美国专利第7,891,935号、2013年4月16日公布的美国专利第8,419,341号、2011年11月10日提交的名称为“Dual Arm Robot”的美国专利申请第13/293,717号以及2013年9月5日提交的名称为“Linear Vacuum Robot with Z Motion andArticulated Arm”的美国专利申请第13/861,693号,这些专利文件的全部内容通过引用的方式并入本文。在公开实施例的各个方面中,至少一个传送臂可以源自于传统的SCARA(选择顺应性关节机器臂)类型的设计,其包括上臂、带驱动式前臂和带约束式末端执行器;或者源自于伸缩臂或者任意其它合适的臂设计。传送臂的合适示例可以在下述专利文件中找到:例如,2008年5月8日提交的名称为“Substrate Transport Apparatus with MultipleMovable Arms Utilizing a Mechanical Switch Mechanism”的美国专利申请第12/117,415号以及2010年1月19日公布的美国专利第7,648,327号,这些专利文件的全部内容通过引用的方式并入本文。传送臂的操作可以彼此独立(例如,每个臂的伸展/缩回独立于其它臂),可以通过空动(lost motion)开关来操作,或者可以以任意合适的方式可操作地链接以使得这些臂共用至少一个公共的驱动轴。在另外的其它方面中,运输臂可以具有任意其它期望的结构,诸如蛙腿臂(216)(图2A)构造、跳蛙臂217(图2D)构造、双对称臂218(图2C)构造等。在另一方面中,参照图2E,运输臂219至少包括第一和第二关节臂219A、219B,其中,每个臂219A、219B包括末端执行器219E,该末端执行器219E被构造成使至少两个基板S1、S2并排保持在公共传送平面中(末端执行器219E的每个基板保持位置共用公共驱动器以用于拾取并放置基板S1、S2),其中,基板S1、S2之间的间距DX对应于并排的基板保持位置之间的固定间距。运输臂的合适示例可以在下述专利文件中找到:2001年5月15日公布的美国专利第6,231,297号、1993年1月19日公布的第5,180,276号、2002年10月15日公布的第6,464,446 号、2001年5月1日公布的第6,222,319号、1995年9月5日公布的第5,447,409号、2009年8月25日公布的第7,578,649号、1998年8月18日公布的第5,794,487号、2011年5月24日公布的第7,946,800号、2002年11月26日公布的第6,465,250号、2011年2月22日公开的第7,891,935号,以及2011年11月10日提交的名称为“Dual Arm Robot”的美国专利申请第13/293,717号和2011年10月11日提交的名称为“Coaxial Drive Vacuum Robot”的第13/270,844号,这些专利文件的全部内容通过引用的方式并入本文。
在图1D所示的公开实施例的方面中,运输设备26B的臂可以被设置成提供被称为快速交换结构的结构,从而允许运输器从拾取/放置位置快速地交换晶片,如下面将进一步详细描述的。运输臂26B可以具有任意合适的驱动区段(例如,同轴设置的驱动轴、并排的驱动轴、水平相邻的马达、竖直堆叠的马达,等等),以用于为每个臂提供任意合适数量的自由度(例如,为Z轴运动提供围绕肩部和肘关节的独立转动)。如图1D所示,在该方面中,模块56A、56、30i可以间隔地位于运输室模块18B、18i之间,并且可以限定合适的处理模块、(多个)装载锁LL、(多个)缓冲站、(多个)测量站或者(多个)任意其它期望的站。例如,间隔的模块(诸如,装载锁56A、56和工件站30i)的每一个可以具有静止的工件支撑件/搁架56S1、56S2、30S1、30S2,这些静止的工件支撑件/搁架56S1、56S2、30S1、30S2可以与运输臂配合以便实现沿着运输室的线性轴线X的通过运输室的长度的工件的运输。举例来说,可以通过接口区段12将(多个)工件装载到运输室416中。利用接口区段的运输臂15可以将(多个)工件定位在装载锁模块56A的(多个)支撑件上。在装载锁模块56A中的(多个)工件可以通过模块18B中的运输臂26B在装载锁模块56A与装载锁模块56之间移动,并且以类似且连续的方式,利用(模块18i中的)臂26i在装载锁56与工件站30i之间移动,并且利用模块18i中的臂26i在站30i与站412之间移动。这个过程可以整体或者部分地颠倒以便使(多个)工件在相反方向中移动。因此,在一个方面中,工件在沿X轴的任意方向上移动并且沿运输室移动至任意位置,并且可以被装载至与运输室连通的任意期望模块(处理模块或其它模块)并且从该期望模块卸载。在其它方面中,在运输室模块18B、18i之间可以不设置具有静止的工件支撑件或搁架的间隔的运输室模块。在这样的方面中,邻接的运输室模块的运输臂可以将工件从一个运输臂的末端执行器直接传递至另一运输臂的末端执行器,以便使工件移动通过运输室。处理站模块可以通过各种沉积、蚀刻或者其它类型的工艺在基板上操作以便在基板上形成电路或其它期望结构。处理站模块连接至运输室模块以便允许基板(在本文中术语“工件”和“基板”可以互换使用)从运输室经过至处理站,并且从处理站经过至运输室。在之前通过引用的方式全部并入本文的美国专利第8,398,355号中描述了具有与图1 D所示的处理设备类似的一般部件的处理工具的合适示例。
现在参照图3,其图示了任意合适的处理工具390的一部分的示意图。此处,处理工具390基本上类似于上面描述的一种或多种处理工具。此处,处理工具包括至少一个处理模块或者站330(其基本上类似于上面描述的处理模块11030、PM)和至少一个自动晶片定中(AWC)站311。在一个方面中,处理模块330是在处理工具390的真空环境内的位置;而在其它方面中,处理模块是在处理工具390的受控或隔离环境(例如,大气环境)内的位置。处理模块330包括或者以其他方式形成基板保持位置331。基板保持位置331位于相对于处理模块330的一个或多个部件的预定关系中或者位于处理工具390的任意其它合适的固定位置。在该方面中,为了示例性的目的,该固定位置对应于处理模块330的一个或多个参考表面RS1、RS2、RS3、RS4、RS5。此处,基板保持位置331被设置成离参考表面RS4、RS5一距离Xstn并且离参考表面RS3一距离Ystn。距离Xstn、Ystn表示处理模块坐标,其中,公开实施例的各个方面识别(或者以其它方式转换)这些处理模块坐标以便传送机器人坐标R,θ,使得通过传送机器人314(其基本上类似于上面描述的传送机器人中的一个或多个)将基板S(例如,生产基板)放置在基板保持位置331处。术语“基板”在本文中被用于描述目的并且能够具有任意构造。在某些方面中,基板是硅晶片或者通常是诸如用于制造的晶片工件。在一个方面中,当在本文中使用时,术语“基板”不是用于材料沉积(材料没有沉积在基板上)或者晶片制造的物品,诸如其中,基板是由金属、塑料、玻璃或者其它仪器基板等构成的非制造基板。在其它方面中,基板是假晶片(dummy wafer),诸如例如,碳纤维假晶片。在一个方面中,假晶片可以是具有类似于或者代表本文中描述的处理或教导晶片的构造的任意合适的成品。在其它方面中,基板被选择成在与参考表面接触时最小化微粒产生。如图13所示,教导基板(或者在教导期间表示制造晶片的非制造晶片式物品)可以选自许多不同的基板。如可以从图13中看到,图13图示了处于不同的示例性构造1302、1304、1306、1308、1310、1312的基板;在一些方面中,基板具有与生产基板不同的尺寸(例如,更小的直径)或者不同的形状。教导基板ST能够具有任意形状,诸如,圆形、方形、长方形、椭圆形、不规则形状等。在一些方面中,教导基板ST或者基板S包括一个或多个整体突出部p或者指状部以用于与工具的参考表面接触。在其他方面中,教导基板ST或者基板S可以被成形为以类似于图15F图示的基板1550的方式围绕一个或多个提升销1500-1502延伸,以便实现本文中描述的基板保持位置的自动晶片定中(AWC)和自动教导,其中,提升销1500-1502中的至少一个形成类似于本文中描述的确定性站部件1610、1611的确定性站部件。
该至少一个自动晶片定中(AWC)站311包括任意合适的传感器,例如,以用于确定基板S相对于例如传送机器人末端执行器314E的预定位置的至少一个偏心度。在一个方面中,至少一个AWC站311包括一个或多个传感器311S1、311S2以用于检测基板S(和/或如下面将描述的教导基板ST)的前缘和后缘中的一个或多个。一个或多个传感器311S1、311S2是任意合适的传感器,诸如例如,非接触式传感器(例如,光反射传感器、通过光束传感器、电容式传感器、感应式传感器或者任意其它合适的传感器)、摄像机和CCD阵列。如可以意识到的,虽然在图3中图示了一对(即,两个)传感器311S1、311S2,但是,在其它方面中,AWC站311包括相对于彼此和/或AWC站311的基板保持位置312以任意合适结构设置的任意合适数量的传感器。在其它方面中,至少一个AWC站311被构造成检测基板S的对准基准点,并且包括旋转卡盘RC,基板S(例如,通过末端执行器314E)放置在该旋转卡盘RC上以用于基板S相对于末端执行器314E的基准点的对准和/或重新定位。
现在参照图3和图4,其图示了示例性群集处理工具布局。该群集处理工具基本上类似于图1A和图1B所示的处理工具。虽然关于群集工具390描述了公开实施例的各个方面,但是应该理解的是,本文中描述的公开实施例的各个方面同样适用于图1C和图1D所示的线性工具。一般而言,例如,利用来自连接至(多个)驱动轴的传送机器人驱动器DR的合适的(多个)编码器ENC的反馈来确定末端执行器314E(例如,在机器人坐标R,θ中)的位置,该(多个)驱动轴控制臂连杆314L1、314L2中的一个或多个以及传送机器人314的末端执行器314E的运动。在其它方面中,利用设置在相对于传送机器人314的任意合适位置处的任意合适的编码器/传感器以任意合适的方式来确定末端执行器314E的位置。
为了示例性目的,至少处理模块330在其相对于传送机器人314的相应标称位置周围处(例如,如本文所述确定处理模块330的实际位置)连接至传送室11025。如可以意识到的,例如,至少处理模块330的标称位置从处理工具390的CAD(计算机辅助绘图)模块(或者其它合适的模块)中获知。在其它方面中,处理模块的位置从处理工具(或者其部件,诸如,处理模块330)的内置测量中获知。“机器人原位(robot home position)”(例如,传送机器人在预定角度θ下的完全缩回位置R,其中,R基本上等于0距离,而θ大体上等于0角度)在(多个)机器人臂314A与机器人驱动凸缘DF之间通常被限定具有机械复位夹具。驱动凸缘DF通常包括具有精确定位部件的机械接口MI,这些精确定位部件将机器人原位定位在相对于处理工具390的已知标称位置处。在其它方面中,以任意合适的方式限定机器人原位。这样一来,例如,基于标称位置(例如,从处理工具390的模型中获得的)向控制器11091提供至少每个处理模块330在机器人坐标R,θ中的初始位置或者粗略位置。
如可以意识到的,处理模块330的初始位置对于使传送机器人314从处理模块330的基板保持位置331抓取或放置基板S可能不够精确。例如,由于机器人复位夹具中的机械公差、编码器准确度、马达/末端执行器顺应性(compliance)、臂连杆长度、传送机器人部件与站部件的热膨胀(或收缩)引起的误差是准确度/位置误差的一些说明性贡献因素。参照图5A和图5B,为了补偿传送机器人定位/坐标***与处理模块330位置之间的初始准确度/位置误差,采用了较小尺寸的基板(例如,教导基板ST)以便实现公开实施例的自动教导。例如,基板S具有第一尺寸(半径R1),而教导基板ST具有第二尺寸(半径R2),其中,半径R2比半径R1小任意合适的量以使得教导基板ST能够由例如AWC站311的传感器311S1、311S2(或者任意其它合适的传感器)检测。在一个方面中,基板S为300 mm晶片,而教导基板为200 mm晶片;而在其它方面中,基板S和教导基板ST相对于彼此具有任意合适的尺寸。如在图5A和图5B中能够看出的是,例如,在教导基板ST与处理模块330的壁/表面RS1、RS2、RS3之间(见图5B)提供了比基板S与处理模块330的表面RS1、RS2、RS3之间的间隙(见图5A)更大的间隙。如可以意识到的,例如,由于较小教导基板ST提供的较大间隙,教导基板ST的较小尺寸(与基板S相比)允许传送机器人314将教导基板ST***处理模块330的工作空间区域中,而在教导基板ST与表面RS1、RS2、RS3之间没有干涉(例如,考虑到机器人坐标系与上面提及的处理模块之间的准确性误差)。
现在参照图6和图7,将根据公开实施例的各个方面对基板保持站的自动(例如,无操作员介入)定位或者教导进行描述。例如,为了实现教导基板ST的基板保持站的自动定位,通过具有例如AWC站311(或者任意其它合适的对准站)的传送机器人314的末端执行器314E(其中心位置由位置C1表示)上的位置C2来表示教导基板ST的中心(图9,方框900)。在一些方面中,在C1位置与C2位置之间可能引起初始偏移。在一个方面中,例如,位置C1基本上对应于末端执行器314E上的预定初始点(诸如,包括偏移的中心或者任意其它合适的位置),该预定初始点与末端执行器314E的教导基板(ST)的中心C2具有已知关系,该中心C2在传送机器人坐标系R,θ(例如,传送机器人参考系)中具有坐标Ree,θee。在一个方面中,坐标Ree,θee从传送机器人编码器反馈中获得;而在其它方面中,坐标Ree,θee以任意合适的方式获得。在其它方面中,关于教导基板ST与末端执行器314E之间的对准,只需将教导基板ST放置在末端执行器314E上以使得教导基板ST处于AWC传感器311S1、311S1的检测范围内(或者处于任意其它合适的对准站的传感器的范围内)。AWC传感器的位置可以是之前已知的,或者传送机器人可以利用教导基板进行基线传递以便建立参考基准(AWC传感器)位置。
处理模块330的参考表面RS1-RS5中的一个或多个的位置被识别以用于确定处理模块的基板保持位置331的定位(图9,方框910)。如可以意识到的,参考表面RS1-RS5中的每一个具有已知的(例如,从CAD模型或者竣工测量中获得)相对于基板保持位置331的位置,从而允许确定基板保持位置坐标Xstn,Ystn。例如,如果已知任意一对非平行参考表面RS1-RS5的位置和/或定向,那么可以确定基板保持位置331相对于该对非平行参考表面RS1-RS5的坐标Xstn,Ystn的位置。在一个或多个参考表面RS1-RS5的识别中,传送机器人314使教导基板ST相对于该对非平行参考表面中的第一参考表面(诸如例如,参考表面RS1)移动,以便在教导基板ST与第一参考平面RS1之间产生小的机械干涉(图9,方框915)。该小的机械干涉是通过(诸如利用控制器11091)指示传送臂314以便使教导基板ST轻碰(例如,以减小的速度或者为了最小化冲击力)或者以其他方式接合第一参考表面RS1的方式移动末端执行器。此处,教导基板ST被参考基板RS1偏压以便使教导基板ST相对于承载该教导基板ST的末端执行器314E移动,从而在位置C1与结果位置C2(例如,在偏压移动后的教导基板ST的中心的位置—接触点)之间产生差异,该结果位置C2在传送机器人坐标R,θ中具有坐标Rw,θw。
如可以意识到的,在被参考表面RS1偏压之后,教导基板ST相对于末端执行器坐标Ree,θee的位置C2不能从传送机器人编码器反馈中获知。这样一来,例如,通过使基板移动到AWC站311或者任意其它合适的对准站来测量偏心度向量e = (Ree, θee) – (Rw, θw)(图9,方框920)。如可以意识到的,教导基板ST在第二位置或者接触点C2(Rw,θw)处的位置已知为(Rw, θw) = (Ree, θee) – e。在一个方面中,确定在参考表面RS1与教导基板ST之间是否存在接触(图9,方框925)。例如,将教导基板接触参考表面RS1之前的偏心度向量ebf与教导基板接触参考表面RS1之后的偏心度向量eaf进行比较。在一个方面中,在教导基板ST基本上定中在末端执行器314上时对偏心度向量ebf进行测量(见图9,方框900);而在其它方面中,在教导基板ST接触参考表面RS1之前的任意合适的时间对偏心度ebf进行测量。如可以意识到的,在尝试使教导基板ST与参考表面RS1接触之前对偏心度向量eaf进行测量。检测接触的条件被限定为(eaf - ebf)>公差,其中,该公差是末端执行器314E上的可接受的预定偏心度测量公差/误差(或者任意其它合适的基板)。如果没有满足检测接触的条件,那么执行另一尝试以便在相同的位置Ree,θee处建立教导基板ST与同一参考表面RS1之间的接触(参见图9,方框915-925),并且继续重复该另一尝试直到在位置Ree,θee处建立接触。一旦建立了接触,则基于例如教导基板的已知直径/半径、偏心度向量e和末端执行器坐标Ree,θee而确定在点Ree,θee处的参考表面RS1的位置(图9,方框930)。
如可以意识到的,为了确定基板保持位置331在机器人坐标R,θ中的坐标Xstn,Ystn,以基本上类似于上面描述的方式确定第二参考表面RS1-RS5的位置和/或定向(图9,方框900-930),其中,第二参考表面RS1-RS5被定向为横越或者相交(例如,基本上垂直)于第一参考表面RS1-RS5。作为示例,在上面的情景中,第一参考表面是参考表面RS1,这允许参考表面RS2、RS4、RS5中的一个或多个用作第二参考表面。确定两个相交的参考表面的位置提供了站参考点SRP1、SRP2、SRP3、SRP4(例如,两个参考表面之间的交点,该交点可以偏离(见参考线RL1、RL2、RL3、RL4、RL5)这两个参考表面的实际交点一定的量,该一定的量等于教导基板ST半径R2)的位置,该位置与基板保持位置Xstn,Ystn(在处理模块坐标中)具有已知的关系,使得以任意合适的方式确定基板保持位置Xstn,Ystn在机器人坐标R,θ中的位置(图9,方框940)。仅出于示例性的目的,取决于确定的站参考点SRP1、SRP2、SRP3、SRP4,增量距离ΔX、ΔY(例如,在处理模块坐标系中,从基板保持位置331与参考点SRP1-SRP4之间的已知关系中确定,见图8)被加至确定的站参考点SRP1 (XSRP12,YSRP14)、SRP2 (XSRP12,YSRP23)、SRP3 (XSRP34,YSRP23)、SRP4 (XSRP34,YSRP14)的坐标,或者从该坐标中减去。
如可以意识到的,在一个方面中,沿公共参考表面的一个或多个接触点被用于确定公共参考表面的位置。现在参考图8,通过识别两个或更多个接触点C2A(具有坐标RWW)、C2B(具有坐标RW1W1)来确定参考表面RS1。例如,以基本上类似于上面描述的方式而相对于参考表面RS1识别第一接触点C2A(图10,方框900-925);并且同样以基本上类似于上面描述的方式而相对于参考表面RS1识别至少一个第二接触点C2B。一旦建立了两个或更多个接触点C2A、C2B,则基于这两个或更多个接触点C2A、C2B的坐标而计算参考线或轮廓RL1,并且建立参考表面RS1的位置和定向(图10,方框1000)。如可以意识到的,接触点C2A、C2B建立相应的参考线或轮廓RL1,该参考线或轮廓RL1基本上平行于参考表面RS1(其为接触点C2A、C2B所共用)并且偏离参考表面RS1(例如,偏离基本上等于教导基板ST的半径R2的距离)。在一个方面中,通过使用大于2的测量样本或者以任意其它合适的方式,利用最小二乘拟合法来计算参考线或者轮廓RL1。以任意合适的方式由参考线RL1的位置和定向以及教导基板ST的已知半径确定参考表面RS1的位置和定向。
以类似于上面描述的方式,第二参考表面RS1-RS5(基本上垂直于第一参考表面)的位置和定向被确定,以便建立站参考点SRP1-SRP3中的一个或多个。作为示例,在上面的情景中,第一参考表面是参考表面RS1,这允许参考表面RS2、RS4、RS5中的一个或多个用作第二参考表面。作为示例,通过识别两个或更多个接触点C3A(具有坐标RW2W2)、C3B(具有坐标RW3W3)来确定参考表面RS2。例如,以基本上类似于上面描述的方式,相对于参考表面RS2识别第一接触点S3A(图10,方框900-925);并且同样以基本上类似于上面描述的方式相对于参考表面RS2识别至少一个第二接触点C3B。一旦建立两个或更多个接触点C3A、C3B,则基于这两个或更多个接触点C3A、C3B的坐标计算参考线或者轮廓RL2,并且建立参考表面RS2的位置和定向(图10,方框1000)。如可以意识到的,接触点C3A、C3B建立相应的参考线或者轮廓RL2,该参考线或者轮廓RL2基本上平行于参考表面RS2(其为接触点C3A、C3B所共用)并且偏离参考表面RS2(例如,偏离基本上等于教导基板ST的半径R2的距离)。在一个方面中,通过使用大于2的测量样本或者以任意其它合适的方式,利用最小二乘拟合法来计算参考线或者轮廓RL2。以任意合适的方式由参考线RL2的位置和定向以及教导基板ST的已知半径确定参考表面RS2的位置和定向。
如上所述,确定两个垂直的参考表面或者参考线RL1-RL5的位置中提供了站参考点SRP1、SRP2、SRP3、SRP4(例如,两个参考表面之间的交点,该交点可以偏离(见参考线RL1、RL2)这两个参考表面的实际交点一定的量,该一定的量等于教导基板ST半径R2)的位置,该位置与基板保持位置Xstn,Ystn(在处理模块坐标中)具有已知的关系,使得以任意合适的方式确定基板保持位置Xstn,Ystn在机器人坐标R,θ中的位置(图10,方框940)。仅出于示例性的目的,取决于确定的站参考点SRP1、SRP2、SRP3、SRP4,增量距离ΔX、ΔY(例如,在处理模块坐标系中,从基板保持位置331与参考点SRP1-SRP4之间的已知关系中确定,见图8)被加至确定的站参考点SRP1 (XSRP12,YSRP14)、SRP2 (XSRP12,YSRP23)、SRP3 (XSRP34,YSRP23)、SRP4(XSRP34,YSRP14)的坐标或者从该坐标中减去(见图6A)。
如可以意识到的,基板保持位置331在处理模块坐标X,Y中的确定位置以任意合适的方式被转换成传送机器人坐标R,θ。例如,从例如处理工具390的CAD模型中获知每个站参考点SRP1-SRP4在处理模块坐标中的位置。这样一来,基板保持位置相对于每个站参考点SRP1-SRP4的位置Xstn,Ystn已知。给定教导基板半径R2,参考线RL1-RL5(以及对应的站参考点SRP1-SRP4)允许在传送机器人坐标系R,θ(以及工具坐标系)与处理模块坐标系X,Y之间的转换的识别。
在一个方面中,两个或更多个参考表面的位置被确定,并且将这两个或更多个参考表面的位置进行比较以便确定参考平面的平行性(例如,侧参考表面RS1与侧参考表面RS3的平行性、前参考表面RS4和/或RS5与后参考表面RS2的平行性和/或前参考表面RS4与前参考表面RS5的平行性)和/或垂直性(例如,侧参考表面RS1和/或RS3与前参考表面RS4、RS5和后参考表面RS2中的一个或多个的垂直性)。此外,两个或更多个参考表面的位置确定提供了对基板保持位置331的验证/确认。例如,如上所述在参考表面RS1和RS2的第一计算/确定中确定基板保持位置331的位置,并且在第二计算/确定中以基本上类似于上面描述的方式通过使用例如参考表面RS和RS5而确定基板保持位置331的位置来进行验证。如下面将描述的,在一个方面中,第一和第二计算/确定的结果被合并或者以其他方式进行平均,以便基于参考表面与基板保持位置331(或者其它合适的站部件)之间的已知尺寸关系限定基板保持位置331的位置。
如可以意识到的,在本文中处理模块330的参考表面被描述为处理模块330的侧壁、前壁和后壁;然而,在其它方面中,参考表面是这些壁的教导部件,诸如产生确定性偏心度向量e(例如,从预定初始基板位置开始,向量方向和幅度保持恒定,并且不会随着教导基板与表面之间的接触角度而改变)的轮廓位置确定性部件RS1F1、RS1F2、RS2F1、RS2F2、RS3F1、RES3F2等(见图5B,例如诸如,壁的一个或多个形状或者在壁上的一个或多个形状、一个或多个销、一个或多个突出部等),而且例如当教导基板ST轻碰轮廓位置确定性部件时,偏心度向量e是确定性的或者限定壁的形状。例如,参照图5C,处理模块330的壁330W(其可以是侧壁、前壁或者后壁)被成形以便提供轮廓位置确定性(例如,与尺寸已知的基板接触、形成末端执行器上的已知位置、相对于轮廓部件产生确定性位置)部件F1、F2,这些轮廓位置确定性部件F1、F2的形式为一对或多对突起部。为了示例性的目的,图5D图示了具有形式为一个或多个销对的轮廓位置确定性部件F1、F2(例如,每两个销形成一个部件F1、F2)的壁330W。如可以意识到的,轮廓位置确定性部件F1、F2相对于其所在的壁330W处于已知位置中和/或与基板保持位置331处于已知位置中。这样一来,当教导基板ST(以基本上类似于上面描述的方式)与一个或多个轮廓位置确定性部件F1、F2接触时,偏心度向量e相对于该一个或多个轮廓位置确定性部件F1、F2保持恒定,而偏心度向量相对于末端执行器314E的角度随着教导基板ST相对于末端执行器的移动(通过与一个或多个轮廓位置确定性部件F1、F2接触)而变化。例如,当教导基板轻碰轮廓位置确定性部件F1、F2时,例如通过AWC站311来测量偏心度向量e1、e2。偏心度向量e1、e2被用于确定末端执行器相对于教导基板ST和(多个)壁330W的位置,以用于如本文中描述的确定基板保持位置331的位置。如可以意识到的,在一个方面中,轮廓位置确定性部件F1、F2整体形成到处理模块330结构件的构造中(例如,为壁330W的一部分)或者是处理模块330结构件的构造中固有的;或者,在其它方面中,轮廓位置确定性部件被添加到处理模块PM结构件。如还可以意识到的,轮廓位置确定性部件F1、F2被定位成不干涉基板S到处理模块330或者从处理模块330的传送,或者不干涉在处理模块330内执行的处理。
还参照图5E,处理模块330的壁的轮廓被设计成限定非确定性弯曲壁或表面(例如,基板ST的半径R2小于壁的半径RW以使得在接触壁时基板的位置与基板保持位置Xstn,Ystn缺少预定的关系),其中,每个弯曲壁RS1’、RS2’、RS3’具有相应的半径RW和中心点RSC,为了示例性的目的仅关于壁RS1’示出。每个中心点RSC相对于基板保持位置Xstn,Ystn具有预定的空间关系,使得在中心点RSC被确定时,也知道了基板保持位置Xstn,Ystn的位置。在一个方面中,以基本上类似于上面描述的方式确定中点RSC,诸如,通过确定沿一个或多个壁RS1’、RS2’、RS3’的一个以上的点以便确定一个或多个参考线RL1’、RL2’、RL3’(例如,其类似于图6中的参考线RL1、RL2、RL3、RL4)。参考线RL1’、RL2’、RL3’具有与壁RS1’、RS2’、RS3’的相应半径RW对应的半径RW’,并且这样一来,参考线RL1’、RL2’、RL3’的中心点RSC’与壁RS1’、RS2’、RS3’的相应中心点RSC具有已知的预定关系。在一个方面中,因为每个弯曲壁RS1’、RS2’、RS3’(以及相应的参考线RL1’、RL2’、RL3’)提供了与基板保持位置Xstn,Ystn处于已知关系的中心点RSC、RSC’,所以一旦对于壁RS1’、RS2’、RS3’中的一个确定了中心点(RSC或者RSC’),则能够确定基板保持位置Xstn,Ystn的位置。在其它方面中,后续壁的中心点RSC、RSC’被确定以便例如验证基板保持位置Xstn,Ystn的位置。
现在参照图11和图11A—11B,将根据公开实施例的一个或多个方面对示例性自动教导计算进行描述。在本文中描述的示例性自动教导计算中,将利用关于参考表面RS1、RS2、RS3的教导基板ST来确定基板保持位置331,其中,在不依赖处理模块330的已知关系尺寸的情况下至少确定基板保持位置的θ位置,其中,对基板保持位置331的位置确定的结果进行验证。如能够从图中看出的,点R11 和 R22 分别图示了在与参考表面RS1和RS2的接触点处的晶片中心位置。如描述的,能够通过图12的方法确定位置R11 和 R22。在一个方面中,自动教导计算被划分成theta(θ)自动教导部分和径向自动教导部分,其中,末端执行器314E(例如,见图3)运动以及因此教导基板ST(或者任意其它合适的基板)运动被引起(图12,方框1200),以便有目的地在教导基板ST与(多个)参考表面之间建立接触,从而使教导基板ST相对于末端执行器314E滑动或者以其他方式移动(图12,方框1210)。通过对每个接触点θ1和θ2的角度位置进行平均,能够确定基板保持位置331的角度位置θST的位置(图12,方框1235),如下:
Figure 499645DEST_PATH_IMAGE002
[1]。
使用θSTN确定基板保持位置331的径向位置RSTN(图12,方框1240),其中,传送机器人314转动从而使末端执行器的延伸/缩回轴线沿着与θSTN对应的方向,如能够从图11E中看出,能够基于教导基板的半径确定θSTN。此处,通常使末端执行器314E(以及教导基板ST)在X方向上运动以便有目的地在教导基板ST与参考表面RS3之间建立接触,从而使教导基板ST相对于末端执行器314E滑动或者以其他方式位移一定的量ΔlF。教导基板ST与参考表面RS3之间的径向接触发生在R-ΔlF的的径向延伸部上,其中,ΔlF是教导基板ST相对末端执行器314E在θSTN的延伸/缩回角度上的位移(如通过AWC站311和/或通过安装在末端执行器上的任意合适的传感器或者任意其它偏心度测量方法(可能是对准器)确定),其中,R被选择为确保教导基板ST与参考表面RS3的接触从而确定距离X的起点。要注意的是,图11A和图11B中的点3(例如,R33)对应于位置(R-ΔlF), θSTN。这样一来,利用下列等式,基于教导基板ST的半径r确定基板保持位置331的延伸位置:
Figure 416786DEST_PATH_IMAGE004
[2]。
现在还参照图14A—图14B,其示出了末端执行器1414E、1414E’(诸如,可以包括在之前描述的处理设备的基板运输设备或者机器人314中的末端执行器)的相应平面图。如可以意识到的,末端执行器1414E、1414E’可以包括合适的卡盘1414C、1414C’(例如,被动式易夹持卡盘1414C,具有基板易夹持部件1402-1406,其代表性示例如图14A所示)。根据另一个方面,末端执行器1414E’可以具有基板接合垫片1408-1412,该基板接合垫片被设置成与基板的背侧接合并在制造期间由机器人操作,如图14B所示。根据公开实施例的一个方面,教导基板ST具有执行器偏移部件,该执行器偏移部件使教导基板ST定位在末端执行器上,其中,在基板ST与末端执行器1414E、1414E’的卡盘1414C、1414C’之间具有竖直偏移或者间隙GP。这在图15A-15C中示出,图15A-15C示出了根据不同方面的置于末端执行器1414E、1414E’上的教导基板ST的相应视图。教导基板ST的底部(图15A-15C图示了教导基板1502、1506、1510的不同图示)具有突起部1504、1508、1512,这些突起部被构造成与末端执行器接合并且在教导基板ST与末端执行器的卡盘部件之间不接合的情况下稳定支撑其上的教导基板ST。这促进了教导基板与末端执行器之间的滑动,从而不受卡盘1414C、1414C’的基板保持部件的约束。教导基板的偏移部件或者突起部可以被构造成最小化教导基板与末端执行器(例如,图15A-15B中的突起部1504、1508、1512)之间的接合力/偏压(例如,摩擦)。如从图15D-15E(其示出了教导基板ST的底部透视图)中看出,突起部件1516、1518可以合适地分布在教导基板上以使得夹持力均匀分布在突起部上,从而在基板与末端执行器之间在单个线性方向上产生均匀滑动108。突起部可以与基板整体形成,或者被添加至基板。在其它方面中,末端执行器上的卡盘可以被修改以便变成与教导基板接合的部件。
现在参照图16,将根据本公开的一个方面对站自动教导过程进行描述。在一个方面中,至少两个确定性站部件1610、1611相对于基板保持位置Xstn,Ystn位于已知关系中,以便实现在原位将基板保持位置Xstn,Ystn自动教导至诸如本文中描述的处理工具1600的一部分的基板保持站。在一个方面中,处理工具1600的该部分基本上类似于上面描述的处理模块11030、PM。在该方面中,处理工具1600的该部分包括两个室1601、1602,其中,每个室1601、1602包括与相应的基板保持位置对应的堆叠的基板保持支撑件1620A、1620B(例如,在Xstn,Ystn处位于另一个上方)。在其它方面中,每个室1601、1602包括多于或者少于两个的基板保持支撑件。在该方面中,每个基板保持支撑件1620A、1620B为分开式支撑件,该分开式支撑件在室1601的一侧上具有一部分1620A1、1620B1并且在室1601的相对侧上具有一部分1620A2、1620B2,其中,在部分1620A1、1620A2与部分1620B1、1620B2之间设置有通道,例如,以允许末端执行器从部分1620A1、1620A2与部分1620B1、1620B2之间通过。在其它方面中,基板保持支撑件是横跨室1601、1602的相对侧的连续支撑件。此处,基板保持支撑件1620A、1620B为边缘夹持支撑件,该边缘夹持支撑被构造成夹持放置在相应基板保持支撑件1620A、1620B上的基板的边缘;而在其它方面中,基板保持支撑件1620A、1620B中的一个或多个包括基板提升销以用于支撑基板,诸如图15F中所示的提升销1500-1502。
在一个方面中,确定性站部件1610、1611连接至基板保持支撑件,诸如最底部的基板保持支撑件1620B,并且位于基板传送路径的外侧(例如,以用于从基板保持支撑件1620A、1620B抓取基板并且将基板放置至基板保持支撑件1620A、1620B),同时位于基板运输设备的移动范围内,该基板运输设备从基板保持支撑件1620A、1620B抓取基板并且将基板放置至基板保持支撑件1620A、1620B。在一个方面中,确定性站部件1610、1611与基板保持支撑件1620B整体形成;而在其它方面中,确定性站部件1610、1611以任意合适的方式联接至基板保持支撑件。在一个方面中,确定性站部件1610、1611是可移除的,以用于更换确定性站部件1610、1611。还参考图16A-16D,确定性站部件1610、1611具有任意合适的形状,例如,当接触基板S或者教导基板ST时,使基板S或者教导基板ST的中心位于已知位置。例如,确定性站部件1610、1611确定性地限定基板S或者教导基板ST与确定性站部件1610、1611接触的预定位置,该预定位置与(例如,基板保持站的)基板保持位置Xstn,Ystn具有预定的关系并且识别基板保持位置Xstn,Ystn
在一个方面中,确定性站部件1610、1611是如图16A所示的圆形销,而在其它方面中,如图16B和图16C所示,确定性站部件1610A、1611A和1610B、1611B是不连续的弯曲接触表面。在另其它方面中,确定性站部件1610C形成连续接触表面,该连续接触表面被构造成在两个点处接触基板S或者教导基板ST的边缘以用于限定基板S或者教导基板ST的预定位置。确定性站部件1610、1611(例如,间隔分开地)置于基板保持支撑件1620B上和/或被构造成接触基板S或者教导基板ST的弯曲边缘,其中,该基板相对于基板运输设备(诸如传送机器人314)的末端执行器314E处于预定的定向,例如使得基板S或者教导基板ST上的平坦部或者凹口位于确定性站部件1610、1611之间。在其它方面中,诸如在确定性站部件形成如图16B-16D所示的弯曲表面的情况下,基板(诸如,教导基板ST)包括销1650、1651,销1650、1651接触弯曲表面以用于限定教导基板ST的预定位置,其中,教导基板的销1650、1651接触确定性站部件,该预定位置与基板保持位置Xstn,Ystn(例如,基板保持站)具有预定的关系并且识别该基板保持位置Xstn,Ystn
在另一方面中,参考图17A-17C,确定性站部件1610、1611置于对准夹具1700上,或者与对准夹具1700整体形成,该对准夹具1700能够例如由基板传送机器人314的末端执行器314E从基板保持站抓取并且放置至基板保持站。在该方面中,在维持基板保持站内的处理环境的完整性的同时,通过基板传送机器人314从基板保持站运输对准夹具并且将对准夹具运输至基板保持站(以及对准夹具移动经过的任意传送室,例如,基板保持站的框架不需要打开以放置对准夹具,从而使基板保持站的内部暴露于例如大气环境)。在一个方面中,对准夹具1700包括运动学对准部件,诸如例如,至少一个槽1710和凹部1715,该至少一个槽1710和凹部1715使对准夹具1700相对于基板保持站定位且固定在预定位置中。例如,在一个方面中,基板保持站包括基板提升销,诸如图15F所示的提升销1500-1502,基板支撑在该提升销上。提升销1500-1502中的至少两个与该至少一个槽1710和凹部1715接合,以用于在运动学上定位对准夹具1700。作为示例,一个提升销1500-1502接合凹部1715以便在例如X和Y轴上固定对准夹具,而至少另一个提升销1500-1205接合该至少一个槽1710以便在转动RT上固定对准夹具,使得确定性站部件1610、1611相对于基板保持站的基板保持位置Xstn,Ystn具有预定位置。
现在参照图18A、图18B、图19和图20,在一个方面中,如上所述,确定性站部件1610、1611设置在基板保持站上或者以其他方式固定至基板保持站。确定性站部件1610、1611被成形为确定性地限定基板S、ST与确定性站部件1610、1611接触的预定位置,该预定位置与基板保持站的基板保持位置Xstn,Ystn具有预定的关系并且识别该基板保持位置Xstn,Ystn。在该方面中,通过基板S、ST与确定性站部件1610、1611之间的反复接触(例如,在反复接触处的冲撞或者碰触可以称为撞触),而不是通过确定一组基准部件(诸如,基板站的壁,在与基板S、ST接触时,该壁相对于基板保持位置Xstn,Ystn具有非唯一的或者基本上无限的解决方案),来实现在原位将基板保持位置Xstn,Ystn教导至基板保持站。在该方面中,相对于基板保持位置Xstn,Ystn的位置的唯一解决方案是根据确定性站部件1610、1611的确定性部件(例如,相对于例如图16A-16D中所述的角、半径等)并且结合例如基板S、ST的形状用代数方法来限定是。
如上所述,确定性站部件1610、1611相对于基板保持站的基板保持位置Xstn,Ystn位于已知位置。基板(诸如,与确定性站部件1610、1611接触的教导基板ST或者基板S)具有离每个确定性站部件1610、1611已知距离的中心WC。例如,基板ST、S的中心WC远离确定性站部件1610、1611一定距离RD(例如,等于基板ST、S的半径)。由于距离RD是已知的,并且确定性站部件1610、1611的位置XP1,YP1与XP2,YP2之间的关系相对于基板保持位置Xstn,Ystn是已知的,所以晶片中心WC相对于基板保持位置Xstn,Ystn的位置也是已知的。在一个方面中,如将在下面更详细地描述的,通过任意合适的控制器(诸如,控制器11091)来控制基板运输设备(诸如,如上所述的基板运输设备)以便运输在该基板运输设备的至少一个末端执行器上的基板S、ST,从而使基板S、ST反复靠近确定性站部件1610、1611,直到基板S、ST接触这两个确定性站部件1610、1611。在每次反复靠近中,基板运输设备靠近确定性站部件1610、1611,并且以任意合适的方式(诸如利用置于基板保持站中或者基板保持站周围的自动晶片定中传感器,诸如,图16所示的处理工具1600的一部分)来测量基板S、ST的偏心度e。在一个方面中,每个处理模块或者站330(诸如,处理工具1600的一部分)包括如上所述的一个或多个传感器311S1、311S2以用于检测基板S的前缘和后缘中的一个或多个以便实现自动晶片定中,使得当基板S、ST移动进入处理模块或者站330中并且从处理模块或者站330移出时,在每个站处进行自动晶片定中测量。在其它方面中,对于多于一个的处理模块或者站330,存在共同的自动晶片定中,诸如自动晶片定中(AWC)站311。自动晶片定中的合适示例可以从下列专利文件中找到:例如,美国专利第6990430号、第7859685号、第7925378号、第7894657号、第8125652号、第8253948号、第8270702号、第8634633号和第8934706号,以及2014年7月8日提交的美国专利申请第14/325702号,这些专利文件的全部内容通过引用的方式并入本文。在其它方面中,任意合适的基板对准器可以被用于确定基板S、ST的偏心度,诸如例如,设置在基板保持站内或者与末端执行器314E整体形成的旋转对准器。
重复执行该反复过程,直到晶片偏心度e收敛到预定公差内的值,诸如例如,自动晶片定中传感器测量/信号处理噪音,或者,例如,约± 150 µm (例如,偏心度e在多次反复之间达到基本上无变化的在预定公差内的稳定状态或者到达公共偏心度(commoneccentricity)),其中,与确定性站部件1610、1611的反复碰触/接触引起的偏心度e识别基板保持位置Xstn,Ystn
在一个方面中,以类似于上面描述的方式(诸如,利用站311或者利用位于处理工具1600的一部分处或者周围的自动晶片定中传感器)将至少一个基板S、ST基本上定中在传送及机器人314的末端执行器314E上的相应位置EC处。在一个方面中,传送机器人314在至少一个末端执行器314E上承载至少一个至少S、ST,并且使该至少一个基板朝向确定性站部件1610、1611反复移动,如图20中的自动教导过程的阶段1所示(图21,方框2100)。在一个方面中,基板传送机器人314使该至少一个基板S、ST从公共方向1816朝向确定性站部件1610、1611移动。在一个方面中,该公共方向1816基本上是直线路径;而在其它方面中,该公共方向1816是弯曲路径。在每次反复之后,如上所述,对该至少一个基板S、ST相对于末端执行器314E的偏心度e进行测量(例如,以便确认基板相对于例如运输设备坐标系的偏心度)(图21,方框2105)。在一个方面中,在确定了从一次反复到下一次反复偏心度没有改变的情况下,诸如在接触一个或多个确定性站部件1610、1611之前,在末端执行器314E上之前定中的位置处,基板S、ST可以继续保持在末端执行器314E上。在其它方面中,在确定了从一次反复到下一次反复偏心度已经发生改变的情况下,诸如在接触一个或多个确定性站部件1610、1611之后,可以以任意合适的方式(诸如上文所述的方式)将基板S、ST重新定位在末端执行器314E上,使得在每个反复开始时使基板WC的中心基本上与末端执行器参考点EC(例如,机器人位置)重合,以使基板与末端执行器314E处于已知关系。
对于每次反复移动(图20图示了基板中心WC的反复位置),以任意合适的方式(诸如,通过至少连接至基板传送机器人314的控制器11091)对基板传送机器人314的位置(诸如,末端执行器点EC和/或基板S、ST的位置)进行追踪。重复执行图21的方框2100、2105,直到接触确定性站部件1610、1611中的第一确定性站部件(图21,方框2110),例如,这是由偏心度e的初始变化确定的,该初始变化反映在图20中的自动教导过程的阶段1到阶段2的过渡中。应该理解的是,在基板S、ST接触一个或多个确定性站部件1610、1611之后,当末端执行器314E继续移动时,在末端执行器314E与基板S、ST之间会产生或者引起偏心度e。确定性站部件1610、1611中的第一确定性站部件被反复接触(图21,方框2115),并且在每次反复之后确定基板S、ST的偏心度e(图21,方框2120),直到偏心度e收敛到预定范围内,诸如例如,约± 150 µm或者自动晶片定中传感器(诸如,传感器311S1、311S2)的测量/信号噪音内。一旦基板S、ST的确定的偏心度e处于预定范围内(例如,偏心度变成(resolves to)公共偏心度),则确定基板与两个确定性站部件1610、1611均接触(图21,方框2125)。应该理解的是,虽然在本文中描述了两个确定性站部件,但是在其它方面中,可以设置两个以上的确定性站部件以用于与基板S、ST同时接触。
参照图18A和图18B,基于公共偏心度,在运输设备坐标系中确定基板S、ST的中心WC中的一个或多个以及基板传送机器人314的位置EC(图21,方框2130)。例如,晶片的中心位置WC基本上等于机器人位置EC加上偏心度e。这样一来,能够通过下列等式确定基板运输设备参考点EC的中心位置Xc,Yc:
(Xc, Yc) = (XEC, YEC)-(ΔX, ΔY) [3]
其中,ΔX,ΔY为公共偏心度,Xec,Yec为末端执行器参考点EC在例如基板保持站的X,Y坐标系中的位置(见图18A)。在一个方面中,能够以基本上类似于之前通过引用方式并入本文的美国专利第7925378号和第6990430号中描述的方式来确定末端执行器参考点EC的位置。以任意合适的方式将与Xc,Yc对应的末端执行器参考点EC的位置转换成传送机器人坐标R,θ,以用于确定教导位置Rstnstn(对应于基板保持位置Xstn,Ystn)(图21,方框2135),并且因为在确定性站部件1610、1611与站保持位置之间存在预定的关系:
(Rstn, θstn) = (Rc, θc) + (ΔR, Δθ) [4]
其中,Rc,θc对应于基板运输坐标系中的Xc,Yc,ΔR是运输设备径向延伸值RS2与RS1之间的差值(例如,ΔR = RS2-RS1),并且Δθ是运输设备转动值θS1与θS2之间的差值(例如,Δθ = θS2 – θS1)。
虽然在上面关于单个末端执行器描述了站保持位置的自动教导,但是应该理解的是,上述自动站保持位置教导过程可用于具有多个基板保持器的末端执行器,其中,该多个基板保持器共用一个公共的驱动轴。例如,再次参照图2E,每个末端执行器219E具有例如两个基板保持器,以并排结构的方式保持基板S、ST。例如,通过控制器11091来控制相应的关节臂219A、219B,以便使基板S、ST移动到它们的相应处理站中(在一个方面中,该处理站类似于上面描述的处理站),从而,如上所述,利用基板运输设备的至少一个公共驱动器,使基板S、ST在公共方向上朝向相应的确定性站部件1610、1611反复移动。对末端执行器219E保持的每个相应基板的偏心度e进行追踪,并且以基本上类似于上述关于图21描述的方式确定每个基板S、ST的站保持位置的位置。
参照图22A-22C,可以以基本上类似于本文中描述的方式确定或者教导基板保持站(诸如,处理工具1600的一部分)的竖直坐标或者Z坐标,其中,例如通过控制器11091控制基板传送机器人314,以便在使基板S、ST在Z方向上移动的同时,使基板S、ST移动以接触一个或多个确定性站部件1610、1611或者基板站的一个或多个提升销(诸如,提升销1500-1502)。在该方面中,基板S、ST置于基板传送机器人314的末端执行器314E上,使得基板S、ST相对于末端执行器314E具有已知的关系(图23,方框2300)。在一个方面中,例如,控制基板传送机器人314在组合的径向R与Z轴上移动基板S、ST,从而使基板S、ST接触确定性站部件1610、1611中的一个或多个或者提升销1500-1502中的一个或多个(图23,方框2305)。基板传送机器人314继续该组合的径向R与Z轴移动以引起基板S、ST(其与确定性站部件1610、1611中的一个或多个或者提升销1500-1502中的一个或多个接触)相对于末端执行器的移动(图23,方框2310)。基板S、ST被基板传送机器人314提升,从而使基板S、ST竖直地行进经过确定性站部件1610、1611中的一个或多个或者提升销1500-1502中的一个或多个的顶部,在该点处,当末端执行器继续在组合的径向R与Z方向上移动时,基板S、ST相对于该末端执行器停止移动(图23,方框2315)。以任意合适的方式(诸如,通过上面描述的自动晶片定中传感器)确定沿着径向R方向的末端执行器314E与基板S、ST之间的相对移动ΔRM(例如,ΔRM = RM1 – RM2),其中,将ΔRM与末端执行器314E的总径向移动TRM进行比较以确定出基板S、ST在何处相对于末端执行器314E停止移动(图23,方框2320)。要注意的是,例如,通过控制器11091来协调基板传送机器人314末端执行器314E在组合的径向R与Z方向上的移动,使得对于末端执行器314E的任意给出的径向位置已知末端执行器314E的Z高度,使得通过总径向移动TRM与相对移动ΔRM之间的差值确定确定性站部件1610、1611中的一个或多个或者提升销1500-1502中的一个或多个的顶部的Z高度(并且因此确定基板保持站的教导高度)。如可以意识到的,基板保持站相对于基板保持站参考系的Z坐标被教导(例如,其取决于基板保持站的部件本身的位置确定)。这样一来,基板保持站的教导Z坐标的变化(resolution)独立于臂/末端执行器构造的内置差异(built variances)。内置差异的示例包括臂下降或下垂、末端执行器水平、倾斜和/或扭曲。要注意的是,该内置差异在基板保持站X、Y和Z坐标的教导期间是存在的且基本上是恒定的,并且被有效抵消掉。
参照图27A-27C,可以以基本上类似于本文中描述的方式来确定基板保持站(诸如,处理工具1600的一部分)的竖直坐标或者Z坐标,其中,例如,通过控制器11091控制基板传送机器人314以便使基板S、ST移动从而在Z方向上的一个或多个高度处接触基板站的确定性站部件/提升销2710、2711中的一个或多个(其可以以基本上类似于上面描述的确定性站部件/提升销1710、1711、1501-1502、1610、1611)。在该方面中,基板S、ST包括基本上平坦的***表面STE,并且确定性站部件2710、2711的自由端是渐细的(例如,包括相对于彼此成角度的第一表面SS1和第二表面SS2)。在其它方面中,基板S、ST可以包括成轮廓的或者圆形的***表面,例如,如图22A-22C所示。基板S、ST的***表面STE被构造成使基板S、ST在基板上的预定已知位置处(例如,在基本上平坦的***表面STE的顶部或者底部边缘或者在圆形的***表面的切线上)接触确定性站部件2710、2711。该基本上平坦的***表面STE接触确定性站表面2710、2711与该圆形的***表面接触确定性站表面2710、2711之间的差异是由于控制器11091中存在的或者控制器11091使用以确定末端执行器314E的径向位置R1、R2、R3、R4的(多个)算法导致的。通过以类似于前面描述的方式检测基板S、ST的接触后的偏心度来实现通过控制器对接触位置及其站位置(X、Y和Z)进行登记(registration),如下面进一步描述的。
在该方面中,以任意合适的方式将基板S、ST置于基板传送机器人314的末端执行器314E上,从而使基板S、ST相对于末端执行器314E具有已知关系(图28,方框2800)。在一个方面中,例如,控制基板传送机器人314在第一Z轴高度在径向R上移动基板S、ST(其起始于例如基板S、ST的已知位置处,诸如已确定的或者已知的站位置或者已知的基板位置,并且对于已知的R距离,或者在初始未知的情况下变化,以便使基板接触预定的确定性站部件2710,从而使基板偏心度变成确定接触位置)以使得基板S、ST接触确定性站部件2710、2711中的一个或多个(图28,方框2810)。例如,通过控制器11091记录末端执行器314E的径向延伸R1和高度Z1(图28,方框2820),以实现基板保持站高度Zs的确定,如将在下面描述的。控制基板传送机器人314以便在另一个变化的Z轴高度上在径向移动R1、R2、R3、R4中反复移动基板S、ST,从而使基板S、ST接触(如上面所确定的)确定性站部件2710、2711中的一个或多个(图28,方框2810)。例如,通过控制器11091记录末端执行器314E的径向延伸R2和高度Z2(图28,方框2820),以便实现基板保持站高度Zs的确定,如将在下面描述的。反复性地重复执行图28的方框2810-2820以便在确定性站部件2710、2711中的一个或多个的每个侧表面SS1、SS2上建立至少两个点,从而例如使得控制器11091能够***侧表面SS1、SS2的位置与定向,并且确定侧表面SS1、SS2之间的交点(图28,方框2830)。在一个方面中,侧表面SS1、SS2之间的交点相对于基板保持站的参考系(或者任意其它合适的参考系,诸如传送机器人314的参考系)位于交点高度Zf处。要注意的是,交点高度Zf与基板保持站教导高度Zs之间的距离或者高度L是已知的。
如能够从图27C中看出,例如,在图28的方框2810-2820中反复获得的Z高度Z1-Z4和径向延伸位置R1-R4由控制器11091使用以便***侧表面SS1、SS2之间的相交处的交点的位置Rf,Zf。通过Zs = Zf – L确定基板保持站的教导高度Zs(图28,方框2840),其中,L是上述的已知值。
参照图29A-29F,在另一方面中,确定性站部件2910、2911(其可以基本上类似于上面描述的确定性站部件和/或提升销)具有基本上平坦的自由端,而基板S、ST的***表面STE包括相对于彼此成角度的第一表面SS1’和第二表面SS2’。以基本上类似于上面描述的方式,以任意合适的方式将基板S、ST置于基板传送机器人314的末端执行器314E上,从而使基板S、ST相对于末端执行器314E具有已知的关系(图28,方框2800)。在一个方面中,例如,控制基板传送机器人314在多个Z高度Zi上在径向R移动中移动基板S、ST以用于确定基板保持站的教导高度Zs。例如,控制基板传送机器人314在第一Z轴高度Z1上在径向移动R1中移动基板,从而使基板S、ST接触确定性站部件2910、2911中的一个或多个(图28,方框2810)。例如,通过控制器11091记录末端执行器314E的径向延伸R1和高度Z1(图28,方框2820),以实现站高度Zs的确定,如将在下面描述的。控制基板传送机器人314以便在Z轴高度Z2处在径向移动R2中移动基板S、ST,从而使基板S、ST接触确定性站部件2910、2911中的一个或多个(图28,方框2810)。例如,通过控制器11091记录末端执行器314E的径向延伸R2和高度Z2(图28,方框2820),以实现站高度Zs的确定,如将在下面描述的。反复性地重复执行图28的方框2810-2820,以便在基板S、ST的每个侧表面SS1’、SS2’上建立至少两个点,从而例如使得控制器11091能够如图29F所示的***侧表面SS1’、SS2’的位置与定向,并且确定侧表面SS1’、SS2’之间的交点Rf,Zf(图28,方框2830)。在一个方面中,侧表面SS1’、SS2’之间的交点对应于确定性站部件2910、2911的高度Zf。如上所述,交点高度Zf与基板保持站教导高度Zs之间的距离或者高度L是已知的,使得如上面关于图28的方框2840所描述的,能够通过Zs= Zf – L确定基板保持站的教导高度Zs。
现在参照图30,该一个或多个确定性站部件3010、3011(其可以基本上类似于上面描述的确定性站部件和/或提升销)包括喇叭形的自由端(与图27A和图27B中所示的渐细的自由端相反)。在该方面中,以基本上类似于上面关于图28描述的方式确定基板保持站的教导高度Zs。例如,控制基板传送机器人314以便在各个Z高度上径向地移动基板S、ST以确定至少位置R1,Z1-R4,Z4(例如,确定性站部件3010、3011的每个表面SS1’’、SS2’’上的至少两个点),使得能够通过控制器11091以任意合适的方式***或者计算表面SS1’’、SS2’’的交点Rf,Zf,其中,基板站教导高度Zs通过上面描述的Zs = Zf – L确定。
如可以意识到的,可以在已经确定/教导了基板保持站的X,Y位置之后确定或者建立基板保持站的教导高度Zs,而在其它方面中,可以在确定基板保持站的X,Y位置之前确定基板保持站的教导高度Zs。例如,虽然上面描述了使用一个或多个确定性站部件(例如诸如,两个确定性站部件)来确定教导高度Zs,但是在其它方面中,可以使用诸如确定性站部件2711的单个确定性站部件(或者任意其它合适的确定性站部件或者提升销)来建立教导高度Zs。参照图31A和图31B,以任意合适的方式将基板S、ST置于基板传送机器人314的末端执行器314E上,从而使基板S、ST相对于末端执行器314E具有已知的关系(图32,方框3200)。在一个方面中,例如,控制基板传送机器人314以便多个Z高度处沿着圆弧R’移动基板S、ST(例如诸如,通过围绕传送机器人的腕关节转动末端执行器或者以任意其他合适的方式)以用于确定基板保持站的教导高度Zs。例如,控制基板传送机器人314在第一Z轴高度Z1处沿着圆弧R’移动基板,从而使基板S、ST只接触确定性站部件2711中的一个(图32,方框3210)。例如,通过控制器11091记录末端执行器314E的旋转移动R1’和高度Z1(图32,方框3220),以实现站高度Zs的确定,如将在下面描述的。如可以意识到的,在记录旋转移动R1’和高度Z1之后,可以使基板返回到基板开始旋转移动时的位置(例如,实际上为旋转移动的起始位置)以提供测量基板ST的旋转移动的基础定位或位置。控制基板传送机器人314在另一个Z轴高度Z2处在旋转移动R2’中移动基板S、ST,从而使基板S、ST只接触确定性站部件2711中的一个(图32,方框3210)。例如,通过控制器11091记录末端执行器314E的旋转移动R2’和高度Z2(图32,方框3220),以实现站高度Zs的确定,如将在下面描述的。反复性地重复执行图32的方框3210-3220以便在确定性站部件2711的每个侧表面SS1、SS2上建立至少两个点,从而例如使得控制器11091能够如图31B所示***侧表面SS1、SS2的位置与定向,并且确定侧表面SS1、SS2之间的交点Rf’,Zf(图32,方框3230)。在一个方面中,侧表面SS1、SS2之间的交点对应于确定性站部件2711的高度Zf。如上所述,交点高度Zf与基板保持站教导高度Zs之间的距离或者高度L是已知的,从而如上面关于图28的方框2840描述的,通过Zs = Zf – L确定基板保持站的教导高度Zs(图32,方框3240)。
在一个方面中,在大约200°C至大约850°C的基板处理温度下进行本文中描述的站自动教导过程。在其它方面中,在低于大约200°C或者高于大约850°C的温度下进行本文中描述的站自动教导过程。在一个方面中,对传送机器人314的末端执行器314E上的位置C1的位置进行调整以便以任意合适的方式补偿热膨胀或者收缩,从而用于在本文中描述的站自动教导过程中确定基板S、ST的偏心度。例如,当末端执行器314E移动到基板处理模块/站并且移出基板处理模块/站时,任意合适的静态检测传感器(诸如例如,传感器311S1、311S2,该传感器例如邻近于任意合适的基板处理模块/站设置)检测基板S、ST的边缘和/或末端执行器314E的基准部件401、402(图3)。例如,通过控制器11091接收来自传感器311S1、311S2的与检测基板边缘和/或末端执行器基准部件相对应的信号,并且控制器11091控制传送机器人314而基于传感器信号调整位置C1在末端执行器314E上的位置,以便以基本上类似于下述专利文件中描述的方式补偿传送机器人314的热膨胀和/或收缩:2015年7月13日提交的代理人案号为390P015253-US (-#)、名称为“ON THE FLY AUTOMATIC WAFER CENTERINGMETHOD AND APPARATUS”的美国临时专利申请第62/191,863号,其全部内容通过引用的方式并入本文。
在一个方面中,参考图24A、图24B和图25,利用静态或者固定的传感器2410、2411,而不是利用上面描述的接触确定性站部件1610、1611,来教导基板保持位置Xstn,Ystn。在该方面中,每个传感器2410、2411的位置与基板保持位置Xstn,Ystn具有预定的空间关系。能够利用传感器2410、2411通过下列等式来找到晶片S、ST的中心:
Figure DEST_PATH_IMAGE005
[5]
Figure 383474DEST_PATH_IMAGE006
[6]
Figure DEST_PATH_IMAGE007
[7]
Figure 716366DEST_PATH_IMAGE008
[8]
Figure DEST_PATH_IMAGE009
[9]。
以基本上类似于上面描述的方式在运输设备坐标系中确定晶片S、ST的中心WC和基板传送机器人314的位置EC中的一个或多个。在一个方面中,将晶片S、ST定中于末端执行器上,使得在晶片中心WC与末端执行器中心EC之间基本上存在零偏心度。在该方面中,通过末端执行器使晶片S、ST朝向确定性站部件移动(在该方面中,该确定性站部件为传感器2410、2411)(图26,方框2600)。利用传感器感测晶片S、ST(图26,方框2610),并且确定晶片中心WC和基板运输设备的位置中的一个或多个的确定(图26,方框2620)。如可以意识到的,因为传感器2410、2411相对于基板保持位置Xstn,Ystn的位置是已知的,而且晶片中心WC基本上与末端执行器中心EC重合,所以基板保持站相对于末端执行器中心EC的位置也是已知的,并且被教导至基板运输设备,其中,对晶片S、ST进行感测实现了末端执行器中心EC(即,基板运输设备的位置)相对于基板保持位置Xstn,Ystn的登记。
在其它方面中,在晶片S、ST与末端执行器中心EC之间可能存在偏心度e。此处,例如,如上面关于图18A、18B和21所描述的,晶片的中心位置WC基本上等于机器人位置EC加上偏心度e。为了找出偏心度e和末端执行器的中心EC,例如,通过控制11091控制相应的关节臂219A、219B,以使基板S、ST移动到它们的相应处理站中(在一个方面中,该处理站类似于上面描述的处理站),如上所述,利用基板运输设备的至少一个公共驱动器使得基板S、ST在公共方向上朝向相应的确定性站部件2410、2411反复移动。对于由末端执行器219E保持的每个相应基板,偏心度e被追踪,并且以基本上类似于上面关于图21所描述的方式确定每个基板S、ST的站保持位置的位置。然而,接触式确定性站部件1610、1611被替换为非接触式确定性站部件2420、2411。例如,当确定传感器2410、2411基本上同时感测晶片S、ST而且偏心度在上述的预定公差内时,在晶片S、ST与末端执行器中心EC之间存在基本上为零的偏心度e(图26,方框2640)。
根据公开实施例的一个或多个方面,一种用于自动教导基板站位置的基板运输设备自动教导***被提供。该***包括:框架;基板运输器,该基板运输器连接至该框架,该基板运输器具有被构造成支撑基板的末端执行器;以及控制器,该控制器被构造成使所述基板移动以便使所述基板运输器抵靠基板站部件偏压支撑在末端执行器上的基板,从而引起基板与所述末端执行器之间的偏心度的变化,确定该偏心度的变化,并且至少基于基板与所述末端执行器之间的偏心度的变化来确定基板站位置。
根据公开实施例的一个或多个方面,基板站位置是基板站的Z位置。
根据公开实施例的一个或多个方面,该***进一步包括连接至框架的基板定位单元。
根据公开实施例的一个或多个方面,基板定位单元包括连接至框架的自动晶片定中(AWC)单元。
根据公开实施例的一个或多个方面,基板站部件位于处理模块内,该处理模块中具有真空压力环境。
根据公开实施例的一个或多个方面,真空压力环境为高真空。
根据公开实施例的一个或多个方面,在该真空压力环境中基板运输器抵靠该基板站部件偏压支撑在末端执行器上的基板。
根据公开实施例的一个或多个方面,基板站部件位于处理模块内,该处理模块处于用于处理基板的处理安全性状态中。
根据公开实施例的一个或多个方面,控制器包括嵌入式抓取/放置命令以便使基板运输器移动并且偏压基板。
根据公开实施例的一个或多个方面,控制器包括嵌入式基板定位命令以便确定基板偏心度。
根据公开实施例的一个或多个方面,处理工具包括:框架;基板运输器,该基板运输器连接至该框架并且具有被构造成支撑基板的末端执行器;以及基板运输设备自动教导***,该基板运输设备自动教导***用于自动教导基板站位置,该自动教导***包括控制器,该控制器被构造成:使基板运输器移动以使得该基板运输器抵靠基板站部件轻碰支撑在末端执行器上的基板,从而引起基板与末端执行器之间的偏心度的变化,确定该偏心度的变化,并且至少基于基板与末端执行器之间的偏心度的变化来确定基板站位置。
根据公开实施例的一个或多个方面,该处理工具进一步包括连接至框架的基板定位单元。
根据公开实施例的一个或多个方面,基板定位单元包括连接至框架的自动晶片定中(AWC)单元。
根据公开实施例的一个或多个方面,基板站部件位于处理模块内,该处理模块中具有真空压力环境。
根据公开实施例的一个或多个方面,该真空压力环境为高真空。
根据公开实施例的一个或多个方面,在该真空压力环境中基板运输器抵靠基板站部件偏压支撑在末端执行器上的基板。
根据公开实施例的一个或多个方面,基板站部件位于处理模块内,该处理模块处于用于处理基板的处理安全性状态中。
根据公开实施例的一个或多个方面,控制器包括嵌入式抓取/放置命令以便使基板运输器移动并且偏压基板。
根据公开实施例的一个或多个方面,控制器包括嵌入式基板定位命令以便确定基板偏心度。
根据公开实施例的一个或多个方面,该基板是代表性教导晶片或者假晶片。
根据公开实施例的一个或多个方面,基板运输设备包括:框架;基板运输设备,该基板运输设备可移动地连接至框架并且具有被构造成支撑基板的末端执行器;基板站,该基板站连接至框架并且具有至少一个第一站部件,该第一站部件与基板站的基板保持位置具有预定的空间关系;以及用于自动教导基板站的基板站位置的自动教导***,该自动教导***包括控制***,该控制***可操作地连接至基板运输设备并且被构造成利用来自控制器中的嵌入式抓取/放置命令中的至少一个嵌入式抓取/放置命令来确定基板保持位置,其中,基板运输设备通过该至少一个嵌入式抓取/放置命令进行的命令运输实现末端执行器的移动,从而使支撑在末端执行器上的基板轻碰至少第一站部件,从而通过与该至少第一站部件的接触而引起基板与末端执行器之间的偏心度,确定该偏心度的量,并且基于该偏心度以及预定的空间关系来确定基板保持位置的位置。
根据公开实施例的一个或多个方面,该至少第一站部件位于处理模块内,该处理模块中具有真空压力环境。
根据公开实施例的一个或多个方面,该真空压力环境为高真空。
根据公开实施例的一个或多个方面,在所述真空压力环境中,基板运输器抵靠该至少第一站部件轻碰支撑在末端执行器上的基板。
根据公开实施例的一个或多个方面,至少第一站部件位于处理模块内,该处理模块处于用于处理基板的处理安全性状态中。
根据公开实施例的一个或多个方面,嵌入式抓取/放置命令使基板运输器移动并且抵靠至少第一站部件轻碰基板。
根据公开实施例的一个或多个方面,控制器包括嵌入式基板定位命令以便确定偏心度。
根据公开实施例的一个或多个方面,基板站包括第二站部件,该第二站部件与基板站的基板保持位置具有预定的空间关系。
根据公开实施例的一个或多个方面,用于自动教导基板站位置的方法包括:设置基板运输器并且在基板运输器的末端执行器上支撑基板;通过利用控制器使基板运输器移动而引起基板与末端执行器之间的偏心度的变化,从而使得基板运输器抵靠基板站部件偏压支撑在末端执行器上的基板;利用控制器来确定该偏心度的变化;以及基于基板与末端执行器之间的偏心度的变化而利用控制器来确定基板站位置。
根据公开实施例的一个或多个方面,基板站部件位于处理模块内,该处理模块中具有真空压力环境。
根据公开实施例的一个或多个方面,该真空压力环境为高真空。
根据公开实施例的一个或多个方面,在该真空压力环境中,基板运输器抵靠基板站部件偏压支撑在末端执行器上的基板。
根据公开实施例的一个或多个方面,该方法进一步包括利用控制器的嵌入式抓取/放置命令来使基板运输器移动并且偏压基板。
根据公开实施例的一个或多个方面,该方法进一步包括利用控制器的嵌入式基板定位命令来确定偏心度。
根据公开实施例的一个或多个方面,方法包括:设置基板运输设备,该基板运输设备具有被构造成支撑基板的末端执行器;设置基板站,该基板站具有至少一个第一站部件,该第一站部件与基板站的基板保持位置具有预定的空间关系;以及通过利用来自控制器中的嵌入式抓取/放置命令中的至少一个嵌入式抓取/放置命令确定基板保持位置,而自动教导基板站的基板站位置,其中,来自该至少一个嵌入式抓取/放置命令的基板运输设备的命令运输实现末端执行器的移动,从而使支撑在末端执行器上的基板轻碰该至少第一站部件,从而通过与该至少第一站部件的接触而引起基板与末端执行器之间的偏心度,利用控制器来确定该偏心度的量,并且利用控制器基于该偏心度和预定的空间关系来确定基板保持位置的位置。
根据公开实施例的一个或多个方面,该至少第一站部件位于处理模块内,该处理模块中具有真空压力环境。
根据公开实施例的一个或多个方面,该真空压力环境为高真空。
根据公开实施例的一个或多个方面,在该真空压力环境中,基板运输器抵靠至少第一站部件偏压支撑在末端执行器上的基板。
根据公开实施例的一个或多个方面,该方法进一步包括利用嵌入式抓取/放置命令来移动基板运输器并且抵靠该至少第一站部件轻碰基板。
根据公开实施例的一个或多个方面,该方法进一步包括利用控制器的嵌入式基板定位命令来确定所述偏心度。
根据公开实施例的一个或多个方面,该方法进一步包括为基板站设置第二站部件,该第二站部件与基板站的基板保持位置具有预定的空间关系。
根据公开实施例的一个或多个方面,用于基板站位置的原位自动教导的方法包括:
在基板保持站上设置确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的基板的预定位置,该预定位置与基板保持站具有预定的关系并且识别该基板保持站;
通过基板与至少一个确定性站部件之间的接触来确定基板的公共偏心度;以及
基于该公共偏心度来确定基板保持站的教导位置。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
通过使至少一个确定性站部件与基板接触来建立站部件在运输设备坐标系中的位置,并且确定基板的偏心度。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
使该至少一个确定性站部件与基板反复地接触,以便确认基板相对于运输设备坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
基于该公共偏心度来确定基板的预定位置和保持基板的运输设备末端执行器的中心位置。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
通过基板相对于基板保持站的预定位置和运输设备末端执行器的中心位置来确定基板保持站在运输设备坐标系中的教导位置。
根据公开实施例的一个或多个方面,基板与至少一个站部件之间的接触来自公共方向。
根据公开实施例的一个或多个方面,基板保持站的教导位置被原位确定至基板保持站。
根据公开实施例的一个或多个方面,基板与至少一个确定性站部件之间的接触是反复的接触,并且对于每次反复接触,基板的偏心度被确定。
根据公开实施例的一个或多个方面,对于每次反复接触,基于该偏心度重新定位基板相对于保持基板的基板运输器的位置。
根据公开实施例的一个或多个方面,该公共偏心度是晶片传感器的信号噪声内的偏心度,该晶片传感器被构造成检测基板以用于确定公共偏心度。
根据公开实施例的一个或多个方面,该方法进一步包括:利用控制器确定基板运输器末端执行器的中心位置,以便实现确定相对于该中心位置的公共偏心度,其中,控制器调节中心位置的位置以便补偿运输设备上的热效应。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
基板保持站,该基板保持站连接至该框架并且具有确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的基板的预定位置,该预定位置与基板保持站具有预定的关系并且识别该基板保持站;
基板运输器,该基板运输器连接至框架并且被构造成使基板移动;以及
控制器,该控制器被构造成:
通过基板与至少一个确定性站部件之间的接触来确定基板的公共偏心度;以及
基于该公共偏心度来确定基板保持站的教导位置。
根据公开实施例的一个或多个方面,控制器被进一步构造成:
通过控制基板运输设备来建立站部件在基板运输设备的坐标系中的位置从而使基板与该至少一个站部件接触,并且确定该基板的偏心度。
根据公开实施例的一个或多个方面,控制器被进一步构造成:
在至少一个确定性站部件与基板之间执行反复接触,以确认基板相对于该坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,控制器被进一步构造成:
基于该公共偏心度来确定基板的预定位置和运输设备的中心位置。
根据公开实施例的一个或多个方面,控制器被进一步构造成:
通过基板相对于基板保持站的预定位置和基板运输设备的中心位置来确定基板保持站在该坐标系中的教导位置。
根据公开实施例的一个或多个方面,控制器被构造成从公共方向实现基板与该至少一个站部件之间的接触。
根据公开实施例的一个或多个方面,基板保持站的教导位置在原位被确定至基板保持站。
根据公开实施例的一个或多个方面,控制器被构造成对于每次反复接触而实现基板的偏心度确定。
根据公开实施例的一个或多个方面,控制器被构造成对于每次反复接触,基于该偏心度确定来执行基板相对于基板运输器的重新定位。
根据公开实施例的一个或多个方面,公共偏心度是晶片传感器的信号噪声内的偏心度,该晶片传感器被构造成检测基板以用于确定该公共偏心度。
根据公开实施例的一个或多个方面,基板运输器包括末端执行器,该末端执行器具有中心位置,该末端执行器被构造成保持基板,以及
该控制器被进一步构造成确定该中心位置以实现确定相对于该中心位置的公共偏心度,其中,控制器被构造成调整中心位置的位置以补偿运输设备上的热效应。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
站夹具,该站夹具连接至框架并且具有确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的基板的预定位置,该预定位置与站夹具的基板保持位置具有预定关系并且识别该站夹具的基板保持位置;
基板运输器,该基板运输器被构造成保持教导基板;以及
控制器,该控制器被构造成使基板运输器移动,从而使教导基板在公共方向上相对于站部件移动。
根据公开实施例的一个或多个方面,控制器被构造成确定基板的预定位置和基板保持位置,其中,基板的位置和基板保持位置由基板与确定性站部件之间的接触实现。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
站夹具,该站夹具连接至框架并且具有确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的教导基板的预定位置;
教导基板,该教导基板被构造使得与确定性站部件的接触将教导基板定位在预定位置中,该预定位置与基板保持位置具有预定关系并且识别该基板保持位置;以及
基板运输器,该基板运输器被构造成保持教导基板;以及
控制器,该控制器被构造成使基板运输器移动,从而使教导基板在公共方向上相对于站部件移动。
根据公开实施例的一个或多个方面,控制器被构造成确定基板的预定位置和基板保持位置,其中,基板的位置和基板保持位置由基板与确定性站部件之间的接触实现。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
基板保持站,该基板保持站连接至该框架,该基板保持站具有确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的基板的预定位置,该预定位置与站夹具的基板保持位置具有预定关系并且识别该站夹具的基板保持位置;
运输设备,该运输设备连接至框架并且被构造成保持基板;以及
控制器,该控制器被构造成:
利用运输设备执行基板的移动,其中,基板与至少一个确定性站部件接触,
确定基板相对于基板运输设备的公共偏心度,以及
基于该公共偏心度确定基板保持位置的位置。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
基板运输器,该基板运输器连接至该框架,该基板运输器具有被构造成支撑基板的末端执行器;
基板保持站,该基板保持站连接至该框架,该基板保持站具有确定性站部件;以及
控制器,该控制器被构造成:
使基板运输器移动,使得基板与确定性站部件相接触,从而引起基板与末端执行器之间的偏心度的变化,
确定该偏心度的变化,以及
至少基于偏心度的变化来确定基板站位置,其中,该偏心度识别基板站位置。
根据公开实施例的一个或多个方面,用于基板站位置的原位自动教导的方法包括:
在基板保持站上提供确定性站部件,该确定性站部件确定性地限定与确定性站部件接触的基板的预定位置,该预定位置与基板保持站具有预定关系并且识别该基板保持站;
通过基板与至少一个确定性站部件之间的互相作用来确定基板的公共偏心度;以及
基于该公共偏心度来确定基板保持站的教导位置。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
通过使该至少一个确定性站部件与基板接触来建立站部件在所述运输设备坐标系中的位置,并且确定基板的偏心度。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
使该至少一个确定性站部件与基板反复地接触,以便确认基板相对于运输设备坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,确定基板保持站的教导位置包括:
使基板反复地传递经过至少一个确定性站部件,以确认基板相对于运输设备坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,对基板进行感测实现保持基板的运输设备末端执行器的中心位置相对于基板保持位置的登记。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
框架;
基板保持站,该基板保持站连接至该框架并且具有确定性站部件,该确定性站部件确定性地限定与确定性站部件对接的基板的预定位置,该预定位置与基板保持站具有预定关系并且识别该基板保持站;
基板运输器,该基板运输器连接至该框架并且被构造成使基板移动;以及
控制器,该控制器被构造成:
通过基板与至少一个确定性站部件之间的互相作用来确定基板的公共偏心度;以及
基于该公共偏心度来确定基板保持站的教导位置。
根据公开实施例的一个或多个方面,控制器被进一步构造成:通过执行至少一个确定性站部件与基板之间的接触来建立站部件在运输设备坐标系中的位置,并且确定基板的偏心度。
根据公开实施例的一个或多个方面,控制器被进一步构造成:实现在该至少一个确定性站部件与基板之间的反复接触,以确认基板相对于运输设备坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,控制器被进一步构造成:实现基板反复地传递经过该至少一个确定性站部件,以确认基板相对于运输设备坐标系的偏心度,直到该偏心度的变化变成公共偏心度。
根据公开实施例的一个或多个方面,对基板进行感测实现保持基板的运输设备末端执行器的中心位置相对于基板保持位置的登记。
根据公开实施例的一个或多个方面,用于自动教导基板保持位置的基板运输设备自动教导***包括:
基板保持夹具;以及
教导基板,该基板保持夹具和教导基板组合地具有一种构造,该构造相对于基板保持夹具Z教导位置是确定的,该基板保持夹具Z教导位置利用撞触而实现;
其中,基板保持夹具和教导基板的所述构造:
利用基板保持夹具与教导基板之间的接触表面限定至少一个部件,该至少一个部件在Z方向和基板运输器的径向延伸方向均具有预定差异,并且
通过教导基板与接触表面之间的接触来确定基板保持夹具Z教导位置的变化。
应该理解的是,上述说明仅仅是对公开实施例的各个方面的说明性描述。在不脱离公开实施例的各个方面的情况下,本领域的技术人员可以设想到各种更改和修改。因此,公开实施例的各个方面旨在包含落入所附权利要求的范围内的所有这种更改、修改和变化。因此,根据公开实施例的各个方面,在上述段落中描述的特征中的任意一个或多个特征都可以有利地与上述段落中描述的任意其它特征组合,这种组合仍然包括在本发明的各个方面的范围内。进一步地,在互不相同的从属权利要求或者独立权利要求中陈述不同的特征这一单纯事实并不表示无法有利地使用这些特征的组合,这种组合仍然包括在本发明的各个方面的范围内。

Claims (34)

1.一种处理工具,包括:
框架;
基板运输器,所述基板运输器连接至所述框架并且具有被构造成支撑基板的末端执行器;以及
基板运输设备自动教导***,所述基板运输设备自动教导***用于自动教导基板站位置,所述自动教导***包括控制器,所述控制器被构造成:
使所述基板运输器移动,使得所述基板运输器抵靠基板站部件轻碰支撑在所述末端执行器上的所述基板,从而引起所述基板与所述末端执行器之间的偏心度的变化,
确定所述偏心度的变化,以及
至少基于所述基板与所述末端执行器之间的所述偏心度的变化来确定所述处理工具的坐标空间中的所述基板站位置。
2.根据权利要求1所述的处理工具,其中,基板站位置是所述基板站的Z位置。
3.根据权利要求1所述的处理工具,进一步包括基板定位单元,所述基板定位单元连接至所述框架并且包括连接至所述框架的自动晶片定中(AWC)单元。
4.根据权利要求1所述的处理工具,其中,所述基板站部件位于其中具有真空压力环境的处理模块内。
5.根据权利要求4所述的处理工具,其中,所述真空压力环境为高真空。
6.根据权利要求4所述的处理工具,其中,在所述真空压力环境中,所述基板运输器抵靠基板站部件偏压支撑在所述末端执行器上的所述基板。
7.根据权利要求1所述的处理工具,其中,所述基板站部件位于处理模块内,所述处理模块处于用于处理基板的处理安全性状态中。
8.根据权利要求1所述的处理工具,其中,所述控制器包括嵌入式抓取/放置命令以便使所述基板运输器移动并且偏压所述基板。
9.根据权利要求1所述的处理工具,其中,所述控制器包括嵌入式基板定位命令以便确定所述基板偏心度。
10.根据权利要求1所述的处理工具,其中,所述基板是教导晶片或者假晶片。
11.一种用于基板站位置的原位自动教导的方法,包括:
在基板保持站上设置确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件接触的基板的预定位置,所述预定位置与所述基板保持站具有预定关系并且识别所述基板保持站;
通过所述基板与至少一个确定性站部件之间的接触来确定所述基板的公共偏心度;以及
基于所述公共偏心度来确定所述基板保持站的坐标空间中的教导位置。
12.一种用于自动教导基板保持位置的基板运输设备自动教导***,包括:
框架;
基板保持站,所述基板保持站连接至所述框架并且具有确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件接触的基板的预定位置,所述预定位置与所述基板保持站具有预定关系并且识别所述基板保持站;
基板运输设备,所述基板运输设备连接至所述框架并且被构造成使所述基板移动;以及
控制器,所述控制器被构造成:
通过所述基板与至少一个确定性站部件之间的接触来确定所述基板的公共偏心度;以及
基于所述公共偏心度来确定所述基板保持站的坐标空间中的教导位置。
13.根据权利要求12所述的***,其中,所述控制器被进一步构造成:
通过控制所述基板运输设备来建立所述确定性站部件在所述基板运输设备的坐标系中的位置,从而使所述基板与所述至少一个确定性站部件接触并且确定所述基板的偏心度。
14.根据权利要求13所述的***,其中,所述控制器被进一步构造成:
在所述至少一个确定性站部件与所述基板之间执行反复接触,以确认所述基板相对于所述坐标系的所述偏心度,直到所述偏心度的变化变成所述公共偏心度。
15.根据权利要求14所述的***,其中,所述控制器被构造成:对于每次反复接触,执行所述基板的偏心度确定。
16.根据权利要求14所述的***,其中,所述控制器被构造成:对于每次反复接触,基于所述偏心度确定来执行所述基板相对于基板运输器的重新定位。
17.根据权利要求13所述的***,其中,所述控制器被进一步构造成:
通过所述基板相对于所述基板保持站的所述预定位置以及所述基板运输设备的中心位置,确定所述基板保持站在所述坐标系中的所述教导位置。
18.根据权利要求12所述的***,其中,所述控制器被进一步构造成:
基于所述公共偏心度来确定所述基板的所述预定位置以及所述基板运输设备的中心位置。
19.根据权利要求12所述的***,其中,所述控制器被构造成从公共方向执行所述基板与至少一个站部件之间的接触。
20.根据权利要求12所述的***,其中,所述基板保持站的所述教导位置在原位被确定至所述基板保持站。
21.根据权利要求12所述的***,其中,所述公共偏心度是晶片传感器的信号噪声内的偏心度,所述晶片传感器被构造成检测所述基板以用于确定所述公共偏心度。
22.根据权利要求12所述的***,其中,所述基板运输设备包括:
末端执行器,所述末端执行器具有中心位置,所述末端执行器被构造成保持所述基板,以及
所述控制器被进一步构造成确定所述中心位置以便执行确定相对于所述中心位置的所述公共偏心度,其中,所述控制器被构造成调整所述中心位置的位置以便补偿所述基板运输设备上的热效应。
23.一种用于自动教导基板保持位置的基板运输设备自动教导***,包括:
框架;
站夹具,所述站夹具连接至所述框架并且具有确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件接触的基板的预定位置,所述预定位置与所述站夹具的所述基板保持位置具有预定关系并且识别所述站夹具的坐标空间中的所述站夹具的所述基板保持位置;以及
基板运输器,所述基板运输器被构造成保持教导基板;以及
控制器,所述控制器被构造成使所述基板运输器移动,从而使所述教导基板在公共方向上相对于所述确定性站部件移动。
24.根据权利要求23所述的***,其中,所述控制器被构造成确定所述教导基板的所述预定位置以及所述基板保持位置,其中,所述教导基板的所述预定位置和所述基板保持位置由所述教导基板与所述确定性站部件之间的接触来执行。
25.一种用于自动教导基板保持位置的基板运输设备自动教导***,包括:
框架;
基板保持站,所述基板保持站连接至所述框架并且具有确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件接触的基板的预定位置,所述预定位置与所述基板保持站的所述基板保持位置具有预定关系并且识别所述基板保持站的所述基板保持位置;
运输设备,所述运输设备连接至所述框架并且被构造成保持所述基板;以及
控制器,所述控制器被构造成:
利用所述运输设备执行所述基板的移动,其中,所述基板与所述确定性站部件中的至少一个接触,
确定所述基板相对于所述基板运输设备的公共偏心度,以及
基于所述公共偏心度来确定所述基板保持站的坐标空间中的所述基板保持位置的位置。
26.根据权利要求25所述的***,其中,所述控制器被构造成使所述运输设备移动,使得所述基板与所述确定性站部件接触,从而引起所述基板与末端执行器之间的偏心度的变化。
27.根据权利要求25所述的***,其中,所述控制器被构造成使所述运输设备移动,使得所述基板与所述确定性站部件接触,从而引起所述基板与末端执行器之间的偏心度的变化。
28.一种用于基板站位置的原位自动教导的方法,包括:
在基板保持站上设置确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件相互作用的基板的预定位置,所述预定位置与所述基板保持站具有预定关系并且识别所述基板保持站;
通过所述基板与至少一个确定性站部件之间的互相作用来确定所述基板的公共偏心度;以及
基于所述公共偏心度来确定所述基板保持站的坐标空间中的教导位置。
29.一种用于自动教导基板保持位置的基板运输设备自动教导***,包括:
框架;
基板保持站,所述基板保持站连接至所述框架并且具有确定性站部件,所述确定性站部件确定性地限定与所述确定性站部件对接的基板的预定位置,所述预定位置与所述基板保持站具有预定关系并且识别所述基板保持站;
基板运输设备,所述基板运输设备连接至所述框架并且被构造成使所述基板移动;以及
控制器,所述控制器被构造成:
通过所述基板与至少一个确定性站部件之间的互相作用来确定所述基板的公共偏心度;以及
基于所述公共偏心度来确定所述基板保持站的坐标空间中的教导位置。
30.根据权利要求29所述的***,其中,所述控制器被进一步构造成:通过执行在所述至少一个确定性站部件与所述基板之间的接触,来建立所述确定性站部件在基板运输设备坐标系中的位置,并且确定所述基板的偏心度。
31.根据权利要求30所述的***,其中,所述控制器被进一步构造成:在所述至少一个确定性站部件与所述基板之间执行反复接触,以确认所述基板相对于所述基板运输设备坐标系的所述偏心度,直到所述偏心度的变化变成所述公共偏心度。
32.根据权利要求30所述的***,其中,所述控制器被进一步构造成:执行使所述基板反复传递经过所述至少一个确定性站部件,以确认所述基板相对于所述基板运输设备坐标系的所述偏心度,直到所述偏心度的变化变成所述公共偏心度。
33.根据权利要求29所述的***,其中,对所述基板进行感测执行保持所述基板的运输器末端执行器的中间位置相对于所述基板保持位置的登记。
34.一种用于自动教导基板保持位置的基板运输设备自动教导***,包括:
基板保持夹具;以及
教导基板,所述基板保持夹具和所述教导基板组合地具有一种构造,所述构造相对于基板保持夹具Z教导位置是确定的,所述基板保持夹具Z教导位置利用撞触来执行;
其中,所述基板保持夹具和所述教导基板的所述构造:
利用所述基板保持夹具与所述教导基板之间的接触表面限定至少一个部件,所述至少一个部件在Z方向和基板运输器的径向延伸方向均具有预定差异,以及
通过所述教导基板与所述接触表面之间的接触来确定所述基板保持夹具Z教导位置的变化。
CN201580072914.1A 2014-11-10 2015-11-10 工具自动教导方法和设备 Active CN107112266B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201462077775P 2014-11-10 2014-11-10
US62/077,775 2014-11-10
US201462078345P 2014-11-11 2014-11-11
US62/078,345 2014-11-11
US201562191829P 2015-07-13 2015-07-13
US62/191,829 2015-07-13
US201562247647P 2015-10-28 2015-10-28
US62/247,647 2015-10-28
PCT/US2015/060016 WO2016077387A1 (en) 2014-11-10 2015-11-10 Tool auto-teach method and apparatus

Publications (2)

Publication Number Publication Date
CN107112266A CN107112266A (zh) 2017-08-29
CN107112266B true CN107112266B (zh) 2020-12-08

Family

ID=55911510

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580072914.1A Active CN107112266B (zh) 2014-11-10 2015-11-10 工具自动教导方法和设备

Country Status (7)

Country Link
US (5) US10002781B2 (zh)
EP (1) EP3218925B1 (zh)
JP (1) JP6833685B2 (zh)
KR (2) KR20230048568A (zh)
CN (1) CN107112266B (zh)
TW (1) TWI710440B (zh)
WO (1) WO2016077387A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI816394B (zh) * 2021-05-11 2023-09-21 大陸商杭州眾硅電子科技有限公司 一種標定拋光頭和裝卸台工作位置的裝置和方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014105989A1 (en) * 2012-12-31 2014-07-03 Flir Systems, Inc. Wafer level packaging of microbolometer vacuum package assemblies
WO2016103292A1 (ja) * 2014-12-22 2016-06-30 川崎重工業株式会社 ロボットシステム及びエンドエフェクタの変形検出方法
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
JP6741538B2 (ja) * 2016-09-28 2020-08-19 川崎重工業株式会社 ロボット、ロボットの制御装置、及び、ロボットの位置教示方法
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP7002307B2 (ja) * 2017-11-30 2022-01-20 株式会社荏原製作所 基板搬送システム、基板処理装置、ハンド位置調整方法
CN110239819B (zh) * 2018-03-09 2020-10-23 创意电子股份有限公司 晶圆载运装置
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
US11031266B2 (en) * 2018-07-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer handling equipment and method thereof
SG11202108522TA (en) 2019-02-08 2021-09-29 Yaskawa America Inc Through-beam auto teaching
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11031269B2 (en) * 2019-08-22 2021-06-08 Kawasaki Jukogyo Kabushiki Kaisha Substrate transport robot, substrate transport system, and substrate transport method
TWI742635B (zh) * 2020-04-27 2021-10-11 創博股份有限公司 教導位置與姿態的觸發與補償方法
US12027400B2 (en) * 2020-05-26 2024-07-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US20220013383A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Substrate processing module and method of moving a workpiece
CN112820686A (zh) * 2021-03-09 2021-05-18 上海广川科技有限公司 晶圆示教装置及示教方法
US11817724B2 (en) * 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
CN114753640B (zh) * 2022-04-01 2023-04-07 中联重科股份有限公司 臂架末端运动规划方法、装置、控制***及工程机械
CN114872020B (zh) * 2022-06-30 2022-09-30 西安奕斯伟材料科技有限公司 对机械手相对于承载空间取放硅片进行示教的***及方法
DE102022213714A1 (de) 2022-12-15 2024-06-20 Carl Zeiss Smt Gmbh Austauschobjekt-Haltevorrichtung für ein EUV-Metrologiesystem, Verfahren zum Kalibrieren einer derartigen Austauschobjekt-Haltevorrichtung sowie EUV-Metrologiesystem mit einer derartigen Austauschobjekt-Haltevorrichtung

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002514833A (ja) * 1998-05-11 2002-05-21 ジェンマーク・オートメーション・インコーポレーテッド プリアライナおよび平面教示ステーション
JP2002178279A (ja) * 2000-12-12 2002-06-25 Ulvac Japan Ltd 基板搬送方法
WO2011077976A1 (ja) * 2009-12-24 2011-06-30 シャープ株式会社 基板搬送装置およびセンサ取り付け台
CN102763209A (zh) * 2010-02-17 2012-10-31 株式会社尼康 搬送装置、搬送方法、曝光装置、以及元件制造方法
JP2012254524A (ja) * 2012-08-30 2012-12-27 Kawasaki Heavy Ind Ltd ロボット及びその教示方法
JP2013235870A (ja) * 2012-05-02 2013-11-21 Tokyo Electron Ltd 基板処理装置、調整方法及び記憶媒体
JP2014148031A (ja) * 2013-02-04 2014-08-21 Dainippon Screen Mfg Co Ltd 受渡位置教示方法、受渡位置教示装置および基板処理装置

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US598194A (en) 1898-02-01 Cromwell o
JPS5235376Y2 (zh) 1972-05-04 1977-08-12
JPS5235376B2 (zh) 1973-07-19 1977-09-08
JPS51106166A (en) 1975-03-17 1976-09-20 Sankyo Seiki Seisakusho Kk Nenseiekijotaino chunyusochi
JPS541748Y2 (zh) 1975-06-18 1979-01-25
JPS5235376A (en) 1975-09-13 1977-03-17 Keiichi Hara Electric dust collector
JPS541748A (en) 1977-06-06 1979-01-08 Nissan Motor Co Ltd Clutch connection controller of automatic clutch
US4692876A (en) * 1984-10-12 1987-09-08 Hitachi, Ltd. Automatic freight stacking system
US5155423A (en) 1986-02-18 1992-10-13 Robotics Research Corporation Industrial robot with servo
US4973215A (en) 1986-02-18 1990-11-27 Robotics Research Corporation Industrial robot with servo
US4724322A (en) 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
JPH02146602A (ja) 1988-08-11 1990-06-05 Fanuc Ltd サーボモータにより駆動される被駆動体の衝突検出・停出方法
US5102280A (en) 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
WO1992005920A1 (en) 1990-09-27 1992-04-16 Genmark Automation Scanning end effector assembly
JPH05114804A (ja) 1991-08-16 1993-05-07 Tdk Corp 高周波フイルタ
JP3134481B2 (ja) 1991-03-29 2001-02-13 株式会社村田製作所 静磁波装置
JPH05235376A (ja) 1992-02-24 1993-09-10 Copal Electron Co Ltd 半導体圧力変換器
EP0597637B1 (en) 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JP3115147B2 (ja) 1993-03-12 2000-12-04 富士通株式会社 ロボット制御装置及びコントローラ
JP3184675B2 (ja) 1993-09-22 2001-07-09 株式会社東芝 微細パターンの測定装置
US6707528B1 (en) 1994-03-02 2004-03-16 Nikon Corporation Exposure apparatus having independent chambers and methods of making the same
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6121743A (en) 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US5789890A (en) 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5908283A (en) * 1996-11-26 1999-06-01 United Parcel Service Of Americia, Inc. Method and apparatus for palletizing packages of random size and weight
US6690185B1 (en) 1997-01-15 2004-02-10 Formfactor, Inc. Large contactor with multiple, aligned contactor units
US6213708B1 (en) 1997-03-12 2001-04-10 Advanced Micro Devices, Inc. System for sorting multiple semiconductor wafers
WO1999002996A2 (en) 1997-07-11 1999-01-21 Genmark Automation Multiple point position scanning system
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6022485A (en) 1997-10-17 2000-02-08 International Business Machines Corporation Method for controlled removal of material from a solid surface
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6405101B1 (en) 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
IL143467A (en) 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
WO2000052646A2 (en) 1999-03-03 2000-09-08 Molecularware, Inc. A method and apparatus for automation of laboratory experimentation
US6075334A (en) 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
US6304051B1 (en) 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
US6242879B1 (en) 2000-03-13 2001-06-05 Berkeley Process Control, Inc. Touch calibration system for wafer transfer robot
US6850806B2 (en) 1999-04-16 2005-02-01 Siemens Energy & Automation, Inc. Method and apparatus for determining calibration options in a motion control system
US6474712B1 (en) 1999-05-15 2002-11-05 Applied Materials, Inc. Gripper for supporting substrate in a vertical orientation
WO2001006933A2 (en) 1999-07-26 2001-02-01 Regeneration Technologies, Inc. Suture anchor
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6478532B1 (en) 1999-11-30 2002-11-12 Asyst Technologies, Inc. Wafer orienting and reading mechanism
US6577923B1 (en) 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
US6228429B1 (en) 2000-02-01 2001-05-08 Intevac, Inc. Methods and apparatus for processing insulating substrates
US6327517B1 (en) 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6516244B1 (en) 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
TW559855B (en) 2000-09-06 2003-11-01 Olympus Optical Co Wafer transfer apparatus
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
TW493799U (en) 2000-12-15 2002-07-01 Mosel Vitelic Inc Teaching tool for a robot arm for wafer reaction ovens
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6865499B2 (en) 2001-04-26 2005-03-08 Siemens Energy & Automation, Inc. Method and apparatus for tuning compensation parameters in a motion control system associated with a mechanical member
US6859747B2 (en) 2001-04-26 2005-02-22 Siemens Energy & Automation, Inc. Method and apparatus for self-calibrating a motion control system
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
DE10141025B4 (de) 2001-08-22 2007-05-24 Infineon Technologies Ag Verfahren zum Testen von Wafern unter Verwendung eines Kalibrierwafers und zugehöriger Kalibriewafer
US6855858B2 (en) 2001-12-31 2005-02-15 Exxonmobil Chemical Patents Inc. Method of removing dimethyl ether from an olefin stream
US7058622B1 (en) 2001-12-26 2006-06-06 Tedesco Michael A Method, apparatus and system for screening database queries prior to submission to a database
US7054713B2 (en) 2002-01-07 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Calibration cassette pod for robot teaching and method of using
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
US7085622B2 (en) 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6831436B2 (en) 2002-04-22 2004-12-14 Jose Raul Gonzalez Modular hybrid multi-axis robot
US20030201170A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Apparatus and method for electropolishing a substrate in an electroplating cell
WO2003105192A2 (en) 2002-06-07 2003-12-18 Akrion, Llc Apparatus and method for cassette-less transfer of wafers
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6996456B2 (en) 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US6795786B2 (en) 2002-12-31 2004-09-21 Intel Corporation Robotic sensor calibration system
US7139641B2 (en) 2003-03-27 2006-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer protection system
EP1465011A1 (en) 2003-03-31 2004-10-06 ASML Netherlands B.V. Transfer apparatus for transferring an object and method of use thereof and lithographic projection apparatus comprising such a transfer apparatus
SG125948A1 (en) 2003-03-31 2006-10-30 Asml Netherlands Bv Supporting structure for use in a lithographic apparatus
US7397539B2 (en) 2003-03-31 2008-07-08 Asml Netherlands, B.V. Transfer apparatus for transferring an object, lithographic apparatus employing such a transfer apparatus, and method of use thereof
EP1694885A4 (en) 2003-04-18 2007-12-19 Applied Materials Inc GALVANIZATION SYSTEM WITH MULTIPLE CHEMISTRY
KR101015778B1 (ko) 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US6934606B1 (en) 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US7300082B2 (en) 2003-07-21 2007-11-27 Asyst Technologies, Inc. Active edge gripping and effector
US7039498B2 (en) 2003-07-23 2006-05-02 Newport Corporation Robot end effector position error correction using auto-teach methodology
US6914337B2 (en) 2003-11-04 2005-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Calibration wafer and kit
US7792350B2 (en) 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US7230702B2 (en) 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
DE102004017114B4 (de) 2004-04-07 2012-03-15 Integrated Dynamics Engineering Gmbh Vorrichtung zur Handhabung eines scheibenartigen Elements, insbesondere zur Handhabung eines Wafers
US20060005602A1 (en) 2004-07-06 2006-01-12 Zyvex Corporation Calibration for automated microassembly
US7637142B2 (en) 2004-06-25 2009-12-29 Zyvex Labs Calibration for automated microassembly
US7433759B2 (en) 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US20060046269A1 (en) 2004-09-02 2006-03-02 Thompson Allen C Methods and devices for processing chemical arrays
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7440091B2 (en) 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
JP4671724B2 (ja) 2005-03-16 2011-04-20 信越半導体株式会社 半導体ウェーハの保持用グリッパー及び保持方法並びに形状測定装置
US8167522B2 (en) 2005-03-30 2012-05-01 Brooks Automation, Inc. Substrate transport apparatus with active edge gripper
CN102130033B (zh) 2005-07-08 2014-05-14 交叉自动控制公司 工件支撑结构及其使用设备
CN101305318B (zh) 2005-07-11 2011-07-06 布鲁克斯自动化公司 具有自动化对准的衬底输送设备
US20070080067A1 (en) 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7933685B1 (en) 2006-01-10 2011-04-26 National Semiconductor Corporation System and method for calibrating a wafer handling robot and a wafer cassette
JP4756367B2 (ja) * 2006-08-17 2011-08-24 株式会社ダイフク 物品収納設備
JP2008192840A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20080213076A1 (en) 2007-03-02 2008-09-04 Stephen Hanson Edge grip end effector
JP3134481U (ja) 2007-06-04 2007-08-16 三英貿易株式会社 抱き込み形状のぬいぐるみ
DE102007026299B4 (de) 2007-06-06 2018-08-16 Kuka Roboter Gmbh Industrieroboter und Verfahren zum Programmieren eines Industrieroboters
US20080302480A1 (en) 2007-06-07 2008-12-11 Berger Michael A Method and apparatus for using tapes to remove materials from substrate surfaces
JP2009054993A (ja) 2007-08-02 2009-03-12 Tokyo Electron Ltd 位置検出用治具
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
JP5235376B2 (ja) 2007-10-05 2013-07-10 川崎重工業株式会社 ロボットのターゲット位置検出装置
US20090110532A1 (en) 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
CA2711294C (en) 2008-01-10 2016-03-08 Parata Systems, Llc System and method for calibrating an automated materials handling system
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
NL1036673A1 (nl) 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8242730B2 (en) 2008-06-10 2012-08-14 Nichols Michael J Automated robot teach tool and method of use
WO2010004636A1 (ja) 2008-07-10 2010-01-14 川崎重工業株式会社 ロボット及びその教示方法
US8788087B2 (en) 2008-07-10 2014-07-22 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof
WO2010009048A2 (en) 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
JP5402284B2 (ja) 2008-12-18 2014-01-29 株式会社安川電機 基板搬送ロボット、基板搬送装置、半導体製造装置および基板搬送ロボットの干渉物回避方法
KR101117557B1 (ko) 2009-02-06 2012-03-05 김경순 벤딩형 체크밸브가 장착된 비닐봉투
CN102307538B (zh) 2009-02-09 2014-01-01 李道相 具有经过改良的植入结构的种植体夹具
DE102009011300B4 (de) * 2009-03-02 2022-08-11 Kuka Roboter Gmbh Beladung von Lademitteln mit Paketen mittels Manipulator
DE102009016811A1 (de) 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
TWI680928B (zh) * 2009-04-10 2020-01-01 美商辛波提克有限責任公司 垂直升降系統及在多層儲存結構往返運送空的貨箱單元之方法
CN101592924B (zh) 2009-06-30 2011-01-12 上海科勒电子科技有限公司 自动感应***
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP5557516B2 (ja) * 2009-12-09 2014-07-23 株式会社日立ハイテクノロジーズ 真空処理装置
US8692503B2 (en) 2009-12-18 2014-04-08 Varian Medical Systems, Inc. Homing and establishing reference frames for motion axes in radiation systems
JP5513930B2 (ja) * 2010-03-03 2014-06-04 デマティック アカウンティング サービシーズ ゲーエムベーハー 立体自動倉庫
US9037286B2 (en) * 2010-03-12 2015-05-19 Symbotic Llc Each pick
JP5570296B2 (ja) 2010-05-19 2014-08-13 キヤノンアネルバ株式会社 基板回転装置及び真空処理装置並びに成膜方法
US8731718B2 (en) 2010-10-22 2014-05-20 Lam Research Corporation Dual sensing end effector with single sensor
PL2450492T3 (pl) 2010-11-09 2019-09-30 Geberit International Ag System do mocowania artykułu sanitarnego
US8998554B2 (en) * 2010-12-15 2015-04-07 Symbotic Llc Multilevel vertical conveyor platform guides
US9187244B2 (en) * 2010-12-15 2015-11-17 Symbotic, LLC BOT payload alignment and sensing
US9561905B2 (en) * 2010-12-15 2017-02-07 Symbotic, LLC Autonomous transport vehicle
US20120191517A1 (en) * 2010-12-15 2012-07-26 Daffin Jr Mack Paul Prepaid virtual card
US9499338B2 (en) * 2010-12-15 2016-11-22 Symbotic, LLC Automated bot transfer arm drive system
US10822168B2 (en) * 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US20130173039A1 (en) 2012-01-04 2013-07-04 Seagate Technology Llc Methods and devices for determining a teaching point location using pressure measurements
JP5529920B2 (ja) 2012-05-11 2014-06-25 川崎重工業株式会社 ロボットのターゲット位置検出装置、半導体装置およびターゲット位置検出方法
US20140100999A1 (en) * 2012-10-04 2014-04-10 Amazon Technologies, Inc. Filling an order at an inventory pier

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002514833A (ja) * 1998-05-11 2002-05-21 ジェンマーク・オートメーション・インコーポレーテッド プリアライナおよび平面教示ステーション
JP2002178279A (ja) * 2000-12-12 2002-06-25 Ulvac Japan Ltd 基板搬送方法
WO2011077976A1 (ja) * 2009-12-24 2011-06-30 シャープ株式会社 基板搬送装置およびセンサ取り付け台
CN102763209A (zh) * 2010-02-17 2012-10-31 株式会社尼康 搬送装置、搬送方法、曝光装置、以及元件制造方法
JP2013235870A (ja) * 2012-05-02 2013-11-21 Tokyo Electron Ltd 基板処理装置、調整方法及び記憶媒体
JP2012254524A (ja) * 2012-08-30 2012-12-27 Kawasaki Heavy Ind Ltd ロボット及びその教示方法
JP2014148031A (ja) * 2013-02-04 2014-08-21 Dainippon Screen Mfg Co Ltd 受渡位置教示方法、受渡位置教示装置および基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI816394B (zh) * 2021-05-11 2023-09-21 大陸商杭州眾硅電子科技有限公司 一種標定拋光頭和裝卸台工作位置的裝置和方法

Also Published As

Publication number Publication date
JP6833685B2 (ja) 2021-02-24
US20230215752A1 (en) 2023-07-06
EP3218925B1 (en) 2020-12-30
JP2017535957A (ja) 2017-11-30
US10381252B2 (en) 2019-08-13
CN107112266A (zh) 2017-08-29
TW201634198A (zh) 2016-10-01
US11908721B2 (en) 2024-02-20
KR20230048568A (ko) 2023-04-11
US20210098276A1 (en) 2021-04-01
EP3218925A1 (en) 2017-09-20
US20190371641A1 (en) 2019-12-05
US20190027389A1 (en) 2019-01-24
KR20170082615A (ko) 2017-07-14
TWI710440B (zh) 2020-11-21
US11469126B2 (en) 2022-10-11
US20160129586A1 (en) 2016-05-12
US10770325B2 (en) 2020-09-08
WO2016077387A1 (en) 2016-05-19
KR102516801B1 (ko) 2023-03-31
US10002781B2 (en) 2018-06-19

Similar Documents

Publication Publication Date Title
US11908721B2 (en) Tool auto-teach method and apparatus
US11776834B2 (en) On the fly automatic wafer centering method and apparatus
JP7486570B2 (ja) ロボット埋め込み型視覚装置
JP2020505775A (ja) 基板搬送装置の位置補償のための方法および装置
CN107924863B (zh) 搬送***、搬送机器人及其教导方法
TWI752910B (zh) 同步自動晶圓定心方法及設備
US20230343626A1 (en) Automated Teach Apparatus For Robotic Systems And Method Therefor
TWI846916B (zh) 基板運輸設備及操作基板運輸設備的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220309

Address after: Massachusetts

Patentee after: Borukos automation Holding Co.,Ltd.

Address before: Massachusetts

Patentee before: BROOKS AUTOMATION, Inc.

Effective date of registration: 20220309

Address after: Massachusetts

Patentee after: Borucos automation USA Co.,Ltd.

Address before: Massachusetts

Patentee before: Borukos automation Holding Co.,Ltd.