KR20220017968A - 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드 - Google Patents

개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드 Download PDF

Info

Publication number
KR20220017968A
KR20220017968A KR1020220011054A KR20220011054A KR20220017968A KR 20220017968 A KR20220017968 A KR 20220017968A KR 1020220011054 A KR1020220011054 A KR 1020220011054A KR 20220011054 A KR20220011054 A KR 20220011054A KR 20220017968 A KR20220017968 A KR 20220017968A
Authority
KR
South Korea
Prior art keywords
holes
showerhead
face plate
plate
diameter
Prior art date
Application number
KR1020220011054A
Other languages
English (en)
Other versions
KR102627544B1 (ko
Inventor
라메시 찬드라세카란
상러트 상플렁
샹카 스와미나단
프랭크 엘. 파스콸레
후 강
애드리언 라보이
에드워드 아우구스티니악
유키노리 사키야마
끌로에 발다세로니
세샤세이 바라다라잔
바샤 사자드
제니퍼 엘. 페트라글리아
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220017968A publication Critical patent/KR20220017968A/ko
Priority to KR1020230151477A priority Critical patent/KR20230157908A/ko
Application granted granted Critical
Publication of KR102627544B1 publication Critical patent/KR102627544B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 프로세싱 장치 내의 샤워헤드는 ALD (atomic layer deposition) 동안 흐름 균일성을 개선하도록 구성된 대면 플레이트 쓰루홀들을 포함할 수 있다. 샤워헤드는 기판 상으로 가스를 분배하기 위한 복수의 쓰루홀들을 갖는 대면 플레이트를 포함할 수 있고, 대면 플레이트는 작은 직경의 쓰루홀들을 포함한다. 예를 들어, 쓰루홀들 각각의 직경은 약 0.04 인치 미만일 수 있다. 부가적으로 또는 대안적으로, 샤워헤드는 프로세싱될 기판의 직경보다 보다 큰 직경을 갖는 링을 따라 원주방향으로 위치된 에지 쓰루홀들을 포함할 수 있다. 샤워헤드는 저 볼륨 샤워헤드일 수 있고 샤워헤드의 플레넘 볼륨과 연통하는 하나 이상의 가스 유입부들에 인접한 배플을 포함할 수 있다. 작은 직경의 쓰루홀들 및/또는 에지 쓰루홀들을 갖는 대면 플레이트는 전체 막 불균일성을 개선할 수 있고, 기판의 에지에서 방위각 막 불균일성을 개선할 수 있고, 보다 높은 RF 전력들에서 동작을 인에이블할 수 있다.

Description

개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드{LOW VOLUME SHOWERHEAD WITH FACEPLATE HOLES FOR IMPROVED FLOW UNIFORMITY}
본 개시는 일반적으로 반도체 프로세싱 장치들 내에서 가스를 분배하기 위한 샤워헤드들에 관한 것이다. 본 개시의 특정한 양태들은, ALD (atomic layer deposition) 프로세스들에서 가스를 분배하기 위해 다공성 배플, 대면 플레이트 내의 작은 직경 스루홀들 및/또는 대면 플레이트 내 부가적인 에지 스루홀들을 갖는 저 볼륨 샤워헤드에 관련된다.
반도체 프로세싱 툴들은 종종 반도체 기판 또는 웨이퍼에 걸쳐 상대적으로 균일한 방식으로 프로세스 가스들을 분배하도록 설계된 컴포넌트들을 포함한다. 이러한 컴포넌트들은 일반적으로 산업계에서 "샤워헤드들"로 지칭된다. 샤워헤드들은 통상적으로 플레넘 볼륨과 어느 정도 대면하는 대면 플레이트를 포함한다. 대면 플레이트는 플레넘 볼륨 내의 가스로 하여금 대면 플레이트를 통해 기판과 대면 플레이트 사이 (또는 웨이퍼를 지지하는 웨이퍼 지지부와 대면 플레이트 사이) 의 반응 공간 내로 흐르게 하는 복수의 스루홀들을 포함할 수도 있다. 스루홀들은 통상적으로 웨이퍼에 걸친 가스 분배가 실질적으로 균일한 기판 프로세싱을 발생시키도록 배열된다.
본 개시는 반도체 프로세싱 장치 내에서 사용하기 위한 샤워헤드에 관련된다. 샤워헤드는, 제 1 표면 및 제 1 표면의 반대편에 제 2 표면을 갖는 플레넘 볼륨을 포함하고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 형성한다. 샤워헤드는 또한 플레넘 볼륨과 유체로 연통하는 하나 이상의 가스 유입부들, 복수의 대면 플레이트 스루홀들을 포함하는 대면 플레이트, 및 하나 이상의 가스 유입부들에 인접하게 배치된 배플을 포함한다. 복수의 대면 플레이트 스루홀들은 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 연장하고, 대면 플레이트의 제 1 측면은 플레넘 볼륨의 제 1 표면을 형성하고, 대면 플레이트 스루홀들 각각은 약 0.04 인치 미만의 직경을 갖는다.
일부 구현예들에서, 배플은 복수의 배플 스루홀들을 포함한다. 배플의 기공율 (porosity) 은 약 5 % 내지 약 25 %일 수 있다. 일부 구현예들에서, 배플은 플레넘 볼륨과 하나 이상의 가스 유입부들 사이의 영역에 위치될 수 있다. 일부 구현예들에서, 대면 플레이트 스루홀들 각각의 직경은 약 0.01 인치 내지 약 0.03 인치이다. 일부 구현예들에서, 대면 플레이트 스루홀들의 직경은 대면 플레이트로부터 나오는 가스의 흐름의 공간적 균일도를 증가시키도록 구성된다. 일부 구현예들에서, 대면 플레이트 스루홀들의 직경은 대면 플레이트의 외측으로부터 플레넘 볼륨 내로 들어가는 플라즈마의 백스트리밍을 감소시키도록 구성된다.
본 개시는 또한 전술한 샤워헤드를 포함하는 반도체 프로세싱 스테이션에 관련된다. 반도체 프로세싱 스테이션은 다음의 동작들: 반도체 프로세싱 스테이션 내로 기판을 제공하고, 기판의 표면에 흡착하도록 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 반응물질 가스를 도입하고, 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 퍼지 가스를 도입하고, 그리고 기판의 표면 상의 흡착된 반응물질 가스로부터 박막 층을 형성하도록 플라즈마를 인가하는 동작을 수행하기 위한 인스트럭션들로 구성된 제어기를 포함한다. 일부 구현예들에서, 플라즈마는 약 500 W 보다 큰 RF 전력으로 인가되고, 그리고 박막 층의 막 불균일도는 약 0.5 % 미만이다. 일부 구현예들에서, 박막 층의 막 불균일도는 약 0.3 % 미만이다.
본 개시는 또한 반도체 프로세싱 장치 내에서 사용하기 위한 샤워헤드에 관련되고, 샤워헤드는, 제 1 표면 및 제 1 표면의 반대편에 제 2 표면을 갖는 플레넘 볼륨을 포함하고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 형성한다. 샤워헤드는 또한 플레넘 볼륨과 유체로 연통하는 하나 이상의 가스 유입부들; 복수의 대면 플레이트 스루홀들을 포함하는 대면 플레이트; 및 하나 이상의 가스 유입부들에 인접하게 배치된 배플을 포함한다. 복수의 대면 플레이트 스루홀들은 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 연장하고, 대면 플레이트의 제 1 측면은 플레넘 볼륨의 제 1 표면을 형성하고, 복수의 대면 플레이트 스루홀들은 중앙 스루홀들 및 중앙 스루홀들을 둘러싸는 에지 스루홀들을 포함하고, 에지 스루홀들은 샤워헤드를 사용하도록 구성된 기판의 직경보다 큰 직경으로 대면 플레이트의 제 2 측면의 원주방향으로 배치된다.
일부 구현예들에서, 에지 스루홀들은 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 약 90 °미만의 각도로 기울어진다. 일부 구현예들에서, 스루홀들은 제 1 링 및 제 1 링을 둘러싸는 제 2 링을 따라 대면 플레이트의 제 2 측면의 원주방향으로 배치된다. 일부 구현예들에서, 제 1 링은 약 300 ㎜보다 큰 직경을 갖고, 제 2 링은 약 310 ㎜보다 큰 직경을 갖는다. 일부 구현예들에서, 제 2 링의 에지 스루홀들은 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 약 75 °미만의 각도로 기울어진다. 일부 구현예들에서, 배플은 플레넘 볼륨과 하나 이상의 가스 유입부들 사이의 영역에 위치되고, 그리고 배플은 복수의 배플 스루홀들을 포함한다. 일부 구현예들에서, 대면 플레이트 스루홀들 각각의 직경은 약 0.04 인치 미만이다.
본 개시는 또한 전술한 샤워헤드를 포함하는, 반도체 프로세싱 스테이션에 관련된다. 반도체 프로세싱 스테이션은 다음의 동작들: 반도체 프로세싱 스테이션 내로 기판을 제공하고, 기판의 표면에 흡착하도록 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 반응물질 가스를 도입하고, 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 퍼지 가스를 도입하고, 그리고 기판의 표면 상의 흡착된 반응물질 가스로부터 박막 층을 형성하도록 플라즈마를 인가하는 동작을 수행하기 위한 인스트럭션들로 구성된 제어기를 포함한다.
도 1은 배플을 갖는 예시적인 샤워헤드의 등축 단면도를 도시한다.
도 2는 다공성 배플을 갖는 예시적인 저 볼륨 샤워헤드의 등축 단면도를 도시한다.
도 3은 도 2의 다공성 배플을 갖는 저 볼륨 샤워헤드의 확대된 등축 단면도를 도시한다.
도 4는 2 개의 예시적인 샤워헤드들의 측단면도들의 나란한 비교 (side-by-side comparison) 를 도시한다.
도 5는 저 볼륨 샤워헤드의 대면 플레이트 및 다공성 배플을 위한 스루홀들의 배열의 예시적인 레이아웃을 도시한다.
도 6a는 샤워헤드 내에서 공칭 가스 흐름 방향들을 나타내는 화살표들과 함께 배플을 포함하는 예시적인 샤워헤드의 일부의 측단면도를 도시한다.
도 6b는 저 볼륨 샤워헤드 내에서 공칭 가스 흐름 방향들을 나타내는 화살표들과 함께 다공성 배플을 포함하는 예시적인 저 볼륨 샤워헤드의 일부의 측단면도를 도시한다.
도 7a는 샤워헤드 내 예시적인 배플의 등축도를 도시한다.
도 7b는 샤워헤드 내에 복수의 스루홀들을 포함하는 예시적인 배플의 등축도를 도시한다.
도 8은 대면 플레이트의 방사상 치수의 함수로서 샤워헤드의 대면 플레이트로부터 가스의 축방향 흐름 속도를 도시하는 그래프를 도시한다.
도 9는 2 개의 샤워헤드들에 대한 ALD의 불균일도의 백분율을 도시하는 그래프를 도시한다.
도 10은 복수의 대면 플레이트 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다.
도 11은 복수의 작은 직경 대면 플레이트 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다.
도 12는 라디칼들의 역-확산 (back-diffusion) 을 제한하는 대면 플레이트 스루홀의 단면 개략도를 도시한다.
도 13a는 감소하는 대면 플레이트 스루홀 직경을 갖는 대면 플레이트의 방사상 거리의 함수로서 대면 플레이트로부터 가스의 축방향 흐름 속도를 도시하는 그래프를 도시한다.
도 13b는 0.04-인치 직경 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드 대 0.02-인치 직경 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드의 막 불균일도를 도시하는 그래프를 도시한다.
도 14a는 복수의 중앙 스루홀들 및 복수의 에지 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다.
도 14b는 복수의 중앙 스루홀들, 제 1 링을 따르는 복수의 에지 스루홀들, 및 제 2 링을 따르는 복수의 에지 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다.
도 14c는 중앙 스루홀들 및 기울어진 에지 스루홀들을 갖는 예시적인 대면 플레이트의 확대된 등축 단면도를 도시한다.
도 15a는 중앙 스루홀들을 갖는 예시적인 대면 플레이트 및 중앙 스루홀들 및 에지 스루홀들을 갖는 예시적인 대면 플레이트를 비교하는 측단면도들이다.
도 15b는 도 15a의 2 개의 예시적인 대면 플레이트들을 비교하는 측단면도의 확대된 부분을 도시한다.
도 15c는 도 15a의 2 개의 예시적인 대면 플레이트들을 비교하는 등축 단면도의 확대된 부분을 도시한다.
도 16은 저 볼륨 샤워헤드를 포함할 수도 있는 멀티-스테이션 프로세싱 툴의 개략도를 도시한다.
이하의 기술에서, 다수의 구체적인 상세들은 제시된 개념들의 전체적인 이해를 제공하도록 언급된다. 제시된 개념들은 이들 특저한 상세들 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들과 함께 기술될 것이지만, 이들 실시예들은 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 출원서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 실리콘 웨이퍼 상의 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것이 이해될 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현되는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학적 엘리먼트들, 마이크로-미케니컬 (micro-mechanical) 디바이스들 등과 같은 다양한 물품들을 포함한다.
몇몇 관례들이 본 개시의 도면들 및 논의들 중 일부에서 채택되었다. 예를 들어, 다양한 지점들에서 "볼륨들", 예를 들어, "플레넘 볼륨들"에 대한 참조가 이루어졌다. 이들 볼륨들은 다양한 도면들에서 일반적으로 나타낼 수도 있지만 도면들 및 동반된 수치적 식별자들은 이러한 볼륨들의 근사치를 나타내고, 실제 볼륨들은, 예를 들어, 볼륨들의 경계를 이루는 다양한 솔리드 표면들까지 연장될 수도 있다는 것이 이해된다. 다양한 보다 작은 볼륨들, 예를 들어, 가스 유입부들 또는 플레넘 볼륨의 다른 솔리드 경계 표면들을 관통하는 (lead through) 다른 홀들은 플레넘 볼륨들에 유체적으로 연결될 수도 있다.
"위에", "상단 상", "아래에", "밑에 (underneath)" 등과 같은 상대적인 용어들의 사용은 샤워헤드의 정상적인 사용 동안 이들 컴포넌트들의 배향들에 대한 컴포넌트들의 공간적 관계들을 참조하는 것으로 이해된다는 것이 이해되어야 한다. 즉, 샤워헤드는 기판 프로세싱 동작들 동안 기판을 향해 아래로 가스들을 분배하도록 배향될 수 있다.
서론
반도체 프로세싱에 사용된 다양한 증착 기법들 중, 일 특정한 증착 기법은 ALD (atomic layer deposition) 를 포함한다. 열적으로 활성화된 가스 상 반응들이 막들을 증착하기 위해 사용되는, CVD (chemical vapor deposition) 프로세스와 반대로, ALD 프로세스들은 층 단위로 막들을 증착하기 위해 표면 매개된 증착 반응들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성 사이트들의 집단을 포함하는, 기판 표면은 제 1 막 전구체 (P1) 의 가스 상 분포에 노출된다. P1의 일부 분자들은 P1의 화학흡착된 종 및 물리흡착된 분자들을 포함하는 기판 표면 상에 응결된 상을 형성할 수도 있다. 이어서 반응기는 화학흡착된 종만 남도록 가스 상 및 물리흡착된 P1을 제거하도록 배기된다. 이어서 제 2 막 전구체 (P2) 의 일부 분자들이 기판 표면에 흡착하도록 P2가 반응기로 도입된다. 반응기는, 이 때 결합되지 않은 (unbound) P2를 제거하기 위해 다시 배기될 수도 있다. 그 후에, 기판에 제공된 에너지가 P1과 P2의 흡착된 분자들 사이의 표면 반응들을 활성화하여 막 층을 형성한다. 마지막으로, 반응기는 반응 부산물 및 가능하게는 반응되지 않은 P1 및 P2를 제거하도록 배기되고, ALD 사이클을 종료한다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 포함될 수도 있다.
전구체 도즈 단계들의 노출 시간 및 전구체들의 부착 계수들에 따라, ALD 사이클 각각은 일 예에서, 1/2 내지 3 Å 두께의 막 층을 증착할 수도 있다. ALD 사이클 각각은 약 5 초 미만, 약 3 초 미만, 또는 약 2 초 미만 지속될 수 있다.
CFD (conformal film deposition) 는, 증착이 복수의 사이클들에 걸쳐 수행되고, 사이클 각각이 소량의 반응물질 또는 전구체를 채용하는, ALD 기법들과 유사한 증착 기법이다. 통상적으로, CFD 막을 생성하기 위한 표면 반응은 표면 흡착된 반응물질(들)을 플라즈마, 자외선 복사, 또는 유사한 소스로의 노출에 의해 활성화된다. 일부 경우들에서, 일 반응물질은 CFD의 몇몇 사이클들을 포함할 수도 있는, 증착 프로세스 동안 연속적으로 흐른다. ALD 프로세스들과 달리, 많은 CFD 프로세스들은 2 이상의 반응물질들로 하여금 챔버 내에서 증기 상으로 공존하게 할 수도 있다. CFD에서, ALD 프로세스에서 기술된 하나 이상의 프로세스 단계들은 예시적인 CFD 프로세스에서 단축되거나 생략될 수도 있다. CFD를 사용하여 막들을 형성하기 위한 방법들은 모든 목적들을 위해 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 미국 특허 출원 제 13/084,399 호에 기술된다. 이러한 맥락에서, CFD의 짧은 기술이 제공된다.
일반적으로, CFD 사이클은 표면 증착 반응을 위해 수행될 수도 있는 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적인 박막 층의 생성이다. 통상적으로, CFD 사이클은 반응물질 각각을 기판 표면으로 전달 및 흡착시키고, 이어서 막의 부분적인 층을 형성하도록 이렇게 흡착된 반응물질들을 반응시키기 위해 필요한 단계들만을 포함할 것이다. 물론, 사이클은 특정한 보조적 단계들, 예컨대 반응물질들 또는 부산물들 중 하나 이상을 스위핑하는 것 및/또는 증착될 때 부분적인 막을 처리하는 것을 포함할 수도 있다. 일반적으로, 사이클은 고유한 동작들의 시퀀스의 일 예만을 포함한다. 예로서, 사이클은 다음과 같은 동작들: (i) 반응물질 A의 전달 및 흡착, (ii) 반응물질 B의 전달 및 흡착, (iii) 퍼지 가스를 사용하여 반응 챔버로부터 반응물질 B 스위핑, 및 (iv) 표면 상에 부분적인 막 층을 형성하도록 반응물질 A와 B의 표면 반응을 구동하도록 플라즈마를 인가하는 것을 포함할 수도 있다. 일부 구현예들에서, 이들 단계들은 도즈 단계, 퍼지 단계 및 플라즈마 단계로서 특징화될 수 있다. 일부 구현예들에서, 플라즈마-후 퍼지 단계는 추가 퍼지를 위해서 사이클 내에 포함될 수도 있다.
일부 구현예들은 상이한 프로세스 시퀀스들을 사용할 수도 있다. 일 가능한 프로세스는 다음과 같은 동작들의 시퀀스를 포함한다: (1) 보조 반응물질을 연속적으로 흘림, (2) 실리콘-함유 또는 다른 주 반응물질의 도즈를 제공, (3) 퍼지 1, (4) 기판을 RF 플라즈마에 노출, (5) 퍼지 2. 또 다른 대안적인 프로세스는 다음과 같은 동작들의 시퀀스를 포함한다: (1) 불활성 가스를 연속적으로 흘림, (2) 실리콘-함유 또는 다른 주 반응물질의 도즈를 제공, (3) 퍼지 1, (4) 산화제 또는 다른 보조 반응물질의 도즈를 제공하는 동안 기판을 RF 플라즈마에 노출, (5) 퍼지 2.
일반적으로, "스위핑" 또는 "퍼지" 페이즈의 개념은 반응 챔버로부터 기체 상의 반응물질 중 하나를 제거 또는 퍼지하고 통상적으로, 이러한 반응물질의 전달이 완료된 후에만 발생한다. 즉, 반응물질은 퍼지 페이즈 동안에 반응 챔버로 더 이상 전달되지 않는다. 그러나, 반응물질은 퍼지 페이즈 동안에 기판 표면 상에 흡착된 상태로 유지된다. 통상적으로, 퍼지는 반응물질이 목표된 레벨로 기판 표면 상에 흡착된 후에 챔버 내의 모든 잔류 기체 상 반응물질을 제거하도록 역할을 한다. 퍼지 페이즈는 또한 기판 표면으로부터 약하게 흡착된 종 (예를 들어, 특정 전구체 리간드들 또는 반응 부산물들) 을 제거할 수도 있다.
반도체 프로세싱 장비에서, 샤워헤드는 종종 목표된 방식으로, 예컨대, 균일하게 분배되는 방식으로 반도체 기판에 걸쳐 프로세스 가스들을 분배하는데 사용된다. 샤워헤드들은 통상적으로 샤워헤드 외측으로 이어지는 복수의 가스 분배 홀들을 갖는 대면 플레이트로 경계를 이루는 플레넘을 포함한다. 대면 플레이트는 통상적으로 반도체 프로세싱 챔버 또는 반응 챔버 내의 기판 반응 영역과 대면하고, 기판들은 보통 반도체 프로세싱 챔버 내의 대면 플레이트 밑, 예컨대, 대면 플레이트 아래의 위치에서 웨이퍼를 지지하는 웨이퍼 지지부 또는 페데스탈 상에 위치된다.
ALD에서 박막들은 자기-제한 그리고 자기-포화 방식으로 기판 표면 상에서 성장한다. 즉, 전구체들이 전달되고 표면 상의 모든 반응성 사이트들이 일단 소모되면 반응이 종결되도록 자기-제한 방식으로 표면과 반응한다. 이는 ALD 프로세스의 특정 단계가 포화 상태에 도달하였음을 의미한다. 통상적으로, 막 균일도는 완전 포화에 도달하면 문제가 되지 않는다. 그러나, 수많은 ALD 프로세스들은 보다 경제적으로 동작하며 특정한 쓰루풋 문턱값을 필요로 한다. 그 결과, ALD에서의 단계들 모두가 목표된 쓰루풋을 달성하도록 완전 포화에 이르지 않으며, 따라서 ALD 프로세스들에서의 완전 포화는 쓰루풋을 억제할 수도 있다. 예로서, ALD 프로세스는 목표된 쓰루풋을 달성하기 위해 약 70 % 내지 약 99 % 포화도에 도달할 수도 있다. 본 명세서에서 사용된 바와 같이, ALD 프로세스들은 CFD 프로세스들을 포함할 수 있고 상호교환가능하게 사용될 수도 있다.
따라서, 보다 높은 쓰루풋은 막 균일도를 희생시켜가면서 달성될 수 있고, 보다 큰 막 균일도는 쓰루풋을 희생시켜가면서 달성될 수 있다. 그러나, 본 개시의 샤워헤드는 막 균일도 및 쓰루풋을 개선하도록 설계될 수 있다. 일부 구현예들에서, 샤워헤드는 ALD 프로세스들에서 프로세스 가스들 또는 퍼지 가스들의 전달을 용이하게 하도록 설계될 수 있다. ALD 프로세스에서, 기체 상의 반응물질들의 전달 시 흐름 균일도를 개선하는 것은 특히 도즈 단계 및 플라즈마 단계 동안에 증착된 막들의 균일도를 개선할 수 있다. 또한, 퍼지 시간을 개선하는 것은 퍼지 단계의 효율을 개선할 수 있고, 이로써 ALD 프로세스의 쓰루풋을 증가시킬 수 있다.
쓰루풋을 개선하는 샤워헤드는 샤워헤드의 볼륨을 감소시킴으로써 획득될 수 있다. 플레넘 볼륨 및 스템 볼륨은 퍼지 단계 동안 전구체들의 퍼지를 완료하기 위한 퍼지 시간을 저감하도록 작아지거나 최소화될 수 있다. 퍼지 가스가 반응 챔버 내로 신속하게 그리고 효율적으로 전달될 수 있도록 감소된 볼륨은 배압 (backpressure) 을 증가시킨다. 그러나, 샤워헤드의 볼륨을 감소시키는 것은 통상적으로 증착된 막의 막 균일도를 떨어뜨린다. 샤워헤드의 대면 플레이트에 걸쳐서 공간적으로 균일한 흐름을 얻는 것은 저 볼륨 샤워헤드에서 어려울 수도 있다. 대면 플레이트에 걸친 공간적으로 불균일한 흐름은 증착되는 막에서 두께 불균일성을 발생시킬 수 있다. 상술한 바와 같이, 일부 ALD 프로세스들에서, ALD 프로세스의 증착 사이클은 짧을 수도 있고 완전 포화에 도달되지 못하게 할 수도 있다. 따라서, 대면 플레이트에 걸친 공간적으로 불균일한 흐름은 증착된 막들의 막 균일도 및 막 특성들에 부정적인 영향을 줄 수도 있다.
다공성 배플을 갖는 저 볼륨 샤워헤드
샤워헤드는 공간적으로 불균일한 흐름의 불이익을 상당히 겪지 않고 감소된 볼륨을 가질 수 있다. 이러한 저 볼륨 샤워헤드는 스템 볼륨과 플레넘 볼륨 사이의 영역 내로 리세스된 다공성 배플을 포함할 수 있고, 이는 모든 목적들을 위해 전체가 본 명세서에 참조로서 인용된, 명칭이 "LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE"인 2015년 3월 25일 출원된 Chandrasekharan 등의 미국 특허 출원 제 14/668,511 호에 기술된다.
저 볼륨 샤워헤드는 약 500 밀리리터 이하의 총 볼륨을 갖는 샤워헤드를 말한다. 일부 구현예들에서, 저 볼륨 샤워헤드는 약 50 밀리리터 내지 약 500 밀리리터의 볼륨을 가질 수 있다. 종래의 샤워헤드는 특히 ALD 애플리케이션들에서는, 500 밀리리터보다 큰 볼륨을 가질 수 있다.
일반적으로, 2 개의 샤워헤드들의 주요한 타입들이 있다: 샹들리에 타입 및 플러시 마운트 타입 (flush mount type). 샹들리에 타입 샤워헤드는 일 단부가 챔버의 상단에 부착되며 타단부가 대면 플레이트 또는 백 플레이트에 부착된 스템을 갖는다. 스템의 일부는 가스 라인들 및 RF 전력을 연결하기 위해서 챔버 상단으로부터 돌출될 수도 있다. 플러시 마운트 샤워헤드 타입은 챔버의 상단부 내로 통합되며 통상적으로 스템을 가지지 않는다. 도시된 도면들이 대체로 샹들리에 타입 샤워헤드들을 참조하지만, 본 개시는 역시 플러시 마운트 타입 샤워헤드들에도 적용될 수 있다는 것이 이해되어야 한다.
도 1은 배플 (110) 을 갖는 예시적인 샤워헤드 (100) 의 등축 단면도를 도시한다. 도 1의 샤워헤드 (100) 는 500 밀리리터보다 큰 볼륨을 가지며 비-다공성 배플 (110) 을 포함할 수 있다. 도 1에 도시된 바와 같이, 샤워헤드 (100) 는 백 플레이트 (102) 및 대면 플레이트 (104) 를 포함하며, 백 플레이트 (102) 및 대면 플레이트 (104) 는 개별 기계적 컴포넌트들이거나 단일 바디로 일체화될 수도 있다. 백 플레이트 (102) 및 대면 플레이트 (104) 는 서로 반대편에 배치될 수도 있다. 대면 플레이트 (104) 는 기판으로의 가스의 전달을 실현하는 복수의 가스 분배 홀들 또는 스루홀들 (132) 을 가질 수 있다. 플레넘 볼륨 (130) 은 백 플레이트 (102) 와 대면 플레이트 (104) 간에서 형성될 수도 있고, 이 경우에 플레넘 볼륨 (130) 은 제 1 표면 및 제 1 표면의 반대편의 제 2 표면을 갖는다. 일부 구현예들에서, 플레넘 볼륨 (130) 의 제 1 표면 및 제 2 표면은 원주형 표면들 (circumferential surfaces) 을 가질 수 있다. 제 1 표면 및 제 2 표면은 샤워헤드 (100) 의 플레넘 볼륨 (130) 을 적어도 부분적으로 형성할 수 있다. 대면 플레이트 (104) 의 제 1 측면은 플레넘 볼륨 (130) 의 제 1 표면을 형성할 수 있다. 백 플레이트 (102) 의 제 2 측면은 플레넘 볼륨 (130) 의 제 2 표면을 형성할 수 있다. 일반적으로, 플레넘 볼륨 (130) 의 제 1 측면은 기판의 직경과 유사하거나 실질적으로 유사한 직경을 가질 수 있고, 샤워헤드는 이 기판에 사용하기 위해 구성된다. 일부 구현예들에서, 도 1에서 예시된 바와 같이, 플레넘 볼륨 (130) 은 플레넘 볼륨 (130) 의 제 2 표면을 따라 형상이 실질적으로 원뿔형일 수 있다.
플레넘 볼륨 (130) 에는 가스, 예컨대, 반응물질 가스 또는 퍼지 가스가, 하나 이상의 가스 유입부들 (120) 을 통해서 공급될 수도 있다. 도 1에서 가스 유입부 (120) 는 가스의 전달을 위해 가스 공급부 또는 공급부들에 연결될 수도 있다. 가스 유입부 (120) 는 스템 (122) 을 포함하며, 이 경우에 스템 (122) 은 좁은 튜브 (124) 에 연결된 확장된 튜브 (126) 를 포함할 수 있다. 확장된 튜브 (126) 는, 플레넘 볼륨 (130) 에 도달할 때 보다 공간적으로 분산된 흐름을 제공하도록 좁은 튜브 (124) 의 직경보다 큰 직경을 가질 수 있다.
샤워헤드 (100) 는 플레넘 볼륨 (130) 내에 리세스된 배플 (110) 을 더 포함할 수 있다. 배플 (110) 은, 가스를 외측으로 플레넘 볼륨 (130) 도처로 그리고 대면 플레이트 (104) 의 에지를 향해 지향시키도록, 플레넘 볼륨 (130) 내에 장착된 솔리드 또는 비다공성 구조체일 수도 있다. 배플 (110) 은 가스 유입부 (120) 에 인접할 수도 있다. 배플 (110) 은, 플레넘 볼륨 (130) 내에서 가스의 분배를 허용하도록 가스 유입부 (120) 로부터 특정 거리에 장착될 수도 있다. 또한, 가스 유입부 (120) 와 배플 (110) 간에 보다 큰 공간을 제공하도록 제 2 표면에서의 플레넘 볼륨 (130) 은 원뿔형일 수 있다. 일부 구현예들에서, 배플 (110) 은 원형이며 확장된 튜브 (126) 의 직경보다 큰 직경을 가질 수 있다. 가스의 흐름을 외측으로 플레넘 볼륨 (130) 도처로 지향시킴으로써, 보다 큰 흐름 균일도가 획득될 수 있다. 또한, 대면 플레이트 (104) 의 중심을 통해서 분사되는 (jet) 가스 흐름을 방지하거나 그렇지 않으면 감소시키도록, 배플 (110) 은 실질적으로 가스 유입부 (120) 에 중심이 있을 수 있다.
도 2는 다공성 배플 (210) 을 갖는 예시적인 저 볼륨 샤워헤드 (200) 의 등축 단면도를 도시한다. 다공성 배플 (210) 은 또한 다공성 배플 플레이트로서 지칭될 수도 있다. 도 2의 저 볼륨 샤워헤드 (200) 는 약 50 밀리리터 내지 약 500 밀리리터의 볼륨을 가질 수 있으며 다공성 배플 (210) 을 포함할 수 있다. 일부 구현예들에서, 저 볼륨 샤워헤드 (200) 는 약 100 밀리리터 내지 약 300 밀리리터의 볼륨을 가질 수 있다. 저 볼륨 샤워헤드 (200) 는 백 플레이트 (202) 및 대면 플레이트 (204) 를 포함하며, 이 경우에 백 플레이트 (202) 및 대면 플레이트 (204) 는 개별 기계적 컴포넌트들이거나 단일 바디로 일체화될 수도 있다. 백 플레이트 (202) 및 대면 플레이트 (204) 는 서로 반대편에 배치될 수도 있다. 일부 구현예들에서, 백 플레이트 (202) 및 대면 플레이트 (204) 각각은 형상이 원통형일 수도 있다. 대면 플레이트 (204) 는 기판으로의 가스 전달을 실현하기 위해서 복수의 스루홀들 (232) 을 가질 수 있다. 일부 구현예들에서, 대면 플레이트 (204) 의 사이즈 (예를 들어, 직경) 는 프로세싱될 기판의 사이즈에 따라 구성될 수도 있고, 이 경우 대면 플레이트 (204) 의 사이즈는 프로세싱될 기판의 사이즈보다 약간 보다 클 수 있다. 예를 들어, 프로세싱될 기판의 직경은 약 300 ㎜이고, 대면 플레이트 (204) 의 직경은 약 300 ㎜ 내지 약 350 ㎜일 수 있다. 스루홀들 (232) 은 대면 플레이트 (204) 를 통해서, 대면 플레이트 (204) 의 제 1 측면으로부터 제 2 측면으로 연장될 수도 있다. 플레넘 볼륨 (230) 은 백 플레이트 (202) 와 대면 플레이트 (204) 간에서 형성될 수도 있고, 플레넘 볼륨 (230) 은 제 1 표면 및 제 1 표면의 반대편의 제 2 표면을 가질 수 있다. 일부 구현예들에서, 플레넘 볼륨 (230) 은 제 1 표면 및 제 2 표면은 원주형 표면들을 가질 수 있다. 제 1 표면 및 제 2 표면은 저 볼륨 샤워헤드 (200) 의 플레넘 볼륨 (230) 을 적어도 부분적으로 형성할 수 있다. 대면 플레이트 (204) 의 제 1 측면은 플레넘 볼륨 (230) 의 제 1 표면을 형성할 수 있다. 백 플레이트 (202) 의 제 2 측면은 플레넘 볼륨 (230) 의 제 2 표면을 형성할 수 있다. 일부 구현예들에서, 도 2에서 예시된 바와 같이, 플레넘 볼륨 (230) 은 그 형상이 원통형 또는 실질적으로 원통형일 수 있다. 도 2의 플레넘 볼륨 (230) 이 도 1의 플레넘 볼륨 (130) 에 비해서 감소된 볼륨을 갖기 때문에, 이는 샤워헤드의 전체 내부 볼륨을 감소시킬 수 있다.
플레넘 볼륨 (230) 에는 가스, 예컨대, 반응물질 가스 또는 퍼지 가스가, 하나 이상의 가스 유입부들 (220) 를 통해서 공급될 수도 있다. 도 2의 가스 유입부 (220) 는 가스 전달을 위해 가스 공급부 또는 공급부들에 연결될 수도 있다. 가스 유입부 (220) 는 스템 (222) 을 포함할 수 있고, 이 경우에 스템 (222) 은 좁은 튜브 (224) 를 포함할 수 있다. 스템 (222) 은 플레넘 볼륨 (230) 과 유체로 연통할 수 있다. 스템 (222) 의 볼륨은 일부 구현예들에서 약 1 밀리리터 내지 약 50 밀리리터일 수 있다. 전체 스템 (222) 으로서 좁은 튜브 (224) 를 제공하는 것은 또한 도 2의 좁은 튜브 (224) 는 도 1에서의 확장된 튜브 (126) 보다 작은 직경을 가지기 때문에, 샤워헤드의 전체 내부 볼륨을 감소시킬 수 있다.
저 볼륨 샤워헤드 (200) 는 가스 유입부 (220) 에 인접하게, 예컨대 플레넘 볼륨 (230) 과 가스 유입부 (220) 간의 영역 (235) 내에 다공성 배플 (210) 을 더 포함할 수 있다. 도 3은 도 2의 저 볼륨 샤워헤드 (200) 의 다공성 배플 (210) 의 확대된 등축 단면도를 도시한다. 일부 구현예들에서, 다공성 배플 (210) 은 영역 (235) 내로 리세스될 수 있으며, 이 경우에 다공성 배플 (210) 은 가스 유입부 (220) 로부터 특정 거리에 그리고 플레넘 볼륨 (230) 위에 장착될 수도 있다. 다공성 배플 (210) 이 영역 (235) 내에 위치할 수 있지만, 다공성 배플 (210) 은 일부 다른 구현예들에서 플레넘 볼륨 (230) 내에 위치할 수도 있다는 것이 이해된다. 따라서, 다공성 배플 (210) 은 영역 (235) 을 통해서 연장되는 가스 유입부 (220) 로부터 일정 거리에 장착될 수도 있다. 영역 (235) 은 백 플레이트 (202) 의 리세스된 볼륨일 수 있다. 이 영역 (235) 은 가스 유입부 (220) 와 플레넘 볼륨 (230) 간의 가스의 흐름을 위한 전이 영역을 제공한다. 일부 구현예들에서, 영역 (235) 은 백 플레이트 (202) 의 제 2 측면 내로 리세스될 수 있으며, 이 경우에 백 플레이트 (202) 의 제 2 측면은 플레넘 볼륨 (230) 의 제 2 표면을 형성한다. 일부 구현예들에서, 스템 (222), 영역 (235), 및 플레넘 볼륨 (230) 각각은 원통형 볼륨을 형성하고, 이 경우에 플레넘 볼륨 (230) 의 직경은, 영역 (235) 의 직경보다 크고, 영역 (235) 의 직경은 스템 (222) 의 직경보다 크다.
다공성 배플 (210) 이 플레넘 볼륨 (230) 과 가스 유입부 (220) 간의 영역 (235) 내에 위치하는 것을 특징으로 할 수도 있다는 것이 이해되기도 하지만, 당업자는, 영역 (235) 이 가스 유입부 (220) 의 일부로서 간주될 수도 있고, 다공성 배플 (210) 이 가스 유입부 (220) 내에 위치할 수도 있다는 것을 이해할 것이다. 그러나, 다공성 배플 (210) 이 가스 유입부 (220) 내에 위치하면서 가스의 흐름을 차단하기보다는, 다공성 배플 (210) 은 자신을 통해서 가스가 흐르게 하는 기공율을 가질 수도 있다.
배플 (210) 은 선택적으로 다공성일 수 있으며, 이 경우에 배플 (210) 의 기공율은 약 5 % 내지 약 25 % 일 수 있다. 일부 구현예들에서, 배플 (210) 은 다공성 재료를 포함하거나 이로 이루어질 수 있다. 다공성 재료의 예는 다공성 알루미늄, 다공성 알루미나, 및 다공성 석영을 포함할 수 있다. 배플 (210) 은 임의의 적합한 재료, 다음으로 한정되지 않지만 알루미늄, 알루미나, 석영 및 스테인레스 스틸을 포함하는 재료로 이루어질 수 있다. 이 재료는 원격 세정과 양립할 수도 있으며 암모니아/불소 라디칼들과 용이하게 반응하지 않거나 페시베이션하는 재료일 수도 있다. 일부 구현예들에서, 배플 (210) 은 배플 (210) 을 관통하는 복수의 스루홀들 (212) 을 포함할 수 있다. 스루홀들 (212) 은 기공율을 효과적으로 시뮬레이션 및 모방하도록 배플 (210) 의 재료를 통해서 제공될 수도 있다. 일부 구현예들에서, 배플 (210) 은 원형이며 스템 (222) 의 직경보다 큰 직경을 가질 수 있다. 그러나, 일부 구현예들에서, 배플 (210) 은 대면 플레이트 (204) 보다 실질적으로 보다 작다. 예를 들어, 대면 플레이트 (204) 의 직경은 배플 플레이트 (210) 의 직경보다 적어도 4 배 보다 크거나, 배플 플레이트 (210) 의 직경보다 적어도 10 배 보다 크다. 또한, 배플 (210) 은 영역 (235) 의 직경보다 작은 직경을 가질 수 있다. 따라서, 가스 흐름은 스루홀들 (212) 을 통해 지향될 뿐만 아니라, 외측으로 플레넘 볼륨 (230) 도처로 대면 플레이트 (204) 의 에지들을 향할 수도 있다. 가스 흐름을 스루홀들 (212) 을 통해 외측으로 플레넘 볼륨 (230) 도처로 지향시킴으로써, 샤워헤드 (200) 의 전체 내부 볼륨이 도 1의 샤워헤드 (100) 보다 작음에도 불구하고, 가스의 보다 공간적으로 균일한 흐름이 획득될 수 있다. 또한, 배플 (210) 은, 배플 (210) 의 위치 및 배플 (210) 의 기공율이 대면 플레이트 (204) 의 중심을 통해서 분사되는 가스의 효과를 감소시킬 수 있도록, 실질적으로 가스 유입부 (220) 상에 중심이 있을 수 있다. 일부 구현예들에서, 배플 (210) 은 플레넘 볼륨 (230) 의 제 1 표면 및 제 2 표면과 실질적으로 평행할 수 있다.
도 4는 2 개의 예시적인 샤워헤드들 (400a), (400b) 의 측단면도들의 나란한 비교 (side-by-side comparison) 를 도시한다. 종래의 샤워헤드 (400a) 가 좌측에 도시되고, 본 개시의 저 볼륨 샤워헤드 (400b) 가 우측에 도시된다. 종래의 샤워헤드 (400a) 는 도 1의 샤워헤드 (100) 에 대응하고, 저 볼륨 샤워헤드 (400b) 는 도 2의 저 볼륨 샤워헤드 (200) 에 대응한다.
샤워헤드 (400a), (400b) 각각은 백 플레이트 (402) 및 이 백 플레이트 (402) 반대편의 대면 플레이트 (404) 를 포함한다. 종래의 샤워헤드 (400a) 의 백 플레이트 (402a) 및 대면 플레이트 (404a) 는 적어도 부분적으로 플레넘 볼륨 (430a) 을 형성하며, 이 경우에 플레넘 볼륨 (430a) 은 원통형 부분 및 원통형 부분 위의 원뿔형 부분을 포함한다. 저 볼륨 샤워헤드 (400b) 의 백 플레이트 (402b) 및 대면 플레이트 (404b) 는 적어도 부분적으로 플레넘 볼륨 (430b) 을 형성하며, 이 경우에 플레넘 볼륨 (430b) 은 원통형 부분을 포함한다. 샤워헤드 (400a), (400b) 각각은 또한 스템 (422a), (422b) 을 포함하며 이를 통해서 가스가 플레넘 볼륨 (430a), (430b) 내로 전달된다. 종래의 샤워헤드 (400a) 내의 스템 (422a) 은 좁은 튜브 (424a) 및 확장된 튜브 (426a) 를 포함하고, 저 볼륨 샤워헤드 (400b) 내의 스템 (422b) 은 좁은 튜브 (424b) 를 포함한다. 따라서, 보다 큰 스템 직경 및 보다 큰 플레넘 높이로 인해, 종래의 샤워헤드 (400a) 는 저 볼륨 샤워헤드 (400b) 보다 상당히 보다 큰 볼륨을 가질 수도 있다. 종래의 샤워헤드 (400a) 에서의 보다 큰 볼륨은 플레넘 볼륨 (430a) 내에서의 가스의 흐름에 있어서 재순환 존들 (recirculation zones) 을 유발할 수도 있고, 이는 흐름 균일도 드리프팅 (drifting) 을 발생시킬 수 있다. 종래의 샤워헤드 (400a) 에서의 보다 큰 볼륨은 또한 보다 긴 퍼지 시간 및 증가된 과도 시간을 유발할 수도 있고, 감소된 쓰루풋을 발생시킨다.
부가적으로, 샤워헤드들 (400a, 400b) 은 배플들 (410a, 410b) 을 포함하며, 이 경우에 종래의 샤워헤드 (400a) 는 큰, 비-다공성 배플 (410a) 을 포함하고, 저 볼륨 샤워헤드 (400b) 는 작은, 다공성 배플 (410b) 을 포함한다. 일부 구현예들에서, 작은, 다공성 배플 (410b) 은 플레넘 볼륨 (430b) 과 스템 (422b) 간의 영역 (435b) 내로 리세스된다. 일부 구현예들에서, 영역 (435b) 은 스템 (422b) 의 확장부를 구성할 수 있고, 이 경우에 영역 (435b) 은 좁은 튜브 (424b) 보다 큰 직경을 갖는다. 작은, 다공성 배플 (410b) 은 이러한 구현예들에서 스템 (422b) 내부에 있는 것으로 간주될 수도 있다. 일부 구현예들에서, 영역 (435b) 은 확산기 (diffuser) 로서 역할을 할 수도 있고, 이 경우에 이 확산기는 원뿔형 또는 원통형 형상일 수 있다. 작은, 다공성 배플 (410b) 은 큰, 비-다공성 배플 (410a) 에 비해서 대면 플레이트 (404) 의 중앙을 통한 플럭스를 증가시킬 수도 있다. 일부 구현예들에서, 작은, 다공성 배플 (410b) 내의 홀들의 개수 및 홀들의 배열은 대면 플레이트 (404b) 를 통한 가스의 보다 공간적으로 균일한 흐름을 제공할 수 있다. 일부 구현예들에서, 대면 플레이트 (404b) 내의 홀들의 개수 및 배열도 또한 대면 플레이트 (404b) 를 통한 가스의 흐름의 공간적 균일도에 영향을 줄 수 있다. 예를 들어, 대면 플레이트 (404b) 내에서의 감소된 수의 홀은 외측으로 대면 플레이트 (404b) 의 에지들을 향해서 가스의 흐름을 보다 많이 밀도록 대면 플레이트 (404b) 에 걸친 압력 강하를 증가시킬 수 있다.
표 1은 종래의 샤워헤드 (400a) 및 저 볼륨 샤워헤드 (400b) 의 피처들 및 값들의 비교를 도시한다.
피처 종래의 샤워헤드 (400a) 저 볼륨 샤워헤드 (400b)
전체 내부 볼륨 742.7 밀리리터 256.4 밀리리터
높이(스템에서 대면 플레이트까지) 10.55 인치 10.55 인치
대면 플레이트 직경 13 인치 13 인치
플레넘 형상 원뿔형 (역 경사:sloped back) 원통형 (역 평행: parallel back)
플레넘 높이
(에지에서)
0.25 인치 0.125 인치
홀 패턴 육각형 삼각형
홀 개수 3292 2257
홀 직경 0.04 인치 0.04 인치
스템 내의 확장 존 예 (1.21 인치 직경) 아니오
배플 솔리드 배플 다공성 배플
배플 직경 2.13 인치 0.79 인치
배플 스루홀 직경 N/A 0.08 인치 (6 스루홀들)
배플이 스템과 플레넘 간의 영역 내로 리세스되었는가 아니오
배플 두께 0.064 인치 0.04 인치
본 개시의 저 볼륨 샤워헤드 (400b) 는 약 700 밀리리터 미만, 또는 약 50 밀리리터 내지 약 500 밀리리터, 또는 약 100 밀리리터 내지 약 300 밀리리터의 전체 내부 볼륨을 가질 수 있다. 표 1에서, 본 개시의 저 볼륨 샤워헤드 (400b) 는 종래의 샤워헤드 (400a) 의 전체 내부 볼륨을 742.7 밀리리터로부터 256.4 밀리리터로 감소시키고, 이는 볼륨의 65 % 감소를 나타낸다. 종래의 샤워헤드 (400a) 의 플레넘 높이는 0.25 인치로부터, 저 볼륨 샤워헤드 (400b) 의 0.125 인치로 감소하였다. 종래의 샤워헤드 (400a) 의 플레넘 형상은 실질적으로 원뿔형, 또는 적어도 실질적으로 원뿔형 부분과 실질적으로 원통형 부분의 조합을 포함할 수 있다. 실질적으로 원뿔형 부분의 원뿔 발산 각 (cone divergence) 은 약 90 °보다 크거나, 또는 약 120 °보다 클 수 있다. 저 볼륨 샤워헤드 (400b) 의 플레넘 형상은 원통형 또는 실질적으로 원통형일 수 있다. 원통형 플레넘 볼륨의 직경은 프로세싱될 기판의 사이즈에 대응하거나 실질적으로 대응할 수 있다. 예를 들어, 프로세싱될 기판의 사이즈는 200 ㎜, 300 ㎜, 또는 450 ㎜이고, 플레넘 볼륨의 사이즈는 각각 약 200 ㎜, 300 ㎜, 또는 450 ㎜일 수 있다. 종래의 샤워헤드 (400a) 의 스템 직경은 직경 1.21 인치에서 저 볼륨 샤워헤드 (400b) 에서 직경 약 0.125 인치 이상으로 감소되었다. 일부 구현예들에서, 이는 반도체 애플리케이션들에서, 예컨대, ALD 애플리케이션들에서 퍼지 시간을 줄이고 쓰루풋을 개선할 수 있다. 일부 구현예들에서, 저 볼륨 샤워헤드 (400b) 의 스템 직경은 보다 작은 직경에서 보다 큰 직경으로 전이 영역 (435b) 에서 전이할 수 있으며, 이 경우에 보다 큰 직경은 약 1.21 인치 이하일 수 있다.
일부 구현예들에서, 대면 플레이트 (404a, 404b) 내의 스루홀들의 개수는 대면 플레이트 (404a, 404b) 에 걸친 흐름의 균일도에 영향을 줄 수 있다. 샤워헤드의 내부 볼륨이 감소되면, 대면 플레이트에 걸친 흐름의 보다 균일한 분배를 제공하는 것은 플레넘 볼륨과 프로세싱 챔버 간의 압력 강하의 증가를 필요로 할 수도 있다. 일반적으로, 가스는 최소 저항의 경로를 따라서 흐르며, 따라서, 저 볼륨 샤워헤드 (400b) 내의 대면 플레이트 (404b) 가 낮은 압력 강하를 갖는다면, 가스의 흐름은 대면 플레이트 (404b) 의 중심을 통해서 분사될 것이다. 그러나, 보다 높은 압력 강하는 가스의 흐름을, 외측으로 대면 플레이트 (404b) 의 에지들을 향해 보다 많이 밀 것이다. 보다 높은 압력 강하를 실현하기 위해서, 대면 플레이트 (404b) 내의 스루홀들의 개수는, 종래의 샤워헤드 (400a) 로부터 저 볼륨 샤워헤드 (400a) 로의 감소된 내부 볼륨에 수반되도록, 감소될 수 있다. 이와 달리, 대면 플레이트 (404b) 내에서 스루홀들의 개수가 과잉되면, 압력 강하가 너무 낮을 수도 있고, 플럭스가 대면 플레이트 (404b) 에 걸쳐 중심으로부터 에지까지 균일하지 않게 될 것이다. 일부 구현예들에서, 저 볼륨 샤워헤드 (400b) 내의 대면 플레이트 (404b) 내의 스루홀들의 개수는 약 1000 개 내지 약 3000 개, 또는 약 1500 개 내지 약 2500 개일 수 있다. 예를 들어, 표 1에서, 종래의 샤워헤드 (400a) 에서 3292 개의 스루홀들로부터 저 볼륨 샤워헤드 (400b) 의 2257 개의 스루홀들로 감소되었다.
저 볼륨 샤워헤드 (400b) 를 통한 가스의 소정의 플로우 레이트에 있어서, 대면 플레이트 (404b) 내의 스루홀들의 개수는 특정 압력 강하를 달성할 수 있으며, 이로써 대면 플레이트 (404b) 에 걸친 흐름의 특정한 분산을 제공할 수 있다. 가스의 플로우 레이트가 낮으면, 보다 적은 수의 스루홀들이, 대면 플레이트 (404b) 에 걸친 흐름의 목표된 균일도를 달성하기 위해서 필요할 것이다.
일부 구현예들에서, 대면 플레이트 (404a), (404b) 내에서의 스루홀들의 배열은 또한 대면 플레이트 (404a), (404b) 에 걸친 흐름의 균일도에 영향을 줄 수 있다. 일부 구현예들에서, 스루홀들의 기하학적 배열은 육각형일 수 있다. 예를 들어, 종래의 샤워헤드 (400a) 는 스루홀들의 육각형 배열을 갖는 대면 플레이트 (404a) 를 가질 수 있다. 일부 구현예들에서, 스루홀들의 기하학적 배열은 삼각형일 수 있다. 예를 들어, 저 볼륨 샤워헤드 (400b) 는 스루홀들의 삼각형 배열을 갖는 대면 플레이트 (404b) 를 가질 수 있다.
종래의 샤워헤드 (400a) 는 대면 플레이트 (404a) 의 중심으로부터의 분사되는 효과들을 방지하거나 그렇지 않으면 최소화하기 위해서 스템 (422a) 밑에 중심이 있는 큰, 비-다공성 배플 (410a) 을 포함할 수 있다. 예를 들어, 큰, 비-다공성 배플 (410a) 은 2.13 인치의 직경을 갖는다. 비-다공성 배플 (410a) 의 직경은 종래의 샤워헤드 (400a) 내의 확장된 튜브 (426a) 의 직경보다 클 수 있다. 그러나, 플레넘 볼륨 (430a) 의 볼륨은, 충분한 흐름 균일도를 위해 스템 (422a) 밑에 큰, 비-다공성 배플 (410a) 을 수용하도록 증가될 수도 있다. 증가된 볼륨은, 가스의 흐름이 외측으로 분산될 수도 있도록, 플레넘 볼륨 (430a) 의 원뿔형 부분에 의해서 제공될 수도 있다. 백 플레이트 (402a) 는 플레넘 볼륨 (430a) 의 원뿔형 부분에 대해서 역 경사질 수도 있다 (sloped back).
이와 반대로, 본 개시의 저 볼륨 샤워헤드 (400b) 는 대면 플레이트 (404b) 의 중심으로부터의 분사되는 효과들을 방지하거나 그렇지 않으면 최소화하기 위해서, 스템 (422b) 밑에 중심을 둔 작은, 다공성 배플 (410b) 을 포함할 수 있다. 일부 구현예들에서, 작은, 다공성 배플 (410b) 은 큰, 비-다공성 배플 (410a) 보다 실질적으로 작을 수 있다. 일부 구현예들에서, 작은, 다공성 배플 (410b) 은 약 0.1 인치 내지 약 2.0 인치의 직경을 가질 수 있다. 예를 들어, 작은, 다공성 배플 (410b) 은 0.79 인치의 직경을 가질 수 있다. 대면 플레이트 (404b) 의 직경은 작은, 다공성 배플 (410b) 의 직경보다 실질적으로 보다 클 수 있다. 예를 들어, 대면 플레이트 (404b) 의 직경은 13 인치일 수 있다. 일부 구현예들에서, 대면 플레이트 (404b) 의 직경은 작은, 다공성 배플 (410b) 의 직경보다 적어도 4 배 보다 클 수 있거나, 작은, 다공성 배플 (410b) 의 직경보다 적어도 10 배 보다 클 수 있다.
통상적으로, 종래의 샤워헤드 (400a) 로부터 저 볼륨 샤워헤드 (400b) 로의 내부 볼륨 감소는, 감소된 내부 볼륨은 대면 플레이트 (404b) 에 걸친 흐름 균일도를 감소시킴으로써 흐름 균일도에 부정적인 영향을 주는 "볼륨 관련 불이익 (volume penalty)" 을 발생시킨다. 저 볼륨 샤워헤드 (400b) 에서 이러한 볼륨 관련 불이익을 피하기 위해서, 본 개시는 작은, 다공성 배플 (410b) 을 제공할 수 있고, 이 경우에 작은, 다공성 배플 (410b) 은 플레넘 볼륨 (430b) 과 스템 (422b) 간의 영역 (435b) 내에 위치될 수 있다. 작은, 다공성 배플 (410b) 은 가스 흐름을 차단하지 않고 플레넘 볼륨 (430b) 위에 위치할 수 있다. 대신에, 작은, 다공성 배플 (410b) 은 개선된 흐름 균일도를 위해서 영역 (435b) 내에 위치될 수 있으며, 이 경우에 작은, 다공성 배플 (410b) 의 직경 및 작은, 다공성 배플 (410b) 의 스루홀들의 사이즈, 개수 및 배열은 플레넘 볼륨 (430b) 내로 가스의 흐름을 지향시킬 수 있고, 이로써 대면 플레이트 (404b) 에 걸친 흐름 균일도에 영향을 줄 수 있다. 또한, 대면 플레이트 (404b) 내의 스루홀들의 사이즈, 개수 및 배열도, 목표된 흐름 균일도를 달성하고 대면 플레이트 (404b) 에 걸친 보다 높은 압력 강하를 달성하도록 구성될 수 있다. 예를 들어, 작은, 다공성 배플 (410b) 내의 스루홀들의 직경은 약 0.01 인치 내지 약 0.15 인치, 예컨대, 약 0.08 인치일 수 있다. 작은, 다공성 배플 (410b) 은 도 5 및 도 7b에 예시된 바와 같이, 육각형 형상을 갖는 링으로 배열된 6 개의 홀들을 포함할 수 있다. 6 개의 홀들은 작은, 다공성 배플 (410b) 의 중심보다는 작은, 다공성 배플 (410b) 의 에지를 향해 보다 가깝게 위치할 수도 있다. 대면 플레이트 (404b) 내의 스루홀들의 직경은 약 0.01 인치 내지 약 0.10 인치, 예컨대, 약 0.04 인치일 수 있다. 대면 플레이트 (404b) 는 도 5에서 예시된 바와 같이, 복수의 삼각형의 패턴들로 배열된 2000 개 이상의 홀들을 포함할 수 있다.
도 5는 저 볼륨 샤워헤드 내에서의 다공성 배플의 스루홀들 (512), 및 대면 플레이트의 스루홀들 (532, 552) 의 배열의 예시적인 레이아웃을 도시한다. 종래의 샤워헤드 내의 대면 플레이트 내의 스루홀들 (532) 은 육각형 배열 (550) 을 형성할 수 있고, 스루홀들 (552) 은 삼각형 배열 (560) 을 형성하도록 저 볼륨 샤워헤드 내의 스루홀들 (532) 내에 부가될 수도 있다. 다공성 배플 내의 스루홀들 (512) 은 대면 플레이트의 스루홀들 (532) 위에 위치할 수도 있다. 다공성 배플 내의 스루홀들 (512) 의 배열 및 대면 플레이트 내의 스루홀들 (532, 552) 의 배열은 대면 플레이트에 걸친 흐름의 균일도에 영향을 줄 수 있다.
도 6a는 샤워헤드 내의 공칭 가스 흐름 방향들을 나타내는 화살표들 (640a) 와 함께, 배플 (610a) 을 포함하는 예시적인 샤워헤드의 일부의 측단면도를 도시한다. 도 6b는 저 볼륨 샤워헤드 내의 공칭 가스 흐름 방향들을 나타내는 화살표들 (640b) 과 함께, 다공성 배플 (610b) 을 포함하는 예시적인 저 볼륨 샤워헤드의 일부의 측단면도를 도시한다. 가스 유입부 (620a) 로부터의 가스의 흐름에 대한 흐름 벡터들 (640a) 을 도 6a에서 화살표들로 나타낼 수 있으며, 가스 유입부 (620b) 로부터의 가스의 흐름에 대한 흐름 벡터들 (640b) 은 도 6b에서 화살표들로 표시될 수 있다. 배플들 (610a, 610b) 의 위치, 사이즈 및 기공율은 대면 플레이트들 (604a, 604b) 의 스루홀들 (632a, 632b) 을 통한 흐름 벡터들 (640a, 640b) 에 영향을 줄 수 있다. 배플 (610b) 내의 스루홀들 (612b) 의 사이즈, 배열 및 개수는 대면 플레이트 (604b) 의 스루홀들 (632b) 을 통한 흐름 벡터들 (640b) 에 영향을 줄 수 있다. 도 6a에서, 배플 (610a) 은 흐름 벡터들 (640a) 을 외측으로 대면 플레이트 (604a) 의 에지를 향해 지향시킬 수 있다. 그러나, 도 6b에서, 다공성 배플 (610b) 은 흐름 벡터들 (640b) 을 외측으로 대면 플레이트 (604b) 의 에지들 및 중심을 향해 지향시킬 수 있고, 이로써 대면 플레이트 (604b) 의 중심을 향한 증가된 플럭스를 발생시킨다. ALD 애플리케이션들에서, 이는 기판의 중심에서 보다 높은 농도의 도즈를 유발할 수 있다.
도 7a는 종래의 샤워헤드 (700a) 내의 예시적인 배플 (710a) 의 등축도를 도시한다. 종래의 샤워헤드 (700a) 는 백 플레이트 (702a) 및 백 플레이트 (702a) 를 통해 종래의 샤워헤드 (700a) 의 플레넘 볼륨에 유체적으로 커플링된 가스 유입부 (720a) 를 포함한다. 배플 (710a) 은 플레넘 볼륨 내로 리세스될 수도 있고, 이 경우에 배플 (710a) 은 백 플레이트 (702a) 의 측면으로부터 하나 이상의 내부 지지 포스트들 (714a) 을 통해 장착될 수도 있다.
도 7b는 저 볼륨 샤워헤드 (700b) 내의 복수의 스루홀들 (712b) 을 포함하는 예시적인 배플 (710b) 의 등축도를 도시한다. 저 볼륨 샤워헤드 (700b) 는 백 플레이트 (702b) 및 백 플레이트 (702b) 를 통해서 저 볼륨 샤워헤드 (700b) 의 플레넘 볼륨에 유체적으로 커플링된 가스 유입부 (720b) 를 포함한다. 백 플레이트 (702b) 와 가스 유입부 (720b) 간의 계면에서, 포켓 또는 전이 영역 (735b) 이 플레넘 볼륨과 가스 유입부 (720b) 간에 제공된다. 일부 구현예들에서, 배플 (710b) 은 전이 영역 (735b) 내로 리세스되거나 전이 영역 (735b) 으로부터 연장될 수 있으며, 이 경우에 배플 (710b) 은 전이 영역 (735b) 으로부터 하나 이상의 내부 지지 포스트들 (714b) 을 통해서 장착될 수 있다. 배플 (710b) 은 복수의 스루홀들 (712b) 을 포함할 수도 있다. 일부 구현예들에서, 복수의 스루홀들 (712b) 은 배플 (710b) 의 중심보다 배플 (710b) 의 에지들을 향하여 선택적으로 배열될 수도 있다. 일부 구현예들에서, 배플 (710b) 의 기공율은 약 5 % 내지 약 25 %, 예를 들어, 약 10 % 일 수 있다. 일부 구현예들에서, 배플 (710b) 은 다공성 재료로 이루어지며 또는 배플 (710b) 은 자신을 통과하는 스루홀들 (712b) 을 갖는 고체 재료로 이루어질 수 있다. 일부 구현예들에서, 배플 (710b) 의 스루홀들 (712b) 은 육각형 패턴으로 배열될 수도 있다.
도 8은 대면 플레이트의 방사상 치수의 함수로서 샤워헤드의 대면 플레이트로부터의 가스의 축방향 흐름 속도를 예시하는 그래프를 도시한다. 샤워헤드의 대면 플레이트로부터 1 ㎜에서 측정된 축방향 흐름 속도는 샤워헤드로부터 가스의 흐름의 균일도를 반영할 수 있으며, 이 경우에 축방향 흐름 속도는 대면 플레이트의 중심으로부터 에지로 그래픽적으로 도시된다. 5 slm (standard liters per minute) 의 산소 및 6 Torr의 압력에서, 배플이 없는 샤워헤드는 대면 플레이트의 중심 근처에서 매우 빠른 축방향 흐름 속도를 보이고, 대면 플레이트의 중심 근방의 수 밀리미터 내에서 매우 느린 축방향 흐름 속도를 보였다. 배플이 없다면, 대면 플레이트의 중심으로부터 에지로의 흐름 균일도는 매우 불량하다. 5 slm의 산소 및 6 Torr의 압력에서, 비-다공성 배플을 갖는 샤워헤드는 대면 플레이트의 중심 근처에서 매우 느린 축방향 흐름 속도를 보이고, 대면 플레이트의 에지를 향해 보다 가까울수록 증가된 축방향 흐름 속도를 보였다. 비-다공성 배플을 사용하면, 대면 플레이트의 중심으로부터 에지로의 흐름 균일도는 불량하다. 샤워헤드의 표면으로부터 2.5 ㎜에, 각각의 직경이 0.08 인치인 6 개의 스루홀들을 포함하는 다공성 배플을 사용하면, 대면 플레이트의 중심으로부터의 에지까지의 축방향 흐름 속도는 상대적으로 균일하다. 다공성 배플은 직경이 2 ㎝ 이고 두께가 1 ㎜ 이고, 6 개의 스루홀들은 1 ㎝ 이격되어 중심이 위치될 수 있다.
도 9는 2 개의 샤워헤드들에 대한 원자 층 증착의 막 불균일도의 백분율을 예시하는 그래프를 도시한다. 막 불균일도는 증착된 막의 가장 두꺼운 부분과 가장 얇은 부분 간의 차를 취하고 이 값을, 증착된 막의 두께의 평균의 2 배로 나눔으로써 계산될 수 있다: % 불균일도 = (최대 - 최소) / (2*평균). 도 9에서, 종래의 샤워헤드는 약 0.5 %의 불균일도를 생성한 반면에, 본 개시의 저 볼륨 샤워헤드는 약 0.2 %의 불균일도를 산출하였다. 이로써, 본 개시의 저 볼륨 샤워헤드를 설계함으로써, 막 균일도는 ALD 프로세싱에서 크게 개선될 수 있다.
본 개시의 저 볼륨 샤워헤드는 다양한 프로세스 단계들 또는 프로세스 노브들을 조정함으로써 보상할 필요 없이 막 균일도를 획득할 수 있는 하드웨어 구성을 제공할 수 있다. 즉, 막 균일도를 개선하기 위한 목표를 갖는 저 볼륨 샤워헤드를 제공함으로써, 막 균일도가 프로세스 파라미터들과는 분리될 수 있다. 이로써, 막 특성들, 예컨대, 습식 에칭 레이트 및 건식 에칭 레이트가 막 균일도로부터 분리될 수 있다. 부가적인 막 특성들은 유전 상수, 굴절율, 습식 에칭 레이트, 건식 에칭 레이트, 광학적 특성, 기공율, 밀도, 조성, 경도 및 모듈러스, 레지스트 스트립 및 애싱 레이트, CMP 제거 레이트 등을 포함할 수도 있다.
통상적으로, 막 균일도의 바람직한 레벨을 획득하는 것은 다양한 프로세스 파라미터들을 조정함으로써 달성될 수 있다. 일부 구현예들에서, 프로세스 파라미터들, 예컨대, 플로우 레이트들, 도즈 시간, 퍼지 시간, RF (radio-frequency) 전력, RF 온 (on) 시간, 및 다른 프로세스 파라미터들이 바람직한 막 균일도를 달성하게 튜닝될 수 있다. 예로서, 막 균일도는 보다 큰 포화도를 제공하도록 ALD 사이클 각각 동안에 프로세싱 시간들을 증가시킴으로써 개선될 수 있다. 그러나, 쓰루풋은 감소할 것이다. 또 다른 예에서, 막 균일도는 보다 많은 전구체를 흘림으로써 (예를 들어, 도즈량 증가) 개선될 수 있다. 그러나, 전구체 도즈량을 증가시키면, 화학적 비용의 증가, 막에서의 화학량론에 대한 부정적인 영향, 습식 에칭 레이트 및 건식 에칭 레이트와 같은 막 특성에 바람직하지 않은 변화들을 유발할 수 있다. 따라서, 막 균일도의 바람직한 레벨을 달성하기 위한 통상적인 방식들은 쓰루풋 및 막 특성들에 바람직하지 않은 영향을 줄 수 있다.
표 2는 막 균일도 (중심 두께) 및 막 특성들 (습식 에칭 레이트 및 건식 에칭 레이트) 의 측면에서 본 개시의 저 볼륨 샤워헤드와, 도즈량 증가, RF 전력, 및 RF 온 시간의 프로세스 파라미터들 간의 비교를 예시한다.
중심 두께 습식 에칭 레이트 건식 에칭 레이트
저 볼륨 샤워헤드 증가 무영향 무영향
도즈량 증가 증가 증가 증가
RF 전력 감소 무영향 감소
RF 온 시간 감소 감소 무영향
표 2에 도시된 바와 같이, 본 개시의 저 볼륨 샤워헤드는 증착된 막의 습식 에칭 레이트 및 건식 에칭 레이트에 영향을 주지 않으면서 증착된 막의 중심 두께를 증가시킨다. 그러나, 프로세스 파라미터들, 예컨대, 도즈량 레벨, RF 전력, 및 RF 온 시간을 조정하는 것은 막 특성으로부터 막 균일도를 분리시키지 못한다. 도즈량을 증가시키는 것은 증착된 막의 습식 에칭 레이트 및 건식 에칭 레이트를 증가시킨다. RF 전력을 감소시키는 것은 증착된 막의 건식 에칭 레이트를 감소시키고, RF 온 시간을 감소시키는 것은 증착된 막의 습식 에칭 레이트를 감소시킨다. 따라서, 저 볼륨 샤워헤드를 제공하는 것은, 막 균일도의 바람직한 레벨을 달성하기 위해서, 플로우 레이트들, 도즈 시간, 퍼지 시간, 등과 같은 프로세스 파라미터들을 미세-튜닝할 필요없이 막 균일도의 바람직한 레벨을 획득하면서, 반도체 프로세싱에 대한 보다 넓은 프로세스 창을 제공할 수 있다. 일부 구현예들에서, 저 볼륨 샤워헤드는 약 1.0 % 미만, 예컨대, 약 0.5 % 미만 또는 약 0.3 % 미만의 막 불균일도를 달성할 수 있다. 일부 구현예들에서, 약 1.0 % 미만의 막 불균일도는 1.5 초 미만의 ALD 사이클로 달성될 수 있다. 예를 들어, 도즈 시간은 0.4 초 이하이고, 퍼지 시간은 0.4 초 이하일 수 있고, 및 플라즈마 단계는 0.4 초 이하일 수 있고, 플라즈마-후 퍼지 단계는 0.15 초 이하일 수 있다. 이와 반대로, 종래의 샤워헤드에서의 ALD 사이클은 사이클 당 약 1.5 초보다 길 수 있으며, 도즈 시간은 0.6 초 이상, 퍼지 시간은 0.4 초 이상, 플라즈마 단계는 0.4 초 이상, 및 플라즈마-후 퍼지 단계는 0.15 초 이상일 수 있다. 저 볼륨 샤워헤드는, 막 균일도의 바람직한 레벨을 획득하면서 ALD 사이클에 대한 총 시간을 감소시킴으로써 쓰루풋을 증가시킬 수 있다. 또한, 저 볼륨 샤워헤드는 다른 막 특성들, 예컨대, 습식 에칭 레이트 및 건식 에칭 레이트에 영향을 주지 않으면서 바람직한 레벨의 막 균일도를 획득할 수 있다.
본 개시의 저 볼륨 샤워헤드는 반도체 프로세스 챔버 내에 설치될 수도 있다. 프로세스 챔버는 챔버 하우징의 상단에 장착되는 저 볼륨 샤워헤드를 포함할 수 있다. 기판 지지부는 반도체 기판을, 프로세스 챔버 내에서 그리고 저 볼륨 샤워헤드 밑에 지지할 수도 있다. 마이크로볼륨이 기판 지지부와 저 볼륨 샤워헤드 간에서 형성될 수도 있다. 마이크로볼륨은 기판 반응 영역 역할을 할 수 있으며, 프로세싱 동안에 반도체 기판 근방에 프로세스 가스들을 집중 및 유지할 수 있다. 기판 지지부는 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다. 일부 구현예들에서, 저 볼륨 샤워헤드는 스템에 의해서 프로세스 챔버의 리드로부터 떠있을 (suspend) 수 있으며 그 자체가 프로세스 챔버의 "리드"의 일부를 형성하지 않을 수도 있다. 이러한 구현예들에서, 저 볼륨 샤워헤드가 기판 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다.
작은 직경 홀들을 갖는 샤워헤드
본 개시는 작은 직경 스루홀들을 갖는 대면 플레이트를 갖는 샤워헤드에 관한 것이다. 앞서 논의된 바와 같이, 다공성 배플을 갖는 저 볼륨 샤워헤드는 약 0.04 인치 이상의 직경을 갖는 스루홀들을 갖는 대면 플레이트를 포함할 수 있다. 그러나, 저 볼륨 샤워헤드는 약 0.04 인치 이하의 직경을 갖는 스루홀들을 갖는 대면 플레이트를 포함할 수 있다. 스루홀들의 직경이 약 0.04 인치 미만이면, 보다 작은 직경의 스루홀들이 보다 공간적으로 균일한 흐름을 달성할 수 있을 뿐만 아니라, 스루홀들 내부의 국부화된 전기장 집중들을 감소시킬 수 있다. 이는 표준 RF 전력들에서 증착된 막 불균일도의 개선뿐만 아니라, 보다 높은 RF 전력들에서 증착된 막 불균일도 및 다른 막 특성들의 개선을 유발할 수 있다.
이러한 보다 공간적으로 균일한 흐름 및 막 특성들을 생성하는 개선들을 갖는 샤워헤드는 이 샤워헤드를 통한 가스의 흐름이 과도 흐름들에서 발생하는 경우 유용할 수 있다. 과도 흐름들은 ALD와 같은 증착 프로세스들에서 발생할 수 있다. 상대적으로 높은 흐름 균일도가 샤워헤드 내의 배플을 적절하게 설계하고 배치함으로써 달성될 수 있지만, 상대적으로 높거나 훨씬 보다 높은 흐름 균일도는 대면 플레이트를 적절히 설계함으로써 달성될 수 있다. 예를 들어, 대면 플레이트를 통해 연장하는 스루홀들의 배열, 개수, 및 직경은 대면 플레이트으로부터의 흐름 균일도를 미세-튜닝할 수 있다.
도 10은 복수의 대면 플레이트 스루홀들 (1032, 1034) 을 갖는 예시적인 대면 플레이트 (1004) 의 하면도를 도시한다. 대면 플레이트 (1004) 는 반도체 프로세싱 장치에서 사용하기 위한 샤워헤드의 일부일 수 있다. 샤워헤드는 제 1 표면 및 제 1 표면의 반대편의 제 2 표면을 갖는 플레넘 볼륨을 포함할 수 있고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 형성한다. 샤워헤드는 플레넘 볼륨과 유체로 연통하는 하나 이상의 가스 유입부들을 포함할 수 있다. 샤워헤드는 하나 이상의 가스 유입부들에 인접하게 위치된 배플을 더 포함할 수 있다. 일부 구현예들에서, 배플은 플레넘 볼륨과 하나 이상의 가스 유입부들 사이의 영역에 위치될 수 있다. 일부 구현예들에서, 배플은 복수의 배플 스루홀들을 포함할 수 있다. 일부 구현예들에서, 샤워헤드는 저 볼륨 샤워헤드, 예컨대 상기 기술된 바와 같은 저 볼륨 샤워헤드일 수 있다.
샤워헤드는 복수의 대면 플레이트 스루홀들 (1032, 1034) 을 포함하는 대면 플레이트 (1004) 를 포함할 수 있고, 이 경우에 복수의 대면 플레이트 스루홀들 (1032, 1034) 은 대면 플레이트 (1004) 의 제 1 측면으로부터 제 2 측면으로 연장한다. 대면 플레이트 (1004) 의 제 1 측면은 플레넘 볼륨의 제 1 표면을 형성할 수 있다. 도 10에서, 대면 플레이트 스루홀들 (1032, 1034) 은 각각 큰 직경, 예컨대 약 0.04 인치 이상의 직경을 가질 수 있다.
부가적으로, 대면 플레이트 스루홀들은 내측 스루홀들 (1032) 및 외측 스루홀들 (1034) 을 포함할 수 있고, 외측 스루홀들 (1034) 은 내측 스루홀들 (1032) 둘레에 대면 플레이트 (1004) 의 제 2 측면 상에 위치된다. 도 10에 예시된 바와 같이, 외측 스루홀들 (1034) 은 경계 마커 (1036) 외부에 위치되는 반면, 내측 스루홀들 (1032) 은 경계 마커 (1036) 내의 대면 플레이트 (1004) 의 제 2 측면 상에 위치된다.
도 11은 복수의 작은 직경 대면 플레이트 스루홀들 (1132) 을 갖는 예시적인 대면 플레이트 (1104) 의 하면도를 도시한다. 대면 플레이트 (1104) 는 반도체 프로세싱 장치에서 사용하기 위한 샤워헤드의 일부일 수 있다. 샤워헤드는 제 1 표면 및 제 1 표면의 반대편의 제 2 표면을 갖는 플레넘 볼륨을 포함할 수 있고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 형성한다. 샤워헤드는 플레넘 볼륨과 유체로 연통하는 하나 이상의 가스 유입부들을 포함할 수 있다. 샤워헤드는 하나 이상의 가스 유입부들에 인접하게 위치된 배플을 더 포함할 수 있다. 일부 구현예들에서, 배플은 플레넘 볼륨과 하나 이상의 가스 유입부들 사이의 영역에 위치될 수 있다. 일부 구현예들에서, 배플은 복수의 배플 스루홀들을 포함할 수 있다. 일부 구현예들에서, 샤워헤드는 저 볼륨 샤워헤드, 예컨대 상기 기술된 바와 같은 저 볼륨 샤워헤드일 수 있다.
샤워헤드는 복수의 대면 플레이트 스루홀들 (1132) 을 포함하는 대면 플레이트 (1104) 를 포함할 수 있고, 이 경우에 복수의 대면 플레이트 스루홀들 (1132) 은 대면 플레이트 (1104) 의 제 1 측면으로부터 제 2 측면으로 연장한다. 대면 플레이트 (1104) 의 제 1 측면은 플레넘 볼륨의 제 1 표면을 형성할 수 있다. 샤워헤드의 대면 플레이트 (1104) 는 대면 플레이트 스루홀들 (1132) 의 선택된 직경을 사용하여 엔지니어링될 수 있다. 도 11에서, 대면 플레이트 스루홀들 (1132) 은 각각 작은 직경, 예컨대 약 0.04 인치 미만의 직경을 가질 수 있다. 일부 구현예들에서, 대면 플레이트 스루홀들 (1132) 의 직경은 약 0.01 인치 내지 약 0.03 인치일 수 있다. 일부 구현예들에서, 대면 플레이트 스루홀들 (1132) 의 직경은 약 0.02 인치일 수 있다.
샤워헤드용 대면 플레이트 (1104) 는 선택된 배열 및 직경을 갖는 선택된 수의 스루홀들 (1132) 을 사용하여 엔지니어링될 수 있다. 일부 구현예들에서, 스루홀들 (1132) 의 수는 약 300 내지 약 6000 개일 수 있다. 일부 구현예들에서, 스루홀들 (1132) 의 배열은 육각형이거나 삼각형일 수 있다. 일부 구현예들에서, 스루홀들 (1132) 의 배열은 동심원일 수 있다.
대면 플레이트 스루홀들 (1132) 의 개수, 배열, 및 직경을 최적화하는 것은 대면 플레이트 (1104) 로부터 보다 공간적으로 균일한 흐름 속도를 유발할 수 있다. 과도 흐름 동안, 보다 작은 직경의 대면 플레이트 스루홀들 (1132) 은 대면 플레이트 (1104) 를 통한 분사의 효과들을 감소시키도록 대면 플레이트 (1104) 에 걸쳐 보다 높은 압력 강하를 유발할 수 있다. 대면 플레이트 (1104) 에 걸친 보다 높은 압력 강하는 대면 플레이트 (1104) 로부터 보다 균일한 흐름을 유발할 수 있고, 이는 막 증착 동안 보다 큰 막 균일도를 제공할 수 있다. 예를 들어, 전구체들은 ALD의 도즈 단계 동안 기판에 걸쳐 보다 균일하게 분배될 수 있고, 산화제 또는 다른 보조 반응물질이 ALD의 플라즈마 단계 동안 기판에 걸쳐 보다 균일하게 분배될 수 있다. 즉, 증가된 압력 강하는 보다 우수한 흐름 균일도를 유발하고, 보다 우수한 흐름 균일도는 기판의 중심으로부터 에지로 종의 보다 균일한 분배를 유도한다.
대면 플레이트 스루홀들 (1132) 은 직경이 적어도 0.04 인치인 통상적인 대면 플레이트 스루홀들보다 작을 수 있다. 작은 직경 대면 플레이트 스루홀들 (1132) 은 반도체 기판을 프로세싱하기 위한 프로세스 윈도우를 더 확장할 수 있다. 프로세스 윈도우는 막의 불균일도 백분율, 습식 에칭 레이트, 및 건식 에칭 레이트와 같은 특정한 막 특성들에 대한 목표된 타깃들에 적중하는 것 (hit) 을 포함할 수 있다. 목표된 레벨의 막 불균일도는 플로우 레이트, 도즈 시간, 퍼지 시간, RF 전력, 등과 같은 프로세스 파라미터들을 미세-튜닝하지 않고 작은 직경 대면 플레이트 스루홀들 (1132) 을 사용하여 달성될 수 있다. 이와 같이, 막의 습식 에칭 레이트 및 건식 에칭 레이트와 같은 막 특성들은 바람직한 양의 막 불균일도를 달성하는 동안 개선될 수 있다. 실제로, 작은 직경 대면 플레이트 스루홀들 (1132) 을 갖는 대면 플레이트 (1104) 가 다공성 배플을 포함하는 저 볼륨 샤워헤드와 결합될 때, 막 불균일도는 임의의 전술한 파라미터들을 미세-튜닝하지 않고 훨씬 보다 낮을 수 있다. 일부 구현예들에서, 반도체 기판 상에 증착된 박막의 막 불균일도는 약 0.5 % 미만, 또는 약 0.3 % 미만일 수 있고, 막 불균일도의 감소는 막의 습식 에칭 레이트 및 건식 에칭 레이트에 부정적으로 영향을 주지 않고 발생할 수 있다. 일부 구현예들에서, 작은 직경 대면 플레이트 스루홀들 (1132) 은 심지어 막의 습식 에칭 레이트 및 건식 에칭 레이트와 같은 막 특성들의 개선을 유발할 수 있다.
일부 구현예들에서, 작은 직경 대면 플레이트 스루홀들 (1132) 은 개선된 흐름 균일도를 위해 보다 높은 압력 강하를 제공할 수 있을뿐만 아니라, 작은 직경 대면 플레이트 스루홀들 (1132) 은 플라즈마의 백스트리밍 (backstreaming) 을 방지하거나 제한할 수 있다. 대면 플레이트 스루홀들 (1132) 을 통한 플라즈마의 백스트리밍을 제한하는 것은 대면 플레이트 스루홀들 (1132) 내 국부화된 전기장 집중들을 방지하거나 그렇지 않으면 감소시킬 수 있다. 작은 직경 대면 플레이트 스루홀들 (1132) 은 대면 플레이트 스루홀들 (1132) 내부에서 플라즈마 또는 HCD들 (hollow cathode discharges) 을 덜 지속시키려고 할 것이다. 그 결과, 보다 낮은 RF 전력을 사용하여 막 불균일도의 감소된 기생적 손실들 및 개선들이 있을 수 있다.
이러한 조건들 하에서, 샤워헤드들의 이전의 구현예들에서보다 큰 프로세스 윈도우가 달성될 수 있다. 이전의 구현예들에서 특정한 양의 막 불균일도가 달성될 수 있지만, RF 전력 또는 산화제/보조 반응물질 농도는 목표된 막의 습식 에칭 레이트 또는 건식 에칭 레이트를 달성하기에 충분하지 않을 수도 있다. 이들 프로세스 파라미터들, 예컨대, RF 전력, 산화제/보조 반응물질 농도, 등은 이전의 구현예들에서 목표된 막 불균일도를 유지하기에 허용불가능하게 낮을 수도 있다. 그러나, 작은 직경 대면 플레이트 스루홀들 (1132) 을 사용하여, 이러한 프로세스 파라미터들은 목표된 막 불균일도를 손실하지 않거나 영향을 주지 않고 증가될 수도 있다.
도 12는 라디칼들의 역-확산을 제한하는 대면 플레이트 스루홀의 단면 개략도를 도시한다. 어떠한 이론에도 매이지 않고, 개략도는 다양한 활성 종의 플라즈마 (1292) 에 대한 작은 직경 대면 플레이트 스루홀 (1232) 의 효과들을 보여줄 수 있다. 개략도는 백 플레이트 (1202) 와 대면 플레이트 (1204) 사이에 형성된 플레넘 (1230) 을 포함하는 샤워헤드의 일부를 도시한다. 대면 플레이트 스루홀 (1232) 은 대면 플레이트 (1204) 의 제 1 측면으로부터 제 2 측면으로 연장한다. ALD의 하나 이상의 동작들과 같은 하나 이상의 동작들 동안, 벌크 플라즈마 (1292) 는 대면 플레이트 (1204) 아래에서 생성될 수도 있다. 정전 시스 (1291) 는 대면 플레이트 (1204) 와 벌크 플라즈마 (1292) 사이에 형성될 수도 있다. 일부 구현예들에서, 정전 시스 (1291) 는 보다 큰 밀도의 포지티브 이온들을 갖는 플라즈마 내의 층일 수 있고, 콘택트하고 있는 재료의 표면 상의 반대되는 네거티브 전하와 밸런싱할 수 있다. 정전 시스 (1291) 는 플라즈마로부터 솔리드 표면으로의 전이층이다. 대면 플레이트 스루홀 (1232) 의 사이즈가 정전 시스 (1291) 의 사이즈보다 작다면, 그러면 이 사이즈의 대면 플레이트 스루홀 (1232) 은 정전 시스 (1291) 가 대면 플레이트 스루홀 (1232) 로 들어가고 대면 플레이트 스루홀 (1232) 내부에서 플라즈마 또는 HCD를 지속시키는 것을 방지할 수 있다. 따라서, 이 사이즈의 대면 플레이트 스루홀 (1232) 은 대면 플레이트 스루홀 (1232) 내부에서 국부화된 전기장 집중이 발생하는 것을 방지함으로써 샤워헤드의 플레넘 (1230) 내부로 이온들, 전자들, 및 라디칼들이 역 확산되는 것을 제한할 수 있다.
도 12에 도시된 바와 같이, 벌크 플라즈마 (1292) 로부터의 이온들 및 전자들 (1281) 은 대면 플레이트 스루홀 (1232) 을 통해 플레넘 (1230) 내로 역 확산될 수도 있다. 플라즈마가 대면 플레이트 스루홀 (1232) 로 들어가고 대면 플레이트 스루홀 (1232) 내에 보다 큰 국부화된 전기장 집중이 있다면, 그러면 이온들 및 전자들 (1281) 은 유도된 전기장의 영향 하에서 대면 플레이트 스루홀 (1232) 을 통해 보다 확산하려고 할 것이다. 이러한 확산은 중성종보다 고속으로 일어날 것이고, 포지티브 전하들 및 네거티브 전하들은 분리되지 않을 것이다. 이온들 및 전자들 (1281) 은 대면 플레이트 스루홀 (1232) 내에서 재결합할 수도 있고, 전자 손실은 보다 더 발생할 수도 있다.
부가적으로, 중성종 (1282) 은 대면 플레이트 스루홀 (1232) 내에서 재결합하게 될 수도 있고, 이 경우 높게 여기된 종이 보다 높은 탈여기 기회를 가질 수도 있다. 대면 플레이트 스루홀 (1232) 은 중성종 (1282) 의 역-확산 또는 백스트리밍을 제한할 수도 있다. 수명이 긴 중성종 (1282) 및 준안정 상태들의 밀도는 플라즈마 (1292) 에 대해 2 또는 3 자릿수 크기 만큼 플라즈마 밀도를 초과할 수도 있다.
대면 플레이트 스루홀 (1232) 의 사이즈가 충분히 작을 때, 이 때 정전 시스 (1291) 는 대면 플레이트 스루홀 (1232) 내에서 지속될 수 없고 플라즈마의 백스트리밍은 방지되거나 그렇지 않으면 감소될 수 있다. 이러한 부가된 이점은 샤워헤드로 하여금 막 불균일도를 희생하지 않고 보다 높은 RF 전력에서 목표된 막 특성들을 달성할 수 있게 한다. 표 3은 0.04-인치 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드 대 0.02-인치 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드에 대한 막 불균일도 및 증착 레이트들에 대한 증가하는 RF 전력의 효과를 예시한다.
샤워헤드 타입
전력 파라미터 0.04-인치 홀 0.02-인치 홀 델타 (Delta)
200 W 증착 레이트 0.52 0.54 0.02
평균 균일도 1.2 1.3 0.10
최대 균일도 1.2 1.4 0.20
웨이퍼-대-웨이퍼 0.38 0.22 -0.16
RI 1.965 1.945 -0.02
350 W 증착 레이트 0.63 0.67 0.04
평균 균일도 1.9 1.4 -0.50
최대 균일도 2.0 1.5 -0.50
웨이퍼-대-웨이퍼 0.15 0.24 0.09
RI 1.849 1.847 0.00
550 W 증착 레이트 0.74 0.78 0.04
평균 균일도 4.0 1.8 -2.20
최대 균일도 4.3 2.9 -1.40
웨이퍼-대-웨이퍼 0.13 0.5 0.37
RI 1.798 1.800 0.00
650 W 증착 레이트 0.78 0.81 0.03
평균 균일도 4.2 1.6 -2.60
최대 균일도 4.3 2.0 -2.30
웨이퍼-대-웨이퍼 0.69 0.67 -0.02
RI 1.785 1.790 0.00
표 3에 나타낸 바와 같이, 0.04-인치 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드에 대한 RF 전력을 증가시키는 것은 보다 높은 레벨들의 막 불균일도를 유발한다. 특히, 550 W 및 650 W에서, 막 불균일도가 악화되었다. 이와 반대로, 0.02-인치 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드에 대한 RF 전력을 증가시키는 것은 훨씬 보다 높은 RF 전력들에서 상대적으로 우수하고 안정한 막 불균일도를 유발한다. RF 전력이 550 W 및 650 W로 증가할 때에도, 막 불균일도는 200 W 및 350 W에서의 막 불균일도와 상대적으로 유사하게 유지된다. 일반적으로, RF 전력이 보다 높을수록, 막을 보다 컴팩트하고 보다 조밀하게 하기 위해 막은 보다 높은 농도의 플라즈마를 받을 수 있다 (bombard). 그 결과, 막 특성들, 예컨대 습식 에칭 레이트 및 건식 에칭 레이트은 보다 높은 RF 전력들을 사용하여 개선될 수 있다. 작은 직경 대면 플레이트 스루홀들은 개선된 막 불균일도에 기여할 수 있을 뿐만 아니라, 작은 직경 대면 플레이트 스루홀들은 또한 개선된 막 특성들을 위해 보다 높은 플라즈마 밀도들에 기여할 수 있다. 따라서, 작은 직경 대면 플레이트 스루홀들을 사용하는 프로세스 윈도우는 훨씬 보다 클 수 있다.
도 13a는 감소하는 대면 플레이트 홀 직경과 대면 플레이트의 방사상 치수의 함수로서, 샤워헤드의 대면 플레이트로부터 가스의 축방향 흐름 속도를 도시하는 그래프를 도시한다. 그래프는 감소하는 대면 플레이트 스루홀 직경의 4 개의 프로파일들 (1301, 1302, 1303, 및 1304) 로 구성된다. 그래프 내 프로파일 (1301) 은 0.04 인치 직경의 대면 플레이트 스루홀을 갖는 저 볼륨 샤워헤드에 대한 축방향 흐름 속도를 도시한다. 그래프 내 프로파일 (1302) 은 0.03 인치 직경의 대면 플레이트 스루홀에 대한 축방향 흐름 속도를 도시하고, 프로파일 (1303) 은 0.02 인치 직경의 대면 플레이트 스루홀에 대한 축방향 흐름 속도를 도시하고, 그리고 프로파일 (1304) 은 0.015 인치 직경의 대면 플레이트 스루홀에 대한 축방향 흐름 속도를 도시한다. 훨씬 보다 작은 직경들이 흐름의 보다 큰 공간적 균일도를 위해 바람직할 수도 있지만, 대면 플레이트 내에서 보다 작은 직경들을 제작할 가능성은 보다 어렵거나 비싼 비용이 들 수도 있다.
도 13a에서, 대면 플레이트 스루홀 직경이 감소함에 따라 축방향 흐름 속도는 감소되지만 보다 균일해진다. 프로파일 (1301) 은 대면 플레이트에 걸친 상당히 불균일한 축방향 흐름 속도를 나타내고, 프로파일 (1302) 및 프로파일 (1303) 은 대면 플레이트에 걸친 약간 균일한 축방향 흐름 속도를 나타내고, 그리고 프로파일 (1304) 은 대면 플레이트에 걸친 상당히 균일한 축방향 흐름 속도를 나타낸다.
도 13b는 0.04-인치 직경 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드 대 0.02-인치 직경 대면 플레이트 스루홀들을 갖는 저 볼륨 샤워헤드의 막 불균일도를 도시하는 그래프를 도시한다. 기판 상의 복수의 포인트들에 걸친 기판의 두께 프로파일을 측정하기 위해 표준 49-포인트 극성 타원 편광 반사법 (polar ellipsometry) 데이터를 사용하여, 막 불균일도 백분율이 측정되고 계산될 수 있다. 상단 그래프에서 4 개의 기판들이 표준 대면 플레이트 스루홀 사이즈들에 대해 테스트되고, 이 경우 대면 플레이트 스루홀 직경은 약 0.04 인치였다. 하단 그래프에서 4 개의 기판들의 2 세트들이 작은 직경 대면 플레이트 스루홀 사이즈들에 대해 테스트되고, 이 경우 대면 플레이트 스루홀 직경은 약 0.02 인치였다. 하단 그래프는 약 0.49 %의 평균 막 불균일도를 보이는 반면, 상단 그래프는 약 0.85 %의 평균 막 불균일도를 보인다.
부가적으로, 표준 대면 플레이트 스루홀 사이즈 및 작은 직경 대면 플레이트 스루홀 사이즈 양자에 대한 증착 레이트는 상대적으로 유사하다. 도 13b의 그래프들에서 테스트된 기판들로부터 데이터를 취함으로써, 표준 대면 플레이트 스루홀 사이즈 및 작은 직경 대면 플레이트 스루홀 사이즈에 대해 ALD 사이클을 완료하기 위한 시간은 거의 같고, 재료의 증착된 두께는 거의 같다. 통상적으로, 압력 강하를 증가시키는 것은 전구체들 및 다른 가스들의 플로우 레이트들을 감소시킬 수 있고, 이로써 막 증착 레이트들에 부정적인 영향을 준다. 예를 들어, 대면 플레이트 스루홀들의 수를 감소시킴으로써 또는 대면 플레이트의 두께를 증가시킴으로써 압력 강하를 증가시키는 것은 증착 레이트에 부정적인 영향을 줄 수 있다. 그러나, 작은 직경 대면 플레이트 스루홀들을 사용하면, 데이터는 개선된 축방향 흐름 속도에 대해 훨씬 보다 높은 압력 강하를 나타내고, ALD 사이클을 완료하기 위한 시간은 부정적으로 영향을 주지 않는다. 따라서, 보다 높은 압력 강하는, 퍼지 시간들 및 증착 레이트들을 희생하지 않고 작은 직경 대면 플레이트 스루홀들을 사용하여 도입될 수 있다.
일부 구현예들에서, 작은 직경 대면 플레이트 스루홀들을 갖는 샤워헤드는 반도체 프로세스 챔버 또는 반도체 프로세스 스테이션 내에 제공될 수도 있다. 프로세스 챔버는 챔버 하우징의 상단에 장착된 샤워헤드를 포함할 수 있다. 기판 지지부는 프로세스 챔버 내에서 샤워헤드 밑에 반도체 기판을 지지할 수도 있다. 마이크로볼륨은 기판 지지부와 샤워헤드 사이에 형성될 수도 있다. 마이크로볼륨은 기판 반응 영역으로서 역할을 할 수도 있고 프로세싱 동안 반도체 기판의 근방에 프로세스 가스들을 집중시키고 유지하는 것을 도울 수도 있다. 기판 지지부는 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다. 일부 구현예들에서, 샤워헤드는 스템에 의해서 프로세스 챔버의 리드로부터 떠있을 수 있으며 그 자체가 프로세스 챔버의 "리드"의 일부를 형성하지 않을 수도 있다. 이러한 구현예들에서, 샤워헤드가 기판 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다. 반도체 프로세스 스테이션은 도 16에 대해 이하에 보다 상세히 기술되고, 하나 이상의 동작들을 수행하기 위한 인스트럭션들로 구성된 제어기를 더 포함할 수도 있다. 하나 이상의 동작들은 ALD를 수행하는 것과 연관된 동작들을 포함할 수 있다. 예를 들어, 제어기는 (1) 반도체 프로세싱 스테이션 내로 기판을 제공하고, (2) 기판의 표면에 흡착하도록 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 반응물질 가스를 도입하고, (3) 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 퍼지 가스를 도입하고, 그리고 (4) 기판의 표면 상의 흡착된 반응물질 가스로부터 박막 층을 형성하도록 플라즈마를 인가하기 위한 인스트럭션들로 구성될 수 있다. 일부 구현예들에서, 박막 층을 형성하는 것은 ALD 사이클에서 전술한 샤워헤드를 사용하여 약 1.5 초 미만으로 수행될 수 있다.
일부 구현예들에서, 하나 이상의 프로세스 챔버들은 멀티-스테이션 반도체 프로세싱 툴의 프로세스 스테이션들로서 제공될 수도 있다. 일부 구현예들에서, 단일 프로세스 챔버는 복수의 프로세싱 스테이션들을 포함할 수도 있고, 이들 중 일부 또는 전부는 고유의 샤워헤드 어셈블리들을 가질 수도 있다. 멀티-스테이션 반도체 프로세싱 툴의 보다 상세한 기술이 도 16에 대해 이하에 제공된다.
에지 홀들을 갖는 샤워헤드
도 10을 다시 참조하면, 통상적인 대면 플레이트 (1004) 는 내측 스루홀들 (1032) 및 외측 스루홀들 (1034) 을 포함한다. 외측 스루홀들 (1034) 은 경계 마커 (1036) 외부에 위치되는 반면, 내측 스루홀들 (1032) 은 경계 마커 (1036) 내 대면 플레이트 (1004) 의 제 2 측면 상에 위치되고, 이 경우 경계 마커 (1036) 는 대면 플레이트 (1004) 의 링 인접 에지를 형성한다. 경계 마커 (1036) 의 방사상 경로를 따르는 외측 스루홀들 (1034) 의 공간적 분포는 고르지 않다. 달리 말하면, 외측 스루홀들 (1034) 간의 간격은 대면 플레이트 (1004) 의 주변 영역을 따라 고르지 않다. 육각형 또는 삼각형 패턴을 따라 대면 플레이트 스루홀들 (1032, 1034) 을 형성할 때, 육각형 또는 삼각형 패턴은 외측 스루홀들 (1034) 의 위치로 하여금 대면 플레이트 (1004) 의 주변 영역을 따라 서로에 대해 고르지 않게 분포되게 할 수 있다. 이는 대면 플레이트 (1004) 의 최외곽 에지를 향해 대면 플레이트 스루홀 분포에 방위각 불연속성을 생성할 수 있다. 이러한 불연속성은 프로세싱될 기판의 에지에서 흐름 균일도 관련 이슈들을 생성할 수 있다. 일부 구현예들에서, 기판의 에지에서 흐름 균일도 관련 이슈들은 기판의 에지에서 고르지 않은 플로우 레이트에 관한 이슈들 및 기판의 에지에서 흐름의 불균일한 방향에 관한 이슈들을 포함할 수 있다.
외측 스루홀들 (1034) 의 고르지 않은 분포에 의해 생성된 방위각 불균일성들은 기판의 에지를 따라 방위각 막 불균일도에 부정적인 영향을 줄 수 있다. 보다 구체적으로, 고르지 않은 흐름 균일도 및 불균일한 방향의 흐름은 기판의 에지에서 고르지 않은 막 증착을 유발할 수 있다. 일부 구현예들에서, 예를 들어, 기판의 에지를 따라 측정할 때 높은 증착 스폿들과 낮은 증착 스폿들의 파상 (undulating) 패턴들이 형성될 수 있다.
본 개시는 에지 스루홀들을 갖는 대면 플레이트를 갖는 샤워헤드에 관한 것이다. 도 10의 내측 스루홀들 (1032) 및 외측 스루홀들 (1034) 에 부가하여, 에지 스루홀들은 대면 플레이트 (1004) 내에 형성될 수 있다. 에지 스루홀들은 기판 자체 바깥쪽에 위치될 수 있고, 이는 에지 스루홀들이 대면 플레이트 (1004) 의 중심으로부터 기판의 에지 너머 연장하도록 방사상으로 위치될 수 있다는 것을 의미한다. 에지 스루홀들은, 기판의 에지에서 플로우 레이트 및 흐름 방향에 대해 보다 큰 흐름 균일도를 제공할 수 있고, 이는 개선된 방위각 막 불균일도를 유발할 수 있다. 일부 구현예들에서, 방위각 막 불균일도는 약 0.5 % 미만일 수 있다.
앞서 논의된 바와 같이, 샤워헤드는 약 0.04 인치 미만의 직경을 갖는 스루홀들을 갖는 대면 플레이트를 포함할 수 있다. 일부 구현예들에서, 샤워헤드는 다공성 배플을 갖는 저 볼륨 샤워헤드를 포함할 수 있고, 저 볼륨 샤워헤드는 약 0.04 인치 이상 또는 약 0.04 인치 미만의 직경을 갖는 스루홀들을 가질 수 있다. 대면 플레이트가 에지 스루홀들을 더 포함하는 구현예들에서, 보다 공간적으로 균일한 흐름이 기판의 에지에서 제공될 수 있고, 이로써 기판의 에지에서 증착된 막 불균일도를 개선한다.
도 14a는 복수의 중앙 스루홀들 및 복수의 에지 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다. 대면 플레이트 (1404) 는 반도체 프로세싱 장치에서 사용하기 위한 샤워헤드의 일부일 수 있다. 샤워헤드는 제 1 표면 및 제 1 표면의 반대편의 제 2 표면을 갖는 플레넘 볼륨을 포함할 수 있고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 샤워헤드의 플레넘 볼륨을 형성한다. 샤워헤드는 하나 이상의 가스 유입부들에 인접하게 위치된 배플을 더 포함할 수 있다. 일부 구현예들에서, 배플은 플레넘 볼륨과 하나 이상의 가스 유입부들 사이의 영역에 위치될 수 있다. 일부 구현예들에서, 배플은 복수의 배플 스루홀들을 포함할 수 있다. 일부 구현예들에서, 샤워헤드는 저 볼륨 샤워헤드, 예컨대 상기 기술된 바와 같은 저 볼륨 샤워헤드일 수 있다. 일부 구현예들에서, 대면 플레이트 (1404) 는 복수의 대면 플레이트 스루홀들 (1432, 1438) 포함할 수 있고, 이 경우 복수의 대면 플레이트 스루홀들 (1432, 1438) 은 대면 플레이트 (1404) 의 제 1 측면으로부터 제 2 측면으로 연장한다. 대면 플레이트 (1404) 의 제 1 측면은 플레넘 볼륨의 제 1 표면을 형성할 수 있다. 일부 구현예들에서, 대면 플레이트 스루홀들 (1432, 1438) 은 각각 약 0.04 인치 미만의 직경을 가질 수 있다. 일부 구현예들에서, 대면 플레이트 스루홀들 (1432, 1438) 각각은 0.04 인치 이상의 직경을 가질 수 있다.
대면 플레이트 스루홀들은 중앙 스루홀들 (1432) 및 에지 스루홀들 (1438) 을 포함할 수 있다. 중앙 스루홀들 (1432) 은 프로세싱될 기판의 사이즈까지 연장하는 대면 플레이트 스루홀들을 포함한다. 예를 들어, 중앙 스루홀들 (1432) 은 도 10의 내측 스루홀들 (1032) 및 외측 스루홀들 (1034) 을 포함한다. 에지 스루홀들 (1438) 은 중앙 스루홀들 (1432) 을 둘러싸도록 위치되고 대면 플레이트 (1404) 의 에지에 가장 가까운 스루홀들의 세트를 나타낸다. 일부 구현예들에서, 에지-스루홀들 (1438) 은 대면 플레이트 (1404) 의 주변 영역 둘레의 링 (1437) 을 따라 원주방향으로 위치된다.
일부 구현예들에서, 에지-스루홀들 (1438) 은 육각형 또는 삼각형 패턴의 중앙 스루홀들 (1432) 의 확장부로서 배열될 수도 있다. 따라서, 링 (1437) 을 따르는 에지 스루홀들 (1438) 의 공간적 분포는 고르지 않을 수도 있다. 일부 구현예들에서, 에지 스루홀들 (1438) 은 동심원 홀 패턴을 따라 배열될 수도 있다. 따라서, 링 (1437) 을 따르는 에지 스루홀들 (1438) 의 공간적 분포는 균일할 수도 있다.
반도체 프로세싱 스테이션 및 샤워헤드와 같은 이에 동반된 컴포넌트들은 특정한 사이즈들의 기판들을 프로세싱하기 위해 구성될 수도 있다. 예를 들어, 반도체 프로세싱 스테이션은 200 ㎜, 300 ㎜, 450 ㎜, 등의 직경을 갖는 기판들을 프로세싱하기 위해 구성될 수도 있다. 대면 플레이트 (1404) 의 직경은 기판의 직경에 대응할 수도 있고, 이 기판에 사용하기 위해 샤워헤드가 구성된다. 유사하게, 중앙 스루홀들 (1432) 의 배열은 기판의 직경까지 연장될 수도 있고, 이 기판에 사용하기 위해 샤워헤드가 구성된다. 예를 들어, 프로세싱될 기판의 직경이 300 ㎜이면, 중앙 스루홀들 (1432) 의 배열의 직경은 300 ㎜ 이하, 예컨대 299 ㎜일 수도 있다. 그러나, 상부에 에지 스루홀들 (1438) 이 위치되는 링 (1437) 의 직경은 기판의 직경보다 크고, 이 기판에 사용하기 위해 샤워헤드가 구성된다. 예를 들어, 프로세싱될 기판의 직경이 300 ㎜이면, 링 (1437) 의 직경은 300 ㎜ 초과, 예컨대 303 ㎜일 수 있다.
에지 스루홀들 (1438) 은 기판의 에지에서 흐름 균일도를 증가시키기 위해 선택된 개수, 위치, 배열, 및/또는 간격으로 엔지니어링될 수 있다. 일부 구현예들에서, 보다 많은 수의 에지 스루홀들 (1438) 을 갖는 것은 기판의 에지에서 흐름 균일도를 증가시킬 수 있다. 예를 들어, 에지 스루홀들 (1438) 의 수는 50 개보다 많은 스루홀들, 75 개보다 많은 스루홀들, 또는 100 개보다 많은 스루홀들일 수 있다. 또한, 사용을 위해 샤워헤드가 구성되는 기판의 직경 너머 에지 스루홀들 (1438) 을 위치시키는 것은 기판의 에지에서 흐름 균일도를 증가시킬 수 있다. 부가적으로, 기판의 에지에서 흐름 균일도는 에지 스루홀들 (1438) 사이의 보다 타이트한 간격 및 육각형, 삼각형 또는 동심원 배열에 따라 공간적으로 분포된 에지 스루홀들 (1438) 을 사용하여 증가할 수 있다.
도 14b는 복수의 중앙 스루홀들, 제 1 링을 따르는 복수의 에지 스루홀들 및 제 2 링을 따르는 복수의 에지 스루홀들을 갖는 예시적인 대면 플레이트의 하면도를 도시한다. 일부 구현예들에서, 기판의 에지에서 흐름 균일도는 부가적인 링의 스루홀들과 함께 증가할 수 있다. 도 14b에서, 대면 플레이트 (1454) 는 반도체 프로세싱 장치에서 사용하기 위한 샤워헤드의 일부일 수 있다. 대면 플레이트 (1454) 는 복수의 대면 플레이트 스루홀들을 포함할 수 있고, 이 경우 대면 플레이트 스루홀들은 중앙 스루홀들 (1482) 및 에지 스루홀들 (1488) 을 포함한다. 에지 스루홀들 (1488) 은 제 1 링 (1487a) 을 따라 그리고 제 2 링 (1487b) 을 따라 중앙 스루홀들 (1482) 을 둘러싼다.
복수의 제 1 에지 스루홀들 (1488a) 은 제 1 링 (1487a) 을 따라 원주방향으로 위치되고, 그리고 복수의 제 2 에지 스루홀들 (1488b) 은 제 2 링 (1487b) 을 따라 원주 방향으로 위치된다. 상부에 에지 스루홀들 (1488) 이 위치된 제 1 링 (1487a) 및 제 2 링 (1487b) 양자의 직경은 사용을 위해 구성된 기판의 직경보다 크다. 제 2 링 (1487b) 의 직경은 제 1 링 (1487a) 의 직경보다 크다. 예를 들어, 프로세싱될 기판의 직경이 300 ㎜이면, 제 1 링 (1487a) 의 직경은 300 ㎜ 초과, 예컨대 303 ㎜일 수 있고, 제 2 링 (1487b) 의 직경은 310 ㎜ 초과, 예컨대 312 ㎜일 수 있다. 에지 스루홀들 (1488) 은 기판의 에지에서 흐름 균일도를 증가시키기 위해 선택된 개수, 위치, 배열, 및/또는 간격에 따라 제 1 링 (1487a) 및 제 2 링 (1487b) 을 따라 엔지니어링될 수 있다. 일부 구현예들에서, 에지 스루홀들 (1488a) 의 수는 50 개보다 많은 스루홀들, 75 개보다 많은 스루홀들, 또는 100 개보다 많은 스루홀들일 수 있고, 제 2 에지 스루홀들 (1488b) 의 수는 100 개보다 많은 스루홀들, 125 개보다 많은 스루홀들, 또는 150 개보다 많은 스루홀들일 수 있다. 일부 구현예들에서, 에지 스루홀들 (1488) 은 육각형, 삼각형, 또는 동심원 배열을 따라 공간적으로 분포될 수 있다.
도 14c는 중앙 스루홀들 및 기울어진 하나 이상의 에지 스루홀들을 갖는 예시적인 대면 플레이트의 확대된 등축 단면도를 도시한다. 일부 구현예들에서, 하나 이상의 에지 스루홀들은 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 기울어질 수 있다. 도 14c에서, 샤워헤드는 플레넘 볼륨 (1430) 및 대면 플레이트 (1464) 를 포함한다. 대면 플레이트 (1464) 는 복수의 중앙 스루홀들 (1492) 및 중앙 스루홀들 (1492) 을 둘러싸는 복수의 에지 스루홀들 (1498) 을 포함한다. 하나 이상의 에지 스루홀들 (1498) 이 대면 플레이트 (1464) 의 제 1 측면 (1464a) 으로부터 제 2 측면 (1464b) 으로 기울어질 수도 있고, 이 경우 제 1 측면 (1464a) 은 플레넘 볼륨 (1430) 의 표면을 형성한다. 각도는 플레넘 볼륨의 표면을 형성하는 축으로부터 측정될 수 있다. 일부 구현예들에서, 각도는 대면 플레이트 (1464) 의 제 1 측면 (1464a) 으로부터 제 2 측면 (1464b) 으로 약 90 ° 미만이거나, 대면 플레이트 (1464) 의 제 1 측면 (1464a) 으로부터 제 2 측면 (1464b) 으로 약 75 ° 미만일 수 있다.
일부 구현예들에서, 하나 이상의 기울어진 에지 스루홀들 (1498) 은, 도 14a에 예시된 바와 같이, 단일 링의 스루홀들의 일부일 수도 있다. 중앙 스루홀들 (1492) 은 기울어지지 않는다. 일부 구현예들에서, 하나 이상의 에지 스루홀들 (1498) 은, 도 14b에 예시된 바와 같이, 복수의 링들의 마지막 링의 스루홀들의 일부일 수도 있다. 따라서, 중앙 스루홀들 (1492) 및 에지 스루홀들 (1498) 중 일부, 예컨대 제 1 링을 따라 원주방향으로 위치된 에지 스루홀들은 기울어지지 않을 수도 있다.
하나 이상의 기울어진 에지 스루홀들 (1498) 은 기판의 에지에서 흐름 균일도를 증가시킬 수 있다. 일부 구현예들에서, 플레넘 볼륨 (1430) 의 최외곽 에지는 사용을 위해 샤워헤드가 구성되는 기판의 에지를 넘어 연장하지 않는다. 즉, 대면 플레이트 (1464) 의 제 1 측면 (1464a) 에 의해 형성된 플레넘 볼륨 (1430) 의 표면의 직경은 기판의 직경보다 크지 않다. 하나 이상의 에지 스루홀들 (1498) 이 기울어져 대면 플레이트 (1464) 내에 형성될 때, 이 각도는 기판의 에지를 넘어 연장하는 대면 플레이트 (1464) 의 제 2 측면 (1464b) 상에 하나 이상의 에지 스루홀들 (1498) 을 제공할 수 있다. 대안적으로, 플레넘 볼륨 (1430) 은 사용을 위해 샤워헤드가 구성되는 기판의 에지를 지나쳐서 연장할 수도 있지만, 많이 또는 충분히 멀리 연장되지 않는다. 기울어져 형성된 하나 이상의 에지 스루홀들 (1498) 은 기판의 에지를 넘어 훨씬 더 연장하는 대면 플레이트 (1464) 의 제 2 측면 (1464b) 상에 하나 이상의 에지 스루홀들을 제공할 수 있다. 가스의 흐름이 대면 플레이트 (1464) 를 나갈 때, 이는 기판의 에지에서 흐름 균일도의 증가를 유발할 수 있다.
어떠한 이론에도 매이지 않고, 이 각도는 대면 플레이트 (1464) 로부터 나오는 가스의 속도에 영향을 줌으로써 기판의 에지에서 흐름 균일도를 증가시킬 수 있다. 첫째, 이 각도는 대면 플레이트 (1464) 로부터 나오는 가스의 속도를 감소시킬 수 있다. 둘째, 이 각도는 기판의 에지에서 흐름 균일도를 더 개선할 수 있는 수평 컴포넌트 방향으로 흐름을 보다 증가시킬 수 있다.
도 15a는 중앙 스루홀들을 갖는 예시적인 대면 플레이트와 중앙 스루홀들 및 에지 스루홀들을 갖는 예시적인 대면 플레이트를 비교하는 단면도들을 도시한다. 제 1 대면 플레이트 (1504) 는 중앙 스루홀들 (1532) 을 포함하는 반면, 제 2 대면 플레이트 (1554) 는 중앙 스루홀들 (1532) 및 에지 스루홀들 (1584, 1586) 을 포함한다. 도 15b는 도 15a의 2 개의 예시적인 대면 플레이트들을 비교하는 단면도들의 확대된 부분을 도시한다. 도 15c는 도 15a의 2 개의 예시적인 대면 플레이트들을 비교하는 등축 단면도들의 확대된 부분을 도시한다. 도 15a 내지 도 15c에서, 샤워헤드 (1500) 는 백 플레이트 (1502) 및 대면 플레이트 (1504/1554) 를 포함할 수 있고, 이 경우 백 플레이트 (1502) 및 대면 플레이트 (1504/1554) 는 서로 반대편에 위치될 수도 있다. 플레넘 볼륨 (1530/1580) 은 백 플레이트 (1502) 와 대면 플레이트 (1504/1554) 사이에 형성될 수도 있고, 이 경우 플레넘 볼륨 (1530/1580) 은 제 1 표면 및 제 1 표면의 반대편에 제 2 표면을 가질 수 있고, 제 1 표면 및 제 2 표면은 적어도 부분적으로 플레넘 볼륨 (1530/1580) 을 형성한다. 일부 구현예들에서, 플레넘 볼륨 (1530/1580) 의 제 1 표면 및 제 2 표면은 원주형 표면들을 가질 수 있다.
플레넘 볼륨 (1530/1580) 에는 플레넘 볼륨 (1530/1580) 과 연통하는 하나 이상의 가스 유입부들 (1520) 을 통해 반응물질 가스 또는 퍼지 가스와 같은 가스가 공급될 수도 있다. 도 15a의 하나 이상의 가스 유입부들 (1520) 은 스템 (1522) 을 포함할 수 있고, 이 경우 스템 (1522) 은 스템 (1522) 을 통해 연장하는 튜브 (1524) 를 포함할 수 있다. 샤워헤드 (1500) 는 또한 하나 이상의 가스 유입부들 (1520) 에 인접하게 위치된 배플 (1510) 을 포함할 수 있다. 일부 구현예들에서, 배플 (1510) 은 플레넘 볼륨 (1530/1580) 과 하나 이상의 가스 유입부들 (1520) 사이의 영역에 위치될 수 있다. 배플 (1510) 은 다공성이거나 비다공성일 수 있고, 이 경우 배플 (1510) 은 플레넘 볼륨 (1530) 전반에 걸쳐 그리고 대면 플레이트 (1504/1554) 의 에지를 향해 외측으로 가스 흐름을 지향시키도록 위치될 수 있다. 배플 (1510) 은 대면 플레이트 (1504/1554) 의 중심을 통해 분사되는 가스의 흐름을 감소시킬 수 있다.
도 15a 내지 도 15c는 제 1 대면 플레이트 (1504) 의 설계와 제 2 대면 플레이트 (1554) 의 설계를 비교하고, 제 1 대면 플레이트 (1504) 로 형성된 제 1 플레넘 볼륨 (1530) 과 제 2 대면 플레이트 (1554) 로 형성된 제 2 플레넘 볼륨 (1580) 을 비교한다. 제 1 대면 플레이트 (1504) 및 제 2 대면 플레이트 (1554) 각각은 제 1 측면으로부터 제 2 측면으로 연장하는 복수의 중앙 스루홀들 (1532) 을 포함한다. 중앙 스루홀들 (1532) 은 가스 분배 홀들 또는 기판으로 가스의 전달을 용이하게 하는 스루홀들로서 역할을 할 수도 있다. 일부 구현예들에서, 중앙 스루홀들 (1532) 각각은 약 0.04 인치 미만의 직경을 가질 수 있다. 일부 구현예들에서, 중앙 스루홀들 (1532) 각각은 0.04 인치 이상의 직경을 가질 수 있다.
부가적인 스루홀들을 수용하기 위해, 제 1 대면 플레이트 (1504) 로 형성된 제 1 플레넘 볼륨 (1530) 의 볼륨은 보다 큰 볼륨을 형성하도록 확장될 수 있고, 이는 제 2 대면 플레이트 (1554) 로 형성된 제 2 플레넘 볼륨 (1580) 으로 예시될 수 있다. 제 2 플레넘 볼륨 (1580) 및 제 1 플레넘 볼륨 (1530) 은 각각 원통형일 수 있고, 이 경우 제 2 플레넘 볼륨 (1580) 의 직경은 제 1 플레넘 볼륨 (1530) 의 직경보다 크다. 일부 구현예들에서, 제 2 플레넘 볼륨 (1580) 의 직경은 제 1 플레넘 볼륨 (1530) 의 직경에 대해 거리 D만큼 보다 클 수 있다. 확장된 볼륨은 제 2 대면 플레이트 (1554) 내에 형성될 부가적인 스루홀들 (1584, 1586) 을 위한 보다 큰 공간을 제공할 수 있다.
부가적인 스루홀들 (1584, 1586) 은 제 2 대면 플레이트 (1554) 내에 제공될 수도 있고, 제 2 대면 플레이트 (1554) 의 제 1 측면으로부터 제 2 측면으로 연장한다. 일부 구현예들에서, 부가적인 스루홀들 (1584, 1586) 은 각각 약 0.04 인치 미만의 직경을 가질 수 있다. 일부 구현예들에서, 부가적인 스루홀들 (1584, 1586) 은 각각 0.04 인치 이상의 직경을 가질 수 있다. 부가적인 스루홀들 (1584, 1586) 을 사용함으로써, 스루홀들 (1532) 만을 갖는 제 1 대면 플레이트 (1504) 와 비교하여 보다 많은 스루홀들이 제 2 대면 플레이트 (1554) 의 에지에 인접하게 제공된다. 일부 구현예들에서, 부가적인 스루홀들은 제 1 링을 따라 형성된 복수의 제 1 스루홀들 (1584) 및 제 2 링을 따라 형성된 복수의 제 2 스루홀들 (1586) 을 포함할 수 있다. 제 1 스루홀들 (1584) 및/또는 제 2 스루홀들 (1586) 은 프로세싱될 기판의 에지에서 보다 큰 흐름 균일도를 제공할 수 있다. 일부 구현예들에서, 제 1 링 및 제 2 링의 직경은 각각 프로세싱될 기판의 직경보다 클 수 있다.
일부 구현예들에서, 에지 스루홀들을 갖는 샤워헤드는 반도체 프로세스 챔버 또는 반도체 프로세스 스테이션 내에 제공될 수도 있다. 프로세스 챔버는 챔버 하우징의 상단에 장착된 샤워헤드를 포함할 수 있다. 기판 지지부는 프로세스 챔버 내 샤워헤드 밑에 반도체 기판을 지지할 수도 있다. 마이크로볼륨은 기판 지지부와 샤워헤드 사이에 형성될 수도 있다. 마이크로볼륨은 기판 반응 영역으로서 역할을 할 수도 있고 프로세싱 동안 반도체 기판의 근방에 프로세스 가스들을 집중시키고 유지하는 것을 도울 수도 있다. 기판 지지부는 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다. 일부 구현예들에서, 샤워헤드는 스템에 의해서 프로세스 챔버의 리드로부터 떠있을 수 있으며 그 자체가 프로세스 챔버의 "리드"의 일부를 형성하지 않을 수도 있다. 이러한 구현예들에서, 샤워헤드가 기판 로딩 동작들 및 언로딩 동작들을 실현하기 위해서 상향 및 하향 이동하도록 구성될 수도 있다. 반도체 프로세스 스테이션은 도 16에 대해 이하에 보다 상세히 기술되고, 하나 이상의 동작들을 수행하기 위한 인스트럭션들로 구성된 제어기를 더 포함할 수도 있다. 하나 이상의 동작들은 ALD를 수행하는 것과 연관된 동작들을 포함할 수 있다. 예를 들어, 제어기는 (1) 반도체 프로세싱 스테이션 내로 기판을 제공하고, (2) 기판의 표면에 흡착하도록 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 반응물질 가스를 도입하고, (3) 샤워헤드를 통해 반도체 프로세싱 스테이션 내로 퍼지 가스를 도입하고, 그리고 (4) 기판의 표면 상의 흡착된 반응물질 가스로부터 박막 층을 형성하도록 플라즈마를 인가하기 위한 인스트럭션들로 구성될 수 있다. 일부 구현예들에서, 박막 층을 형성하는 것은 ALD 사이클에서 전술한 샤워헤드를 사용하여 약 1.5 초 미만으로 수행될 수 있다.
일부 구현예들에서, 하나 이상의 프로세스 챔버들은 멀티-스테이션 반도체 프로세싱 툴의 프로세스 스테이션들로서 제공될 수도 있다. 일부 구현예들에서, 단일 프로세스 챔버는 복수의 프로세싱 스테이션들을 포함할 수도 있고, 이들 중 일부 또는 전부는 고유의 샤워헤드 어셈블리들을 가질 수도 있다. 멀티-스테이션 반도체 프로세싱 툴의 보다 상세한 기술이 도 16에 대해 논의된다.
도 16은 다공성 베플, 작은 직경 대면 플레이트 스루홀들, 및/또는 에지 스루홀들을 갖는 저 볼륨 샤워헤드를 포함할 수도 있는 멀티-스테이션 프로세싱 툴의 개략도를 도시한다. 멀티-스테이션 프로세싱 툴 (1600) 은 인바운드 로드록 (inbound load lock) (1602) 및 아웃바운드 로드록 (outbound load lock) (1604) 을 포함할 수도 있다. 대기압에 있는 로봇 (1606) 은 포드 (pod) (1608) 를 통해서 로딩된 카세트로부터 기판들을 대기 포트 (atmospheric port) (1610) 를 통해서 인바운드 로드록 (1602) 내로 이동시키도록 구성될 수 있다. 기판은 인바운드 로드록 (1602) 내의 페데스탈 (1612) 상에 로봇 (1606) 에 의해서 배치될 수도 있으며, 대기 포트 (1610) 는 폐쇄되고, 이어서 로드록은 펌프 다운될 수도 있다. 인바운드 로드록 (1602) 이 리모트 플라즈마 소스를 포함하면, 기판은 프로세싱 챔버 (1614) 내로 도입되기 이전에 이 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 예를 들어 습기 및 흡착된 가스들을 제거하기 위해서 인바운드 로드록 (1602) 내에서 기판이 가열될 수도 있다. 다음에, 프로세싱 챔버 (1614) 로의 챔버 이송 포트 (1616) 가 개방되고, 또 다른 로봇 (미도시) 이 기판을 프로세싱 챔버 (1614) 내에서 프로세싱을 위해서 반응기 내에 도시된 제 1 스테이션의 페데스탈 상에 배치할 수도 있다. 도 16에 도시된 구현예는 로드록들을 포함하지만, 일부 구현예들에서, 프로세스 스테이션 내로의 기판의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (1614) 는 도 16에 도시된 구현예에서 1 내지 4로 번호가 붙여진 4 개의 프로세스 스테이션들을 포함한다. 프로세스 스테이션 각각은 가열 또는 가열되지 않는 페데스탈 (스테이션 (1) 에 대해서 (1618) 로 도시됨) 및 가스 라인 유입부들을 가질 수도 있다. 몇몇 구현예들에서, 프로세스 스테이션 각각은 상이한 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PECVD (plasma-enhanced chemical vapor deposition) 프로세스 모드 간에서 스위칭될 수도 있다. 부가적으로 또는 대안적으로, 일부 구현예들에서, 프로세싱 챔버 (1614) 는 ALD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1614) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 구현예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
스테이션 각각은 연관된 스테이션의 기판으로 프로세스 가스들을 전달하는 별도의 샤워헤드 어셈블리를 포함할 수도 있다. 일부 구현예들에서, 이러한 샤워헤드들 일부 또는 전부는 본 명세서에서 기술된 바와 같은 다공성 베플, 작은 직경 대면 플레이트 스루홀들, 및/또는 에지 스루홀들을 갖는 저 볼륨 샤워헤드를 활용할 수도 있다. 예를 들어, 스테이션이 ALD 프로세싱, 또는 본 명세서에서 기술된 장비의 사용으로부터 이점을 얻을 수 있는 다른 프로세싱을 기판에 대하여 제공하면, 이 스테이션을 위한 샤워헤드는 본 명세서에서 논의되는 바와 같은 다공성 베플, 작은 직경 대면 플레이트 스루홀들, 및/또는 에지 스루홀들을 갖는 저 볼륨 샤워헤드일 수도 있다.
도 16은 또한 프로세싱 챔버 (1614) 내에서 기판들을 이송하기 위한 기판 핸들링 시스템 (1690) 을 도시한다. 일부 구현예들에서, 기판 핸들링 시스템 (1690) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 기판들을 이송할 수도 있다. 임의의 적합한 기판 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 기판 캐로절들 (carousels) 및 기판 처리 로봇들을 포함한다. 도 16은 또한 프로세스 툴 (1600) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1650) 를 도시한다. 시스템 제어기 (1650) 는 하나 이상의 메모리 디바이스들 (1656), 하나 이상의 대용량 저장 디바이스들 (1654), 및 하나 이상의 프로세서들 (1652) 을 포함할 수도 있다. 프로세서 (1652) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 구현예들에서, 제어기 (1650) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 흐름 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (1650) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (1650) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (1650) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (1650) 는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (1650) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (1650) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기 (1650) 의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (1650) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1650) 는 프로세스 툴 (1600) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1650) 는 대용량 저장 디바이스 (1654) 에 저장되고, 메모리 디바이스 (1656) 로 로딩되고, 프로세서 (1652) 상에서 실행되는 시스템 제어 소프트웨어 (1658) 를 실행한다. 시스템 제어 소프트웨어 (1658) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (1600) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1658) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1658) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (1658) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 단계 각각은 시스템 제어기 (1650) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 ALD 레시피 단계에 포함될 수도 있다. 일부 구현예들에서, 존재한다면, 복수의 샤워헤드들은 개별, 병렬 프로세스 동작들이 수행되도록 독립적으로 제어될 수도 있다.
시스템 제어기 (1650) 와 연관된 대용량 저장 디바이스 (1654) 및/또는 메모리 디바이스 (1656) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 구현예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1618) 상에 기판을 로딩하고 기판과 프로세스 툴 (1600) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 흐름을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 적절한 상황들에서, 외부 플라즈마 생성기 및/또는 플라즈마 생성기 또는 라디칼 소스 볼륨으로 프로세스 가스를 공급하기 위해 필요한 밸브를 제어하기 위한 코드를 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기 (1650) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기 (1650) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1650) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1600) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (1650) 는 다양한 반도체 제조 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는, 장치가 본 발명에 따른 방법을 수행할 수 있도록, 통상적으로 하나 이상의 메모리 디바이스들 및 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 수도 있다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기에 커플링될 수도 있다.
도 16에 도시된 반도체 프로세싱 툴이 단일의, 4-스테이션 프로세스 챔버 또는 모듈을 도시하지만, 반도체 프로세싱 툴들의 다른 구현예들은 각각 단일 스테이션 또는 복수의 스테이션들을 갖는, 복수의 모듈들을 포함할 수도 있다. 이러한 모듈들은 서로 상호연결될 수도 있고 그리고/또는 모듈들 간의 기판들의 이동을 용이하게 할 수도 있는 하나 이상의 이송 챔버들에 대해 구성될 수도 있다. 이러한 멀티-모듈 반도체 프로세싱 툴들에 의해 제공된 하나 이상의 스테이션들은, 필요에 따라, 본 명세서에 기술된 바와 같은, 다공성 배플들, 작은 직경 대면 플레이트 스루홀들, 및/또는 에지 스루홀들을 포함하는 저 볼륨 샤워헤드들을 구비할 수도 있다.
일반적으로 말하면, 본 명세서에서 기술된 바와 같은 다공성 베플들, 작은 직경 대면 플레이트 스루홀들, 및/또는 에지 스루홀들을 포함하는 저 볼륨 샤워헤드는 하나 이상의 반도체 기판들을 지지하도록 구성된 기판 지지부 위에서 반응 챔버 내에 장착될 수도 있다. 저 볼륨 샤워헤드는, 예를 들어, 또한 반응 챔버에 대하여 리드 역할을 하거나 리드의 일부의 역할을 할 수도 있다. 다른 구현예들에서, 저 볼륨 샤워헤드는 "샹들리에" 타입 샤워헤드일 수도 있으며 스템 또는 다른 지지 구조체에 의해서 반응 챔버의 리드로부터 떠있을 수 있다.
본 명세서에서 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제작 또는 제조 동안에 예를 들어 스텝퍼와 같은 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 수행될 수 있다. 막의 리소그래픽 패터닝은 일반적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 웨이퍼 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
전술한 바는 이해 및 명료성을 위해서 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변경들 및 수정들이 실시될 수도 있다는 것이 명백할 것이다. 기술된 프로세스들, 시스템들 및 장치들을 구현하는 다수의 대안적인 방식들이 존재할 수 있다는 것도 주목되어야 한다. 따라서, 기술된 실시예들은 예시적이면서 비한정적으로 해석되어야 한다.

Claims (21)

  1. 반도체 프로세싱 장치에 사용하기 위한 샤워헤드에 있어서,
    내경 및 외경을 갖는 스템;
    복수의 대면 플레이트 스루홀들을 포함하는 대면 플레이트로서, 상기 복수의 대면 플레이트 스루홀들은 상기 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 연장하고, 상기 대면 플레이트 스루홀들 각각은 0.04 인치 이하의 직경을 갖는, 상기 대면 플레이트;
    상기 대면 플레이트와 백 플레이트 사이에 플레넘 볼륨을 규정하기 위한 상기 대면 플레이트 반대편의 상기 백 플레이트로서, 상기 스템은 상기 백 플레이트의 중앙 영역에서 상기 백 플레이트에 연결되고 상기 플레넘 볼륨과 유체로 연통하고, 상기 백 플레이트는 상기 플레넘 볼륨의 높이가 상기 중앙 영역으로부터 에지 영역으로 테이퍼링되도록 상기 중앙 영역으로부터 상기 에지 영역으로 기울어지는, 상기 백 플레이트; 및
    상기 플레넘 볼륨 내에 위치된 배플로서, 상기 스템은 상기 스템으로부터 흐르는 상기 플레넘 볼륨 내 프로세스 가스들을 분배하도록 구성되는, 상기 배플을 포함하는, 샤워헤드.
  2. 제 1 항에 있어서,
    상기 배플은 상기 스템의 상기 내경보다 큰 직경을 갖는, 샤워헤드.
  3. 제 1 항에 있어서,
    상기 배플은 복수의 배플 스루홀들을 포함하는, 샤워헤드.
  4. 제 3 항에 있어서,
    상기 복수의 배플 스루홀들을 포함하는 상기 배플의 다공성은 5 % 내지 25 %인, 샤워헤드.
  5. 제 1 항에 있어서,
    상기 배플은 상기 플레넘 볼륨의 상기 스템 밑에 센터링되는, 샤워헤드.
  6. 제 1 항에 있어서,
    상기 대면 플레이트 스루홀들은 상기 대면 플레이트의 주 표면에 걸쳐 육각형 배열로 공간적으로 분포되는, 샤워헤드.
  7. 제 1 항에 있어서,
    상기 대면 플레이트 스루홀들은 상기 대면 플레이트의 주 표면에 걸쳐 삼각형 배열로 공간적으로 분포되는, 샤워헤드.
  8. 제 1 항에 있어서,
    상기 플레넘 볼륨은 제 1 표면 및 상기 제 1 표면 반대편의 제 2 표면을 갖고, 상기 대면 플레이트의 상기 제 1 측면은 상기 플레넘 볼륨의 상기 제 1 표면을 규정하고, 상기 백 플레이트의 측면은 상기 플레넘 볼륨의 상기 제 2 표면을 규정하는, 샤워헤드.
  9. 제 1 항에 있어서,
    상기 복수의 대면 플레이트 스루홀들 각각의 상기 직경은 0.01 인치 내지 0.03 인치인, 샤워헤드.
  10. 제 1 항에 있어서,
    복수의 대면 플레이트 스루홀들은 300 내지 6000 개의 스루홀들인, 샤워헤드.
  11. 제 1 항에 있어서,
    상기 대면 플레이트 스루홀들의 상기 직경은 상기 대면 플레이트로부터 나오는 상기 프로세스 가스들의 플로우의 공간적 균일성을 증가시키도록 구성되는, 샤워헤드.
  12. 제 1 항에 있어서,
    상기 대면 플레이트 스루홀들의 상기 직경은 상기 대면 플레이트의 외측으로부터 상기 플레넘 볼륨 내로 들어가는 플라즈마의 백스트리밍을 감소시키도록 구성되는, 샤워헤드.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 기재된 샤워헤드를 포함하는, 반도체 프로세싱 스테이션.
  14. 반도체 프로세싱 장치에 사용하기 위한 샤워헤드에 있어서,
    내경 및 외경을 갖는 스템;
    복수의 대면 플레이트 스루홀들을 포함하는 대면 플레이트로서, 상기 복수의 대면 플레이트 스루홀들은 상기 대면 플레이트의 제 1 측면으로부터 제 2 측면으로 연장하고, 상기 대면 플레이트 스루홀들의 제 1 서브세트는 중앙 스루홀들을 포함하고 그리고 상기 대면 플레이트 스루홀들의 제 2 서브세트는 상기 중앙 스루홀들 둘레에 에지 스루홀들을 포함하고, 상기 에지 스루홀들은 상기 대면 플레이트의 상기 제 1 측면으로부터 상기 제 2 측면으로 각도로 기울어지는, 상기 대면 플레이트;
    상기 대면 플레이트와 백 플레이트 사이에 플레넘 볼륨을 규정하도록 상기 대면 플레이트 반대편의 상기 백 플레이트로서, 상기 스템은 상기 백 플레이트의 중심 영역에서 상기 백 플레이트에 연결되고 상기 플레넘 볼륨과 유체로 연통하는, 상기 백 플레이트; 및
    상기 플레넘 볼륨 내에 위치된 배플로서, 상기 스템은 상기 스템으로부터 흐르는 상기 플레넘 볼륨 내 프로세스 가스들을 분배하도록 구성되는, 상기 배플을 포함하는, 샤워헤드.
  15. 제 14 항에 있어서,
    상기 에지 스루홀들은 상기 대면 플레이트의 상기 제 1 측면으로부터 상기 제 2 측면으로 75° 미만의 각도로 기울어지는, 샤워헤드.
  16. 제 14 항에 있어서,
    상기 에지 스루홀들은 상기 대면 플레이트의 외측 에지에 인접하게 위치되고 상기 에지 스루홀들의 배열의 직경이 상기 샤워헤드가 사용을 위해 구성되는 기판의 직경보다 크도록 원주방향으로 배치되는, 샤워헤드.
  17. 제 14 항에 있어서,
    상기 대면 플레이트 스루홀들 각각은 0.04 인치 이하의 직경을 갖는, 샤워헤드.
  18. 제 14 항에 있어서,
    상기 배플은 상기 스템의 상기 내경보다 큰 직경을 갖는, 샤워헤드.
  19. 제 14 항에 있어서,
    상기 백 플레이트는 상기 플레넘 볼륨의 높이가 상기 중앙 영역으로부터 상기 에지 영역으로 테이퍼링되도록 상기 중앙 영역으로부터 에지 영역으로 기울어지는, 샤워헤드.
  20. 제 14 항에 있어서,
    상기 에지 스루홀들은 상기 대면 플레이트로부터 나오는 상기 프로세스 가스들의 플로우의 공간적 균일도를 증가시키도록 구성되는, 샤워헤드.
  21. 제 14 항 내지 제 20 항 중 어느 한 항에 기재된 샤워헤드를 포함하는, 반도체 프로세싱 스테이션.
KR1020220011054A 2015-05-22 2022-01-25 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드 KR102627544B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230151477A KR20230157908A (ko) 2015-05-22 2023-11-06 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562165761P 2015-05-22 2015-05-22
US62/165,761 2015-05-22
US14/850,816 US10378107B2 (en) 2015-05-22 2015-09-10 Low volume showerhead with faceplate holes for improved flow uniformity
US14/850,816 2015-09-10
KR1020160061452A KR102357417B1 (ko) 2015-05-22 2016-05-19 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160061452A Division KR102357417B1 (ko) 2015-05-22 2016-05-19 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230151477A Division KR20230157908A (ko) 2015-05-22 2023-11-06 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Publications (2)

Publication Number Publication Date
KR20220017968A true KR20220017968A (ko) 2022-02-14
KR102627544B1 KR102627544B1 (ko) 2024-01-19

Family

ID=57324324

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160061452A KR102357417B1 (ko) 2015-05-22 2016-05-19 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
KR1020220011054A KR102627544B1 (ko) 2015-05-22 2022-01-25 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
KR1020230151477A KR20230157908A (ko) 2015-05-22 2023-11-06 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160061452A KR102357417B1 (ko) 2015-05-22 2016-05-19 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230151477A KR20230157908A (ko) 2015-05-22 2023-11-06 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Country Status (6)

Country Link
US (1) US10378107B2 (ko)
JP (3) JP6912164B2 (ko)
KR (3) KR102357417B1 (ko)
CN (1) CN106167895B (ko)
SG (2) SG10202102836YA (ko)
TW (1) TWI713525B (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
KR102523730B1 (ko) * 2016-11-14 2023-04-19 도쿄엘렉트론가부시키가이샤 이중 주파수 표면파 플라즈마 소스
KR102269479B1 (ko) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107516625A (zh) * 2017-07-13 2017-12-26 江苏鲁汶仪器有限公司 一种等离子体刻蚀***的喷淋头
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP2022523541A (ja) * 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110170433A (zh) * 2019-05-28 2019-08-27 昆山国显光电有限公司 一种真空干燥装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US20220290300A1 (en) * 2019-08-28 2022-09-15 Lam Research Corporation Metal deposition
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US20230044064A1 (en) * 2020-01-06 2023-02-09 Lam Research Corporation Showerhead with faceplate having internal contours
CN111403256B (zh) * 2020-03-24 2022-03-22 北京北方华创微电子装备有限公司 半导体工艺装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
KR20230024401A (ko) * 2020-06-15 2023-02-20 램 리써치 코포레이션 반도체 프로세싱 툴들을 위한 기울어진 가스 분배 통로들 (angled gas distribution passages) 을 갖는 샤워헤드 대면 플레이트들
TWI727839B (zh) * 2020-06-24 2021-05-11 天虹科技股份有限公司 半導體原子層沉積裝置之噴灑頭結構
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
CN112323043A (zh) * 2020-10-30 2021-02-05 泉芯集成电路制造(济南)有限公司 一种气体分配器以及原子层沉积反应设备
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN114098371B (zh) * 2021-12-02 2023-02-28 郑州航空工业管理学院 一种智能化控制的工业设计展示装置
CN114457321B (zh) * 2022-01-21 2023-03-28 深圳市纳设智能装备有限公司 一种进气装置及cvd设备
WO2023177570A1 (en) * 2022-03-15 2023-09-21 Lam Research Corporation Showerhead for substrate processing systems
TW202339551A (zh) * 2022-03-25 2023-10-01 美商蘭姆研究公司 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010098812A (ko) * 2000-04-26 2001-11-08 브라이언 알. 바흐맨 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판
KR20060107683A (ko) * 2005-04-11 2006-10-16 삼성전자주식회사 화학 기상 증착 장치
KR20090003703U (ko) * 2007-10-16 2009-04-21 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
KR20140000653A (ko) * 2012-06-25 2014-01-03 노벨러스 시스템즈, 인코포레이티드 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드

Family Cites Families (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (ko) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPS6187319A (ja) * 1984-10-05 1986-05-02 Hitachi Ltd プラズマを用いた化学気相成膜装置
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
JPH07240404A (ja) * 1994-03-02 1995-09-12 Fujitsu Ltd プラズマ処理装置
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
EP0753082B1 (de) 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
DE69518710T2 (de) * 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
KR100319468B1 (ko) 1995-06-30 2002-04-22 히가시 데쓰로 플라즈마 처리 방법
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP2879887B2 (ja) * 1995-08-24 1999-04-05 東京エレクトロン株式会社 プラズマ処理方法
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JPH1050678A (ja) * 1996-08-02 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000183029A (ja) 1998-12-17 2000-06-30 Sony Corp ドライアッシング装置
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
KR100722592B1 (ko) 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
JP2002069650A (ja) 2000-08-31 2002-03-08 Applied Materials Inc 気相堆積方法及び装置並びに半導体装置の製造方法及び装置
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
US20040002346A1 (en) * 2000-12-14 2004-01-01 John Santhoff Ultra-wideband geographic location system and method
JP3946641B2 (ja) 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
KR100922241B1 (ko) 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
JP4549022B2 (ja) 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003240679A1 (en) * 2002-05-21 2003-12-02 Sofitech N.V. Hydraulic fracturing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP2004115837A (ja) 2002-09-24 2004-04-15 Hitachi Cable Ltd Cvd膜の製造方法及びその製造装置
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4115337B2 (ja) 2003-05-30 2008-07-09 俊夫 後藤 プラズマ処理装置
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
EP2093277B1 (en) 2005-04-18 2013-02-20 The Procter & Gamble Company Dilute fabric care compositions comprising thickeners and fabric care compositions for use in the presence of anionic carry-over
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
KR101480971B1 (ko) 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 전구체 전달 시스템
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR101206812B1 (ko) * 2007-07-02 2012-11-30 삼성전자주식회사 잉크젯 프린트헤드 및 그 제조방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD593640S1 (en) 2008-01-31 2009-06-02 Hansgrohe Ag Showerhead
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5268626B2 (ja) 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR101896607B1 (ko) 2010-10-19 2018-09-07 어플라이드 머티어리얼스, 인코포레이티드 나노큐어 자외선 챔버용 석영 샤워헤드
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
WO2012125275A2 (en) 2011-03-11 2012-09-20 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010098812A (ko) * 2000-04-26 2001-11-08 브라이언 알. 바흐맨 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판
KR20060107683A (ko) * 2005-04-11 2006-10-16 삼성전자주식회사 화학 기상 증착 장치
KR20090003703U (ko) * 2007-10-16 2009-04-21 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
KR20140011364A (ko) * 2011-03-04 2014-01-28 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR20140000653A (ko) * 2012-06-25 2014-01-03 노벨러스 시스템즈, 인코포레이티드 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제

Also Published As

Publication number Publication date
KR20230157908A (ko) 2023-11-17
SG10201604054PA (en) 2016-12-29
SG10202102836YA (en) 2021-04-29
US20160340782A1 (en) 2016-11-24
CN106167895A (zh) 2016-11-30
US10378107B2 (en) 2019-08-13
KR102627544B1 (ko) 2024-01-19
TW201712144A (zh) 2017-04-01
JP2023018006A (ja) 2023-02-07
KR20160137404A (ko) 2016-11-30
JP7313528B2 (ja) 2023-07-24
JP2021106293A (ja) 2021-07-26
JP7181337B2 (ja) 2022-11-30
CN106167895B (zh) 2020-05-01
TWI713525B (zh) 2020-12-21
JP2016219803A (ja) 2016-12-22
KR102357417B1 (ko) 2022-01-27
JP6912164B2 (ja) 2021-07-28

Similar Documents

Publication Publication Date Title
KR102627544B1 (ko) 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
KR102333103B1 (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102275987B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
KR102490237B1 (ko) 기울어진 한정 링들을 갖는 플라즈마 프로세싱 시스템들 및 구조체들
KR20160031420A (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
TW201509537A (zh) 用於暫態非均勻性之級聯設計噴淋頭
JP2023509475A (ja) 内部輪郭を有するフェースプレートを備えるシャワーヘッド
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
WO2022066593A1 (en) Remote plasma architecture for true radical processing
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20220375746A1 (en) Semiconductor substrate bevel cleaning
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템
WO2023077002A1 (en) Showerhead with hole sizes for radical species delivery
KR20210114552A (ko) ALD (Atomic Layer Deposition) 기판 프로세싱 챔버들의 막 특성들을 조절하기 위한 페데스탈들
CN114008738A (zh) 用于衬底处理***的缩小直径承载环硬件
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant