KR101937115B1 - 하이브리드 세라믹 샤워헤드 - Google Patents

하이브리드 세라믹 샤워헤드 Download PDF

Info

Publication number
KR101937115B1
KR101937115B1 KR1020187008297A KR20187008297A KR101937115B1 KR 101937115 B1 KR101937115 B1 KR 101937115B1 KR 1020187008297 A KR1020187008297 A KR 1020187008297A KR 20187008297 A KR20187008297 A KR 20187008297A KR 101937115 B1 KR101937115 B1 KR 101937115B1
Authority
KR
South Korea
Prior art keywords
ceramic
faceplate
gas distribution
showerhead
electrode
Prior art date
Application number
KR1020187008297A
Other languages
English (en)
Other versions
KR20180037284A (ko
Inventor
모하메드 사브리
람키샨 라오 링엄팰리
칼 에프 리저
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20180037284A publication Critical patent/KR20180037284A/ko
Application granted granted Critical
Publication of KR101937115B1 publication Critical patent/KR101937115B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

기판 프로세싱 샤워헤드를 위한 하이브리드 세라믹 면판의 다양한 구현예들이 제공된다. 하이브리드 세라믹 샤워헤드 면판은 일 패턴의 관통-홀들 및 세라믹 물질의 면판 내에 매립되는 전극을 포함한다. 전극은 관통-홀에 대해 세라믹 물질 내에 완전하게 감싸질 수 있다. 일부 구현예들에서, 히터 구성요소는 또한 하이브리드 세라믹 샤워헤드 면판 내에 매립될 수 있다. DC 전압 소스는 사용 동안 하이브리드 세라믹 샤워헤드 면판과 전기적으로 연결될 수 있다. 하이브리드 세라믹 면판은 용이한 세정 및 면판 교체를 위해 기판 프로세싱 샤워헤드로부터 용이하게 제거될 수 있다.

Description

하이브리드 세라믹 샤워헤드 {HYBRID CERAMIC SHOWERHEAD}
본 출원은 35 U.S.C. § 119(e) 하에서 2011년 3월 4일자로 출원된 US 가특허 출원 제 61/449,537 호로부터 우선권의 이익을 향유하며, 상기 출원의 내용은 본 명세서에 참고로서 편입된다.
증착, 에칭, 또는 다른 프로세싱 동안 웨이퍼 또는 기판의 표면에 걸쳐 프로세스 가스를 분배하기 위해 샤워헤드 조립체가 흔히 반도체 제조 모듈에서 이용된다.
마모와 손상 때문에 샤워헤드는 자주 교체되어야 하며, 샤워헤드의 규칙적인 교체는 대체 부분 비용 및 장비 고장 시간 면에서 반도체 제조자에게 실질적인 비용 소모일 수 있다.
일부 반도체 제조 방법은 종래 샤워헤드의 수명을 감소시키며, 그 결과 더 빈번한 대체에 대한 요구를 필요로 한다.
매입 전극을 포함하는 하이브리드 세라믹 샤워헤드가 개시된다. 이러한 샤워헤드의 다양한 구현예가 아래에서 그리고 본 출원 전반에 걸쳐 개시된다. 아래에서 논의되는 구현예는 본 출원을 도시된 구현예로만 제한하려는 의도로 도시되지 아니한다. 반대로, 본 명세서에 개략 서술된 원리 및 개념과 부합하는 다른 구현예가 또한 본 출원의 목적범위 내에 있을 수 있다.
일부 구현예에서, 가스 분배 장치가 제공된다. 가스 분배 장치는 기판 프로세싱 샤워헤드를 위한 세라믹 면판 (faceplate) 을 포함할 수 있다. 세라믹 면판이 기판 프로세싱 샤워헤드 내에 설치될 때에, 그리고 기판 프로세싱 샤워헤드가 기판 프로세싱 장치 내에 설치될 때에, 세라믹 면판은 기판에 걸쳐 반도체 프로세스 가스를 분배하도록 구성되는 제 1 패턴의 제 1 관통-홀을 포함할 수 있다. 세라믹 패턴은 제 2 패턴의 제 2 관통-홀을 포함하는 전극을 포함할 수 있다. 전극은 세라믹 면판 내에 매립될 수 있으며, 제 2 패턴은 제 1 패턴과 매칭 (match) 할 수 있고, 각 제 2 관통-홀은 대응 제 1 관통-홀보다 크기 면에서 더 클 수 있다. 일부 추가 구현예에서, 기판 프로세싱 장치로부터 기판 프로세싱 샤워헤드를 제거할 필요 없이, 세라믹 면판이 기판 프로세싱 샤워헤드로부터 제거될 수 있도록 구성될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 각 제 2 관통-홀은 적어도 대응 제 1 관통-홀보다 0.04" 더 큰, 그리고 대응 제 1 관통-홀의 직경의 두 배인 직경을 가질 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 제 1 관통 홀은 0.02" 내지 0.06" 사이의 직경을 가질 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 제 1 관통-홀은 대략 0.05"의 직경을 가질 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 전극은 가스 분배 장치가 기판 프로세싱 샤워헤드 내에 설치되는 경우 기판 프로세싱 샤워헤드로부터 멀어지는 방향을 향하는 세라믹 면판의 면으로부터 대략 0.05"의 깊이로 상기 세라믹 면판 내에 매립될 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 전극은 대략 0.002" 두께일 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치가 기판 프로세싱 샤워헤드 내에 설치되는 경우 기판 프로세싱 샤워헤드 쪽으로 향하는 전기-전도성 플레이트의 측면 상에 위치되는 하나 이상의 전기적 접촉 패치들을 제외하고, 세라믹 물질에 의해 완전하게 감싸질 (encased) 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 하나 이상의 전기-전도성 경로들을 포함할 수 있다. 하나 이상의 전기-전도성 경로들은 하나 이상의 전기 전도성 패치들과 전기-전도성 접촉할 수 있고, 전기-전도성 경로들 중 적어도 일부가 전기-전도성 접촉 인터페이스에 기판 프로세싱 샤워헤드의 전극 전력 또는 접지 소스를 제공하도록 노출될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 전기-전도성 접촉 인터페이스에 전기적으로 연결되는 DC 전압 소스를 포함할 수 있다. 일부 추가 구현예에서, DC 전압 소스는 0 내지 200 볼트 사이의 하나 이상의 DC 전압을 공급하도록 구성될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 접촉 링 및 하나 이상의 스탠드오프들 (standoffs) 을 포함할 수 있다. 접촉 링 및 하나 이상의 스탠드오프들은 전기-전도성이며, 하나 이상의 스탠드오프들의 각각은 전극의 하나 이상의 전기 접촉 패치의 상이한 접촉 패치와 전기-전도성 접촉할 수 있고, 각 스탠드오프는 전기-전도성 경로를 통해 접촉 링과 전기적으로 연결될 수 있다. 추가로, 세라믹 면판은 하나 이상의 블라인드 스탠드오프 홀들을 포함할 수 있는데, 여기서 각각의 블라인드 스탠드오프 홀은 세라믹 면판이 기판 프로세싱 샤워헤드 내에 설치되는 경우 기판으로부터 멀어지는 방향을 향하는 어퍼처 단부를 포함한다. 각각의 블라인드 스탠드오프 홀은 전극에 의해 종결될 수 있고, 각각의 블라인드 스탠드오프 홀은 하나 이상의 스탠드오프들 중 대응 스탠드오프를 수용하도록 구성될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 또한 후면판을 포함할 수 있다. 후면판은 접촉 링 및 기판 프로세싱 샤워헤드의 가스 분배 스템 또는 스템 슬리브와 기계적으로 인터페이싱 (interface) 하도록 구성될 수 있다. 후면판은 접촉 링으로부터 가스 분배 스템 (stem) 또는 스템 슬리브 (stem sleeve) 로의 전기-전도성 경로를 형성할 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 세라믹 면판은, 세라믹 면판의 중심 근처에 위치되며 기판 프로세싱 샤워헤드의 가스 분배 스템의 보충 기계적 인터페이스와 접하도록 (mate) 구성되는 기계적 인터페이스를 포함할 수 있다. 세라믹 면판이 기판 프로세싱 샤워헤드 내에 설치되는 경우, 기계적 인터페이스 및 보충 기계적 인터페이스는 서로 접할 수 있고, 접해진 기계적 인터페이스 및 보충 기계적 인터페이스를 통해, 가스 분배 스템은 세라믹 면판의 중심을 지지할 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 가스 분배 스템 및 가스 분배 스템 슬리브를 포함할 수 있다. 가스 분배 스템은 슬라이딩 인터페이스를 통해 가스 분배 스템 슬리브와 접할 수 있고, 슬라이딩 인터페이스는 가스 분배 스템 슬리브에 대한 가스 분배 스템의 슬라이딩 움직임을 제한하는 스프링을 포함할 수 있다. 가스 분배 스템 슬리브 및 세라믹 면판은 서로에 대해 그리고 슬라이딩 인터페이스 이동의 방향을 따르는 움직임에 대해 공간적으로 실질적으로 고정될 수 있고, 세라믹 면판의 중심에 제공되는 지지의 양은 스프링의 변위에 의해 제어될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 접촉 링은 접촉 링을 기판 프로세싱 샤워헤드와 견고하게 연결하도록 구성되는 인터페이스 피쳐들을 포함할 수 있고, 스탠드오프들은 세라믹 면판에 대해 그리고 그 역으로 (vice-versa) 접촉 링을 지지할 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 인터페이스 피쳐들은, 접촉 링의 주변 주위에 형성되는 나사 인터페이스, 접촉 링의 주변 주위에 형성되는 바요넷 (bayonet) 장착부 및 접촉 링의 주변 주위에 이격된 일 패턴의 나사 파스너 (fastener) 피쳐들로 구성되는 그룹으로부터 선택될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 RF 칼라 (collar) 를 포함할 수 있다. RF 칼라는, 전기-전도성 물질로 제조될 수 있고, 세라믹 면판보다 큰 직경의 그리고 접촉 링의 내부 직경보다 작은 직경의 박형-벽 (thin-walled) 후프를 포함할 수 있다. RF 칼라는 복수의 내부 칼라 탭들을 포함할 수 있고, 이때에 각 내부 칼라 탭은 박형 벽 후프로부터 세라믹 면판을 향하여 돌출하고, 세라믹 면판과 중첩되며, 박형 벽 후프의 중심 축에 대해 법선인 (normal) 평면에 실질적으로 평행하다. RF 칼라는 복수의 외부 칼라 탭들을 포함할 수 있고, 이때에 박형-벽 후프로부터 세라믹 면판으로부터 멀리 돌출하고, 접촉 링과 중첩되며, 박형-벽 후프의 중심 축에 법선인 평면에 실질적으로 평행하다.
가스 분배 장치의 일부 추가 구현예에서, 박형-벽 후프는 전체적인 후프 형태를 형성하기 위해 단부-대-단부 배열되는 하나 이상의 세그먼트들로 형성될 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 각 외부 칼라 탭은 RF 칼라의 주변 주위의 이웃하는 내부 칼라 탭의 쌍들 사이의 대략 중앙에 위치될 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 각 내부 칼라 탭은 RF 칼라의 주변 주위의 이웃하는 외부 칼라 탭의 쌍들 사이의 대략 중앙에 위치될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 적어도 하나의 히터 구성요소를 포함할 수 있다. 적어도 하나의 히터 구성요소는, 세라믹 면판 내에 매립되고, 전극과 전기적 접촉하지 아니하며, 임의의 제 1 관통-홀과 교차하지 아니하는 경로를 따르고, 적어도 제 1 관통-홀의 반경 및 0.04" 더 큰 각 제 1 관통-홀로부터의 최소 거리를 유지할 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 가스 분배 장치의 세라믹 부분 내에 매립된 히터 구성요소를 포함할 수 있다. 히터 구성요소는, 제 1 패턴의 제 1 관통-홀들을 실질적으로 둘러쌀 수 있고, 기판 프로세싱 샤워헤드의 최외곽 공칭 (nominal) 직경에 매우 근접하여 위치될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 세라믹 후면판을 포함할 수 있다. 단일 면판/후면판을 형성하기 위해, 세라믹 면판 및 세라믹 후면판은 세라믹 후면판 및 세라믹 면판의 외부 직경과 실질적으로 동일한 외부 직경을 가지는 환형 세라믹 벽에 의해 결합될 수 있다. 샤워헤드 플래넘 (plenum) 볼륨이 상기 단일 면판/후면판 내에 존재할 수 있고, 제 1 관통-홀들은 샤워헤드 플래넘 볼륨과 유체 접촉할 수 있다. 세라믹 후면판은, 단일 면판/후면판의 외부 직경보다 실질적으로 적은 제 1 직경 주위에 실질적으로 위치되고, 기판 프로세싱 샤워헤드의 스템에 단일 면판/후면판을 견고하게 연결하도록 구성되는, 적어도 하나의 기계적 인터페이스 피쳐를 포함할 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 RF 가스킷 및 실링을 포함할 수 있다. 적어도 하나의 기계적 인터페이스 피쳐는 세라믹 면판 내의 실질적으로 원형인 포트 주위에 위치되는 나사 또는 바요넷 장착부를 포함할 수 있다. 실링은 실질적으로 원형인 포트의 최내곽 직경과 적어도 하나의 기계적 인터페이스 피쳐의 최외곽 직경 사이에 위치될 수 있고, RF 가스킷은 적어도 하나의 기계적 인터페이스 피쳐의 최외곽 직경과 실링 사이에 위치될 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 복수의 스크류들 및 실링을 포함할 수 있다. 적어도 하나의 기계적 인터페이스 피쳐는 나사 홀 피쳐들의 홀 패턴을 포함할 수 있고, 각 홀 피쳐는 스크류들 중 하나를 수용하도록 구성된다. 나사 홀 피쳐들은 세라믹 후면판 내의 실질적으로 원형인 포트 주위에 위치될 수 있고, 실링은 홀 패턴과 실질적으로 원형인 포트의 최내곽 직경 사이에 위치될 수 있다. 가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 RF 핀을 포함할 수 있다. RF 핀은, 전극과 전기-전도성 접촉할 수 있고, 세라믹 면판으로부터 그리고 샤워헤드 플래넘 볼륨 내로 돌출할 수 있고, 단일 면판/후면판이 적어도 하나의 기계적 인터페이스 피쳐를 통해 스템에 연결되는 경우 전기-전도성 방식으로 스템과 접촉하기에 충분한 길이일 수 있다.
가스 분배 장치의 일부 추가 구현예에서, 가스 분배 장치는 배플 플레이트를 더 포함할 수 있다. 배플 플레이트는, 샤워헤드 플래넘 볼륨 내에 위치될 수 있고, 세라믹 후면판에서 이격될 수 있으며, 세라믹 후면판에 대해 실질적으로 중심에 위치될 수 있고, 스템의 내부 직경보다 큰 최외곽 직경을 가질 수 있다.
일부 구현예에서, 기판 프로세싱 장치가 제공된다. 기판 프로세싱 장치는 프로세스 챔버, 본 명세서를 통해 그리고 위에서 설명된 바와 같은 가스 분배 장치, 및 페데스탈을 포함할 수 있다. 가스 분배 장치 및 페데스탈은 프로세스 챔버 내에 실질적으로 위치될 수 있다.
기판 프로세싱 장치의 일부 추가 구현예에서, 가스 분배 장치의 전극은 DC 전압 소스와 그리고 접지 임피던스에 전기적으로 연결될 수 있고, 페데스탈 내의 페데스탈 전극은 RF 전력 소스와 전기적으로 연결될 수 있다.
기판 프로세싱 장치의 일부 추가 구현예에서, 기판 프로세싱 장치는 제 1 단부 및 제 2 단부를 가지는 가스 분배 스템을 포함할 수 있다. 제 1 단부는 가스 분배 스템 상에서 제 2 단부에 대향할 수 있고, 프로세스 챔버의 최상부 (top) 와 연결될 수 있다. 가스 분배 스템의 제 2 단부는 가스 분배 장치에 연결될 수 있다. 가스 분배 장치는 프로세스 챔버의 최상부로부터 가스 분배 스템을 제거함 없이 가스 분배 스템으로부터 제거될 수 있다.
본 명세서에서 설명된 청구물의 하나 이상의 구현예의 상세한 사항은 첨부된 도면 및 아래의 상세한 설명에 개시된다. 다른 특징들, 양상들 및 이점들이 상세한 설명, 도면 및 특허청구범위로부터 명백하게 될 것이다.
도 1은 반도체 프로세스 챔버의 고-레벨 절취도를 도시한다.
도 2a는 하이브리드 세라믹 샤워헤드의 등각 절취도를 도시한다.
도 2b는 삽입된 상세한 도면을 가지는 하이브리드 세라믹 샤워헤드의 등각 절취도를 도시한다.
도 2ba는 도 2b의 삽입된 상세한 도면을 도시한다.
도 2c는 하이브리드 세라믹 샤워헤드의 측면 절취도를 도시한다.
도 2d는 하이브리드 세라믹 샤워헤드의 등각도를 도시한다.
도 2e는 도 2d에 도시된 하이브리드 세라믹 샤워헤드를 확대도를 이용하여 도시한다.
도 2f는 삽입된 상세한 도면을 가지는 세라믹 면판 및 접지/전력 평면의 단면도를 도시한다.
도 2fa는 도 2f의 삽입된 상세한 도면을 도시한다.
도 3a 및 3aa는 후면판의 두 개의 등각도를 도시한다.
도 3b 및 3ba는 스템 슬리브가 부착된 후면판의 두 개의 등각도를 도시한다.
도 3c 및 3ca는 세라믹 면판 조립체의 두 개의 등각도를 도시한다.
도 3d 및 3da는 가스 분배 스템이 부착된 세라믹 면판 조립체의 두 개의 등각도를 도시한다.
도 4a 내지 4j는 본 명세서에 설명된 부품들을 생성하는데 이용될 수 있는 다양한 단계의 제조 프로세스를 도시한다.
도 5a는 하이브리드 세라믹 샤워헤드의 다른 구현예의 등각도를 도시한다.
도 5b는 도 5a에 도시된 구현예의 등각 확대도를 도시한다.
도 5c는 도 5a에 도시된 구현예의 등각 절취도를 도시한다.
도 5d 및 5e는 도 5a에 도시된 구현예의 세라믹 면판 조립체에 관한, 저면도 및 평면도를 각각 도시한다.
도 5f는 도 5d 및 5e의 세라믹 면판 조립체의 등각도를 도시한다.
도 5g는 도 5f의 세라믹 면판 조립체의 등각 확대도를 도시한다.
도 6은 하이브리드 세라믹 샤워헤드 설계의 다른 구현예의 개념적 단면도를 도시한다.
도 7은 하이브리드 세라믹 샤워헤드 설계의 다른 구현예의 개념적 단면도를 도시한다.
도 8a 내지 8c는 반도체 프로세싱 챔버의 고-레벨 도면을 도시한다.
도 8d는 도 8a 내지 8c로부터의 다양한 전압 조건을 요약하는 테이블을 도시한다.
도면들에서 이용되는 정확한 비율이 다르다고 하더라도, 도 2a 내지 3da 및 도 5a 내지 5g는 일정한 비율의 (to-scale) 도면이다. 이러한 도면들은 본 명세서에 개시된 기술 및 장치를 구현하는 다양한 다른 방법을 전달하려는 의도로 작성되었으며, 도시된 구현예로만 개시된 물질을 제한하는 것으로 해석되어서는 안 된다.
이제 본 발명의 구체적인 구현예가 상세하게 개시될 것이다. 구체적인 구현예의 예는 첨부된 도면에 도시된다. 본 발명이 이러한 구체적인 구현예와 함께 개시될 것이나, 이것이 본 발명을 이러한 구체적인 구현예로 제한하려는 의도가 아님을 이해하여야 한다. 대조적으로, 이것은 첨부된 특허청구범위에 의해 정의되는 바와 같은 본 발명의 목적범위 및 정신 내에 포함될 수 있는 대안예, 변형예 및 등가물을 커버하려는 의도이다. 이하의 설명에서, 수많은 구체적인 상세한 설명이 본 발명의 충분한 이해를 제공하기 위해 이루어질 것이다. 본 발명은 이러한 구체적인 설명의 일부 또는 전부 없이도 실행될 수 있다. 익히 공지된 프로세스 동작은 본 발명을 불필요하게 모호하게 만들지 않기 위해 개시되지 않았다.
하드 마스크가 반도체 프로세싱 내에서 에칭 정지층으로 이용된다. 애쉬어블 (ashable) 하드 마스크 (AHM) 가 그의 목적을 위해 제공될 때에, AHM은 애싱 (ashing) 으로 지칭되는 기술에 의해 AHM이 제거될 수 있게 하는 화학적 조성을 가진다. 애쉬어블 하드 마스크는 일반적으로 미량의 하나 이상의 도펀트 (이를 테면, 질소, 플루오르, 보론, 실리콘) 를 가지는 탄소 및 수소로 구성된다. 이러한 하드 마스크 내의 본딩 구조물은 증착 조건에 따라 sp2 (그래파이트형) 에서 sp3 (다이아몬드형) 으로 또는 이들의 조합으로 변경될 수 있다.
일반적인 어플리케이션에서, 에칭 이후에 하드 마스크는 그 목적에 이용이 되며, 그리고 하부 유전체 산화물 (예를 들어, Si02) 로부터 제거되어야 한다. 이는 일반적으로, 또한 "플라즈마 애싱" 또는 "건조 박리 (stripping)" 로서 지칭되는, 애싱에 의해, 적어도 일부 달성된다. 애싱될 하드 마스크를 가지는 기판이, 일반적으로 부분 제조된 반도체 웨이퍼가 진공 하에서 챔버 내에 배치되며, 산소가 도입되고, 이에 산소 라디칼 (플라즈마) 을 생성하는 무선 주파수 전력이 주어진다. 라디칼은 하드 마스크와 반응하여 그것을 물, 일산화탄소, 및 이산화탄소로 산화시킨다. 일부 예에서, 예를 들어 애쉬어블 하드 마스크가 애싱 만으로 제거될 수 없는 임의의 잔류물을 남길 때에, 하드 마스크의 완벽한 제거가 후속하는 애싱에 의해, 추가적인 습식 또는 건식 에칭 프로세스와 함께 달성될 수 있다.
AHM 프로세스는 흔히 프로세싱되는 웨이퍼 부근에서 고온의, 즉 500 내지 650℃이 유발되는 것을 야기한다. 반도체 제조 공구 내에서 일반적으로 사용되는 알루미늄 합금, 이를 테면 6000-시리즈 알루미늄 합금의 녹는점은 흔히 645 내지 660℃ 범위 내에 있으며, 위와 같은 AHM 프로세싱 온도에 노출되는 부품에 사용하기에 적합하지 아니하다.
AHM 프로세스는 미국특허출원 제 11/318,269 호, 미국특허출원 제 12/048,967 호, 미국특허출원 제 11/449,983 호, 미국특허출원 제11/612,382호, 미국특허출원 제 11/710,377 호, 미국특허출원 제 11/849,208 호, 미국특허출원 제 12/163,670호, 미국특허출원 제 12/334,220 호, 미국특허출원 제 12/133,223 호 및 미국특허출원 제 12/786,842 호에 더 상세하게 개시되어 있으며, 상기 문헌 모두는 참고로서 본 명세서에 편입된다.
본 출원에서 논의되는 구현예에 따른 샤워헤드는, ATM 프로세스 같은 고온 반도체 제조 프로세스에 개선된 성능을 제공하며, 더 용이한 세정 및 유지보수를 제공한다.
일반적으로 다른 반도체 제조 프로세스 및 AHM은, 일반적으로 프로세스 챔버 또는 반응기 챔버로서 또한 지칭되는 반응기 내에서 수행된다. 반응기는 웨이퍼 프로세싱 동안 제어된 환경을 제공할 수 있으며, 웨이퍼 프로세싱 동안 이용되는 다양한 기계 및 전기 시스템을 포함할 수 있다. 반응기의 일 구현예의 고-레벨의 개략적인 모습이 도 1에 도시되고 있다. 반응기 (100) 는 프로세싱 동작 동안의 웨이퍼 (105) 의 이동 또는 오정렬을 방지하기 위한 척을 포함할 수 있는, 페데스탈 (110) 상에서 웨이퍼 (105) 를 수용할 수 있다. 페데스탈 (110) 은 페데스탈 (110) 로부터의 웨이퍼의 로딩 또는 언로딩이 용이하게 되도록 또는 샤워헤드 (115) 와 웨이퍼 (105) 사이의 최적의 간격을 달성하도록 구동 액츄에이터에 의해 하강 또는 상승될 수 있다. 가스 유입구 (120) 에 의해 공급되는 프로세스 가스는 샤워헤드 (115) 에 의해 웨이퍼 (105) 의 표면에 걸쳐 분배될 수 있다. 반응기 (100) 내의 가스는 진공 펌프 또는 소스의 이용을 통해 배기될 수 있다.
도 1에 도시된 반응기는 반도체 제조 프로세스에서 이용될 수 있는 반응기의 단순한 일 구현예이다. 추가 부품이 필요에 따라 부가될 수 있으며, 일부 부품이 모든 경우에 필요한 것은 아닐 수 있다. 추가로, 구조물은 일 반응기 설계 다음에까지 상당히 다양화될 수 있는데, 예를 들어 샤워헤드 (115) 가 "샹들리에 (chandelier)" 형태의 샤워헤드로서 도시되고 있으나, 대안적으로 "플러시-장착 (flush-mount)" 샤워헤드일 수 있다. 본 발명의 개시내용이 도시된 그리고 논의된 구현예로만 제한되는 것으로 해석되어서는 아니되며, 일반적으로 모든 형태의 반도체 제조 프로세스 및 도구에 적용될 수 있다.
반도체 웨이퍼 또는 기판 검사 (undergoing) 프로세스 동안, 반도체 제조는 종종 프로세스 가스, 이를 테면 증착 및 에칭 가스가 균일한 또는 제어된 방식으로 유동될 것을 요구한다. 그 목적을 달성하기 위해서, 때때로 가스 분배기로도 지칭되는 "샤워헤드" 가스 유동 매니폴드가 웨이퍼의 표면에 걸쳐 프로세스 가스를 분배하는데 이용될 수 있다. 프로세스 가스는 샤워헤드 밖으로 유동될 수 있고, 웨이퍼에 걸쳐 분배될 수 있다. 웨이퍼는 샤워헤드를 하우징하는 프로세스 챔버 내부의 페데스탈 조립체에 의해 지지될 수 있다. 샤워헤드 내부에서부터 웨이퍼까지의 유동을 안내하는 (direct) 일 패턴의 가스 분배 홀을 통해 웨이퍼에 걸친 프로세스 가스의 분배가 달성될 수 있다.
많은 샤워헤드가 제한된 수명의 부품 (limited lifetime components; LLC) 이고, 샤워헤드의 성능 및 구조를 점차 변경시키는 다양한 프로세스 단계, 예를 들어 증착 또는 에칭 동작으로부터 발생하는 샤워헤드의 열화 때문에 주기적인 교체 (제한된 수명의 부품의 교환, 또는 LLCE) 를 필요로 할 수 있다. LLCE 이전에 일부 샤워헤드가 600,000 웨이퍼까지 프로세스하는데 이용될 수 있다고 하더라도, LLCE 이전에 샤워헤드에 의해 프로세싱될 수 있는 웨이퍼의 수는 일반적으로, 예를 들어 65,000 내지 100,000 웨이퍼의 범위에서 변경될 수 있다. 웨이퍼 프로세싱 동작들 사이에서 샤워헤드는 또한 주기적인 세정 사이클을 경험할 수 있고, 이러한 사이클은 예를 들어, 매 25 내지 100 웨이퍼 프로세싱 사이클을 발생시킬 수 있다. 샤워헤드의 수명은, 프로세싱 동안 샤워헤드가 노출되는 환경 형태들, 임의의 세정 동작의 빈도 및 반도체 제조자의 프로세스 품질 요건을 포함하는 많은 요인에 의존적일 수 있다.
샤워헤드 플래넘 내에 수집되는 불필요한 입자들, 플라즈마 형성에 영향을 미치는 샤워헤드의 웨이퍼-페이싱 (wafer-facing) 표면 내의 형성되는 표면 변화, 샤워헤드 면판 내에 위치되는 가스 분배 홀 내의 차원 변화 및 프로세스 제어가능성 및 수율에 영향을 미치는 다른 요인들을 포함하는 다수의 요인들 때문에 샤워헤드의 LLCE가 필요로 될 수 있다.
다른 형태의 반도체 제조에서보다 AHM 프로세싱 동안 접하게 될 수 있는 더 심각한 열적 환경 때문에, AHM 프로세스에서 종래의 샤워헤드를 이용하여 프로세스될 수 있는 웨이퍼의 수가, 예를 들어 10,000 내지 20,000 사이로, 더 적게 될 수 있다. 이는 더 빈번한 샤워헤드 교체를 야기하고, 이는 LLCE가 일어나고 있는 동안 부품 비용의 증가 및 제조 기회의 손실을 야기할 수 있다. 세라믹 샤워헤드 면판 내에 매립되는 RF 전극을 특징으로 하는 하이브리드 세라믹 (HC) 샤워헤드는 샤워헤드 장비 상에 유사한 유해 환경 조건을 가하는 다른 반도체 프로세스에서 뿐 아니라, AHM 배경 (context) 에서 샤워헤드를 더 길게 사용할 수 있는 해결책을 제공할 수 있다.
도 2a 내지 2f는 HC 샤워헤드 (200) 의 일 구현예에 관한 다양한 도면들을 도시한다. 도 2a 내지 2b의 등각 단면도 내에 도시되는 바와 같이, HC 샤워헤드 (200) 는 후면판 (202), 세라믹 면판 조립체 (210) 및 가스 분배 스템 (212) 을 포함할 수 있다. 후면판 (202) 은 스템 슬리브 (220) 와 연결될 수 있다. 세라믹 면판 조립체 (210) 는 세라믹 면판 (214), 전극으로도 알려진 매립된 접지/전력 면 및 접촉 링 (218) 을 포함할 수 있다. 가스 분배 스템 (212) 은 스템 슬리브 (220) 및 세라믹 면판 조립체 (210) 사이에 걸쳐있을 (span) 수 있다. "플러시-장착" 샤워헤드인 HC 샤워헤드 (200) 의 일 구현예를 위해 가스 분배 스템 (212) 은 생략될 수 있고 또는 상당하게 축소될 수 있다.
다양한 웨이퍼 프로세싱 단계들 동안, 플라즈마 환경이 웨이퍼 프로세싱이 발생하는 프로세스 챔버 내에 생성될 수 있다. 따라서, HC 샤워헤드 (200) 가 플라즈마 환경에 노출될 수 있고 및/또는 플라즈마 환경을 생성하는데 이용될 수 있다. 예를 들어, 일 구성에서, HC 샤워헤드 (200) 또는 그 내부의 부품은 플라즈마를 발화하기 위해 이용되는 RF 전력 소스로서 이용될 수 있다. 프로세싱 챔버 내부의 페데스탈 또는 다른 구조물은 이러한 상황에서 RF 접지로서 이용될 수 있다. 다른 구성에서, HC 샤워헤드 (200) 또는 그 내부의 부품은 RF 접지로서 이용될 수 있고, 프로세스 챔버 내부의 페데스탈 또는 다른 구조물이 플라즈마 생성을 위한 RF 전력을 공급하는데 이용될 수 있다.
플라즈마가 프로세싱되는 웨이퍼 부근에 다양한 프로세스 가스를 활성화하는데 이용될 수 있다. 프로세스 가스 조기 (premature) 활성화를 방지하기 위해, 즉 웨이퍼 표면에 걸친 HC 샤워헤드 (200) 에 의한 프로세스 가스의 분배 이전에, HC 샤워헤드 (200) 는 HC 샤워헤드 (200) 그 자체의 볼륨 내부에 플라즈마를 유도할 수 있는 스트레이 (stray) RF 에너지에 대항하여 프로세스 가스를 차폐할 수 있다. 패러데이 케이지 (Faraday cage) 가 HC 샤워헤드 (200) 의 내부 볼륨 주위에 형성되도록 HC 샤워헤드 (200) 는 구성될 수 있다. 페러데이 케이지의 구현을 통해, 프로세스 챔버 내의 플라즈마 프로세싱으로부터의 RF 에너지가 HC 샤워헤드 (200) 의 내부 볼륨 내에서 아킹될 기회가 제거될 수 있고, 또는 크게 감소될 수 있다. HC 샤워헤드 (200) 의 내부 볼륨 내의 프로세스 가스의 조기 활성화의 방지는 프로세스 가스와 HC 샤워헤드의 내부 벽 사이의 화학 반응의 양을 감소시킬 수 있다.
도 2a 내지 2g에 도시된 구현예에서, 접지/전력 평면 (216) 내의 전기 전도성 물질, 접촉 링 (218), 후면판 (202) 및 스템 슬리브 (220) 의 이용을 통해 패러데이 케이지가 HC 샤워헤드 (200) 내에 형성될 수 있다. 이러한 구조는 HC 샤워헤드 (200) 내부를 스트레이 RF 에너지로부터 차폐하는 연속적인 전기 전도성 경로를 형성하도록 구성될 수 있다. 가스를 분배하기 위한 패러데이 케이지 내의 천공 (perforations), 이를 테면 가스 분배 홀 (222) 은 천공을 통한 RF 누설 (leakage) 이 최소화되거나 제거되도록 사이징될 수 있다.
도 2a를 참조하면, 후면판 (202) 은 뒷벽 (back wall) 주위에서 실린더형 벽 (206) 으로 전이하는 디스크-형 뒷벽 (204) 을 포함하는 실질적으로 방사 대칭인 부분이다. 용어 "디스크-형" 및 "실린더형 벽"은 이러한 피쳐들의 전체 형상을 설명하기 위해 이용되며, 이러한 용어들은, 예를 들어 약간 테이퍼진 (tapered) 또는 원뿔형인 뒷벽 및 실린더형 벽 같은, 실질적으로 유사한 구조물, 및 이러한 구조물들 사이의 다양한 형태의 전이 표면, 이를 테면 챔퍼 (chamfers) 및 필렛 (fillets) / 라운드 (rounds) 를 포함하려는 의도를 나타낸다. 도 2a가 뒷벽 (204) 및 실린더형 벽 (206) 이 용접 시임 (seam; 208) 에서 함께 용접되어 후면판 (202) 을 형성하는 것을 도시하고 있다 하더라도, 후면판 (202) 는 단일의, 통합 부품으로 제조될 수 있고, 또는 두 개 이상의 피스로 제조될 수 있다. 예를 들어, 후면판 (202) 은 알루미늄의 고체 블랭크 (blank) 로부터 기계 가공될 수 있다. 도 3a 및 3aa는 후면판 (202) 의 두 개의 등축도를 도시한다. 용접 시임 (208) 이 비-용접된 후면판 구조 내에 존재하지 아니할 수 있다.
도 2b를 참조하면, 후면판 (202) 의 뒷벽 (204) 은 뒷벽 (204) 의 중앙 영역 내의 홀을 포함할 수 있다. 가스 분배 스템 (212) 과 홀의 내부 에지 사이의 환형 가스 유동 갭을 남기면서 가스 분배 스템 (212) 이 홀을 통하여 삽입될 수 있도록 홀은 사이징될 수 있다. 도 2b에 도시된 바와 같이, 홀은 스템 슬리브 (220) 의 후면판 (202) 에의 장착을 허용하도록 사이징될 수 있다. 홀은 스템 슬리브 (220) 의 후면판 (202) 에의 장착을 용이하게 하기 위한 단차 또는 다른 피쳐를 포함할 수 있다. 스템 슬리브 (220) 는 용접되고, 납땜되고, 확산-본딩되고, 또는 그렇지 않으면 후면판 (202) 에 결합될 수 있다. 다른 구성, 이를 테면 가스 분배 스템 (212) 이 없고 가스가 단순히 스템 슬리브 (220) 로 도입되는 변형예가 또한 고려될 수 있다. 도 3b 및 도 3ba는 후면판 (202) 및 스템 슬리브 (220) 의 두 개의 등각도를 도시하고 있다.
스템 슬리브 (220) 는 형상 면에서 실질적으로 실린더형일 수 있다. 스템 슬리브 (220) 는 제 1 내부 직경을 가지는 가스 분배 영역 및 제 2 내부 직경을 가지는 가스 분배 스템 인터페이스 영역을 포함할 수 있다. 제 1 내부 직경은 가스 분배 스템 (212) 의 외부 표면과 스템 슬리브 (220) 의 내부 표면 사이에 환형 가스 유동 갭을 생성하도록 사이징될 수 있고, 제 1 내부 직경은 후면판 (202) 의 뒷벽 내의 홀의 직경과 대략 동일한 직경을 가질 수 있다. 제 2 내부 직경은 스템 슬리브 (220) 의 가스 분배 영역과 가스 분배 스템 (212) 사이의 슬라이딩 개입을 허용하도록 사이징될 수 있다.
다른 전도성 물질이 또한 이용될 수 있더라도, 후면판 (202) 및 스템 슬리브 (220) 는 알루미늄으로 제조될 수 있다. 알루미늄은 상대적으로 저렴하게 가공할 수 있고, 물질 부식을 경험하기보다 불소에 노출될 때에 패시베이팅 (passivating) 불화 알루미늄 (AIF3) 층을 형성하기 때문에, 알루미늄은 특히 이러한 어플리케이션에 상당히 적합하다.
도 2a 내지 2g에 도시된 구현예에서, 세라믹 면판 조립체 (210) 는 실질적으로 환형인 디스크이다. 위에서 언급한 바와 같이, 세라믹 면판 조립체 (210) 는 세라믹 면판 (214), 접지/전력 평면 (216) 및 접촉 링 (218) 을 포함할 수 있다. 접지/전력 평면 (216) 은 세라믹 면판 (214) 내에 매립될 수 있다. 도 2e 는 추가적인 상세한 부분을 참고할 수 있는 HC 샤워헤드 (200) 의 확대도를 도시한다. 도 3c 및 3ca는 세라믹 면판 조립체 (210) 의 두 개의 등각도를 도시한다.
도 2b, 2ba 및 2e에 도시된 바와 같이, 접촉 링 (218) 상의 스탠드오프 포트 (244) 는 세라믹 면판 (214) 내의 스탠드오프 블라인드 홀 (246) 을 통해 세라믹 면판 (214) 을 통과할 수 있으며, 접촉 패치 (232) 를 통해 접지/전력 평면 (216) 과 전기적 접촉될 수 있다. 접지/전력 평면 (216) 은 예를 들어, 확산 본딩 또는 납땜을 이용하여 접촉 패치 (232) 에서 스탠드오프 (244) 에 결합될 수 있다. 전기 전도성 연결을 달성하는 다른 등가적인 결합 기술이 또한 이용될 수 있다. 접촉 링 (218) 상의 스탠드오프 (244) 가 접촉 링 (218) 및 접촉 링 (218) 에 연결되는 후속 부품으로부터 분리되게 제조될 수 있다. 예를 들어, 접촉 링 (218) 은 이후에 접촉 링 (218) 에 부착되는 스탠드오프 포스트 (244) 를 각각 수용하도록 설계되는 하나 이상의 홀 피쳐를 포함할 수 있다. 스탠드오프 포스트 (244) 의 접촉 링 (218) 에의 연결은, 예를 들어 결합 본딩 또는 납땜에 의해, 영구적일 수 있고, 예를 들어 나사 부착 또는 스크류에 의해 되돌려질 수 있다. 접촉 링 (218) 및 스탠드오프 (244) 는 가스 분배 스템 (212) 및/또는 스템 슬리브 (220) 로부터 전극에 이르기 위한 접지 소스 또는 RF 전력 소스를 위한 경로 또는 전기 전도성 경로를 제공할 수 있다. 나사 전도성 피쳐, RF 가스킷, 또는 접촉 핀 같은, 전기 전도성 접촉 인터페이스가 전기-전도성 경로 또는 경로들과 가스 분배 스템 (212) 및/또는 스템 슬리브 (220) 사이에 전기 전도도를 제공하는데 이용될 수 있다.
다른 단면이 또한 이용될 수 있다고 하더라도, 도 2a 내지 2f 에서 접촉 링 (218) 은 실질적으로 사각형인 단면을 가진다. 스탠드오프 포스트 (244) 가 돌출되는 표면에 추가하여, 접촉 링 (218) 은 또한 세라믹 면판 조립체 (210) 를 후면판 (202) 에 기계적으로 그리고 전기적으로 연결하도록 설계된 인터페이스 피쳐를 가지도록 구성될 수 있는 외부 표면 (230) 을 포함할 수 있다. 예를 들어, 접촉 링 (218) 의 외부 표면이 나사 결합되고, 후면판 (202) 의 대응 내부 표면은 두 개의 부품 사이에 나사 개입을 허용하는 맞물리는 나사 피쳐를 포함할 수 있다. 다른 형태의 기계적인 그리고 전기적인 연결이 또한 이용될 수 있고, 예를 들어, 바요넷-형태의 연결 또는 스크류가 이용될 수 있다.
접지/전력 평면 (216) 및 세라믹 면판 (214) 은 작은 가스 분배 홀 (222) 의 패턴을 포함할 수 있다. 일 구현예에서, 대략 3000 가스 분배 홀이 접지/전력 평면 (216) 및 세라믹 면판 (214) 에 걸쳐 분배될 수 있고, 접지/전력 평면 (216) 내의 가스 분배 홀의 홀 직경이 세라믹 면판 (214) 내의 대응 가스 분배 홀 (222) 보다 큰 직경이라 할지라도, 두 부분 상의 홀 패턴은 정렬되도록 구성될 수 있다.
도 2f는 세라믹 면판 조립체 (210) 의 절취도를 도시하며, 섹션 평면은 접지/전력 평면 (216) 의 전체 평면과 평행하며, 접지/전력 평면 (216) 과 만난다 (intersect). 명암이 접지/전력 평면 (216) 을 표시하는데 이용될 수 있고, 세라믹 면판 (214) 은 명암 처리되지 않았다. 삽입 도면 2fa는 세라믹 면판 조립체 (210) 의 일부의 확대도를 도시한다. 확인할 수 있듯이, 접지/전력 평면 (216) 은 가스 분배 홀 (275) 보다 큰 직경을 가지는 홀 (250) 을 특징으로 한다. 이는 점선 원으로 도시된 접촉 패치 (232) 를 제외하고는, 접지/전력 평면 (216) 이 세라믹 면판 (214) 에 의해 완전하게 피복되는 (encapsulated) 것을 허용할 수 있다.
일 구현예에서, 세라믹 면판 (214) 내의 가스 분배 홀 (275) 은 직경 면에서 0.050" 일 수 있고, 반면에 접지/전력 평면 (216) 내의 대응 홀 (250) 은 직경 면에서 0.100" 일 수 있다. 다른 가스 분배 홀 사이즈는 또한 직경 면에서, 0.02" 내지 0.06" 의 범위 내에 속하는 사이즈로 사용될 수 있다. 접지/전력 평면 (216) 내의 홀 (250) 이 세라믹 면판 (214) 내의 대응 가스 분배 홀 (275) 보다 직경 면에서 적어도 0.04" 더 커야한다고 하더라도, 일반적으로, 접지/전력 평면 (216) 내의 홀 (250) 은 세라믹 면판 (214) 내의 대응 가스 분배 홀 (275) 보다 직경 면에서 더 크거나 100% 이다.
가스 분배 홀 (275) 은 격자 배열, 극성 (polar) 배열, 나선형, 오프셋 나선형, 육각형 배열 등을 포함하는 여러 상이한 구성들 중 임의의 구성으로 배열될 수 있다. 홀 배열은 샤워헤드에 걸친 홀 밀도의 변경을 야기할 수 있다. 가스 분배 홀의 상이한 직경이 목표된 가스 유동에 따라 상이한 위치에서 이용될 수 있다. 도 2f에 도시된 구현예에서, 가스 분배 홀 (275) 은 모두 동일한 공칭 직경일 수 있고, 상이한 수의 홀을 가지며 상이한 직경의 홀 원들을 이용하여 패턴화될 수 있다.
또한, 가스 분배 홀 (275) 은 세라믹 면판 (214) 의 두께를 통해 직경 면에서 변경될 수 있다. 예를 들어, 가스 분배 홀 (275) 은 세라믹 면판 (214) 의 면 상에서 제 1 직경일 수 있고, 가스 분배 홀 (275) 이 세라믹 면판 (214) 의 반대 측으로 빠져나갈 (exit) 때에 제 2 직경일 수 있다. 제 1 직경은 제 2 직경보다 더 클 수 있다. 가스 분배 홀 사이즈를 변경할 수 있는 가능성과 무관하게, 접지/전력 평면 (216) 내의 홀 (250) 은 접지/전력 평면 (216) 과 동일한 평면에서 측정되는 바와 같은 세라믹 면판 (214) 내의 가스 분배 홀 (275) 의 직경에 대해 사이징될 수 있다.
일부 구현예에서, 히터 구성요소가 접지/전력 평면 (216) 에 추가하여 세라믹 면판 (214) 내에 매립될 수 있다. 히터 구성요소는 접지/전력 평면 (216) 과 전기적 접촉하지 않을 수 있고, 세라믹 면판 (214) 으로부터 세라믹 물질을 개입시킴으로써 접지/전력 평면 (216) 으로부터 절연될 수 있다.
히터는 100 VAC 내지 240 VAC 를 이용하여 제어된 폐쇄 루프 히터 제어부를 통해 전력 공급될 수 있다. 히터 제어부는 미리 결정된 온도 세트 포인트로 프로그래밍될 수 있고, 온도는 온도 센서, 이를 테면 열 전대 (thermo-couple) 를 통해 히터 제어부로 보고될 수 있고, 전력은 가동 중단될 수 있고 상기 세트 포인트를 유지하기 위해 온 (on) 될 수 있다.
도 3a 내지 3aa는 각각 후면판 (202) 의 등각도 및 오프-각 (off-angle) 후면도를 도시한다. 도 3a 내지 3ba는 도 3a 내지 3aa의 구성요소들과 대응하나 스템 슬리브 (200) 가 부착되어 있는 도면을 도시한다. 도 3c 내지 3ca 는 세라믹 면판 조립체 (210) 의 등각도 및 오프-각 후면도를 도시한다.
도 2a 내지 2e에 도시된 바와 같이, 가스 분배 스템 (212) 은 세라믹 면판 조립체 (210) 와 스템 슬리브 (220) 의 가스 분배 영역 사이를 가로지를 수 있다. 도 3d 내지 3da는 세라믹 면판 조립체 (210) 및 부착된 가스 분배 스템 (212) 의 두 개의 등각도를 도시한다. 가스 분배 스템 (212) 은 형상 면에서 실질적으로 원통형일 수 있고, 거대한 중공형일 수 있다. 가스 분배 스템 (212) 의 일 단부 (236) 는 중공 영역 내로 가스 유동을 허용하도록 하나 이상의 프로세스 가스 유입 공급 라인의 부착을 위한 연결 지점을 특징으로 할 수 있다. 또한, 가스 분배 스템 (212) 은 가스 분배 스템 (212) 의 중공 영역으로 도입되는 프로세스 가스가 하나 이상의 프로세스 가스 유입 공급부를 통해 스템 슬리브 (220) 와 가스 분배 스템 (212) 의 외부 표면 사이의 환형 가스 유동 갭 내로 벗어날 (escape) 수 있도록 구성되는 다수의 홀 피쳐들 (224) 을 특징으로 할 수 있다. 다수의 홀 피쳐들 (224) 은 가스 분배 스템 (212) 의 직경을 통해 천공되는 홀을 포함할 수 있고, 각 홀의 중심선은 앞선 홀과 직각을 이룰 수 있다. 홀들은, 예를 들어, 총 12 개의 홀에 대해, 각 관통 홀이 가스 분배 스템 (212) 의 각 측면 상의 하나의 홀을 포함하는 6개의 관통 홀을 포함할 수 있다. 스템의 다른 구성, 예를 들어 내부 가스 분배 스템이 없는 스템 슬리브가 또한 이용될 수 있다.
또한, 가스 분배 스템 (212) 은 세라믹 면판 (214) 과 인터페이싱하기 위한 인터페이스 영역을 포함할 수 있다. 예를 들어, 가스 분배 스템 (212) 은, HC 샤워헤드 (200) 로부터 대향하는 세라믹 면판 (214) 의 면 내의 세라믹 면판 (214) 의 중심 홀 주위의 얕은 카운터보어 (counterbore) 내부에 위치되도록 구성되는 일 단부 상의 플랜지 또는 쇼울더 (shoulder) 를 포함할 수 있다. 또한, 가스 분배 스템 (212) 은 슬라이딩 핏 또는 방해 (interference) 핏으로 세라믹 면판 (214) 의 중심 홀의 측벽과 결부될 수 있다.
또한, 가스 분배 스템 (212) 은 온도 영향에 의한 세라믹 면판 (214) 의 잠재적인 처짐 또는 뒤틀림에 대응하도록 구성되는 바이어싱 디바이스를 포함할 수 있다. 바이어싱 디바이스는 도 2a의 스프링 (201) 과 같은, 스프링일 수 있다.
또한, 가스 분배 스템 (212) 은 접지/전력 평면 (216) 과 전기적으로 연결되어 세라믹 면판 조립체 (210) 로부터 접지 또는 전력 소스로 추가적인 또는 대안적인 전기 전도성 경로를 제공할 수 있다.
앞서 언급한 바와 같이, 접지/전력 평면은 세라믹 면판 내에 매립될 수 있다. 매립이. 예를 들어 기계가공, 소결, 확산 본딩 및/또는 납땜 프로세스를 이용하는 다양한 단계들에서 세라믹 면판을 형성함으로써 달성될 수 있다. 도 4a 내지 4j는 제조의 다양한 페이스 동안의 세라믹 면판 조립체 (410) 의 단면도를 도시한다. 편의를 위해, 도 2a 내지 2f에 도시된 구조와 유사한 구조가 공통적이게 마지막 두 개의 숫자를 공유하는 숫자로 열거되는데, 즉 도 2a 내지 2f의 세라믹 면판 (214) 은 도 4a 내지 4j의 세라믹 면판 (414) 과 유사하다. 이러한 관습은 본 발명을 읽는 사람의 용이한 참고를 위해 단순하며, 임의의 방식으로 제한되게 도시되지는 않는다.
도 4a는 조립체 뒤의 세라믹 면판 조립체 (410) 의 절취도를 도시한다. 도 4b 내지 4j는 다양한 제조 단계들을 통해 도 4a에 도시된 부품들을 도시한다.
도 4b는 제조 프로세스의 초기 단계에서의 세라믹 면판 (414) 을 도시하며, 세라믹 물질은 다양한 가스 분배 홀 (422) 및 가스 분배 스템을 위한 중심 홀 (도 4l 내지 4j 참조) 을 구비한, 세라믹 면판의 전체 형상으로, 예를 들어 디스크로 형성될 수 있다. 파우더 형태의 세라믹 물질을 목표된 러프 (rough) 형태로 평형 (isostatically) 가압함으로써, 이후에 러프 형태의 그린 (green) 기계가공을 함으로써, 초기 단계의 세라믹 면판 (414) 이 형성될 수 있다. 그린-기계가공된 러프 형태는 이후에 소결될 수 있고, 필요에 따라 마무리 기계가공될 수 있다. 또한, 이러한 프로세스 또는 유사한 프로세스가 접지/전력 평면 (416) 과 관련하여 아래에서 설명되는 후속 프로세싱 페이스에서 또는 세라믹 면판 (414) 의 후속 형성에서 이용될 수 있다.
부분적으로-형성된 세라믹 면판 (414) 의 상부 면 상에, 접지/전력 평면 리세스 (452) 가 형성될 수 있다. 일부 구현예에서, 접지/전력 평면 (416) 의 상부, 즉 웨이퍼 프로세싱 영역으로부터 가장 먼 접지/전력 평면 (416) 의 평면이 세라믹 면판 (414) 의 외부 표면, 즉 웨이퍼 프로세싱 영역에 가장 가까운 세라믹 면판 (414) 의 표면으로부터 대략 0.050"에 있도록, 접지/전력 평면 리세스 (452) 가 위치될 수 있다. 다른 접지/전력 평면-면판 오프셋 거리가, 예를 들어 세라믹 면판의 면으로부터 0.02" 만큼 가까운 거리가, 또한 이용될 수 있다.
접지/전력 평면 (416) 이 지금 접지/전력 평면 리세스 (452) 내에 형성된다고 하더라도, 도 4c는 도 4b에 도시된 바와 동일한 단계에서의 세라믹 면판 (414) 을 도시한다. 다른 두께가 또한 고려될 수 있다고 하더라도, 접지/전력 평면 (416) 은, 예를 들어 0.002" 두께일 수 있다.
부분적으로-형성된 세라믹 면판 (414) 내의 접지/전력 평면 (416) 의 매립에 후속하여, 접지/전력 평면 (416) 은 추가적인 세라믹 물질의 추가를 통해 피복될 수 있다. 도 4d에 도시된 바와 같이, 접지/전력 평면 (416) 은 스탠드오프 관통-홀 (446) 을 통해 노출될 수 있는 접지/전력 평면 (416) 의 일부를 제외하고 완전하게 피복될 수 있다. 도 4d에 도시된 바와 같이, 가스 분배 홀 (422) 은 직경을 변경하여 형성될 수 있다. 다만, 가스 분배 홀 (422) 은 또한 단일 직경일 수 있다. 추가 히터 리세스 (454) 가 추가-형성된 세라믹 면판 (414) 의 상부 표면 내에 형성될 수 있다. 접지/전력 평면 (416) 상부에 세라믹 물질을 증착하는 추가 소결 단계를 통해 피복이 일어날 수 있고, 부분적으로-형성된 세라믹 면판 (414) 과, 세라믹 물질의 확산 본딩, 납땜, 또는 열 스프레잉을 이용하여 이후에 부분적으로-형성된 세라믹 면판에 접합될 수 있는 세라믹 면판 (414) 의 대응 및 분리-형성된 부분 사이에 접지/전력 평면 (416) 을 끼워넣음으로써 피복이 일어날 수 있다.
도 4e는 저항성 히터 구성요소 (456) 에 대한 물질이 히터 리세스 (454) 내에 매립될 수 있는 추가 프로세싱 단계를 도시한다. 히터 구성요소 (456) 는 선택적이고, 일부 HC 샤워헤드는 히터 구성요소 (456) 또는 히터 리세스 (454) 를 포함하지 않을 수 있다. 히터 구성요소는 세라믹 면판 내의 리세스 또는 채널 내에/상에 형성되고, 또는 그 내에 가로 놓인 사형 와이어 또는 트레이스 (trace) 의 형태를 취할 수 있다. 히터 구성요소는 세라믹 면판을 통하는 우회로를 취할 수 있다. 또한, 분리 제어를 허용하는, 면판 내에 매립되는 여러 히터 구성요소가 있을 수 있다. 일부 구현예에서, 공통 종료 지점을 가지며 평행하게 동작하는 면판 내에 매립되는 여러 히터 구성요소가 있을 수 있다. 히터 구성요소 (들) 은 전기 전류가 히터 구성요소를 통과할 때에 열을 생성하기 위해 충분한 전기 저항성을 가지는 전기-전도성 물질로 이루어질 수 있다. 또한, 히터 구성요소는 열 팽창 이슈를 피하기 위해 매립되는 세라믹의 CTE와 유사한 CTE를 가지는 물질로 이루어질 수 있다. 예를 들어, 텅스텐 또는 몰리브덴은 히터 구성요소 물질로 이용하기에 적절할 수 있다.
히터 구성요소는 다양한 물질, 이를 테면 이용되는 세라믹의 열 팽창 계수와 매우 유사한 열 팽창 계수를 가지는 전기-전도성 물질로 이루어질 수 있다. 텅스텐 및 몰리브덴은, 예를 들어, 일부 히터 구성요소를 위해 이용될 수 있다.
도 4f는 세라믹 물질의 최종 층의 수용 이후의 세라믹 면판 (414) 을 도시한다. 접지/전력 평면 (416) 및 추가 히터 구성요소 (456) 모두는, 이들 모두에 대한 전도성 접촉 경로의 일부들을 가능한 제외하고, 세라믹 면판 (414) 의 세라믹 물질에 의해 완전하게 피복될 수 있다. 이러한 피복은 접지/전력 평면 (416) 을 피복하는데 이용되는 방식과 유사하는 방식으로 구현될 수 있다. 세라믹 면판 (414) 은, 예를 들어, 소결이 완료될 때에 공칭 0.260" 인치 두께일 수 있다.
도 4g는 세라믹 면판 (414) 의 상부에 내려질 접촉 링 (418) 을 도시하며, 스탠드오프 (444) 는 접지/전력 평면 (416) 과 접촉하기 위해 스탠드오프 관통-홀 내로 삽입될 수 있다. 도 4h에 도시된 바와 같이, 이후에 스탠드오프 (444) 는 영역들 (458) 내에서 접지/전력 평면 (416) 에 접합될 수 있다. 영역 (458) 내의 과도한 스트레스를 유도함 없이 세라믹 면판 (414) 의 열 팽창을 허용하기 위해, 예를 들어 0.040"의, 갭이 접촉 링 (418) 의 벌크와 세라믹 면판 (414) 사이에 형성될 수 있다.
도 4i는 가스 분배 스템 (412) 의 세라믹 면판 조립체 (410) 내로의 삽입을 도시한다. 도 4j는 가스 분배 스템 (412) 을 구비한 완전하게-조립된 세라믹 면판 조립체 (410) 를 도시한다.
세라믹 면판 조립체 (210 또는 410) 내에, 또한 본 명세서에서 논의되는 다른 세라믹 면판 내에 포함되는 부품들은 다양한 물질로 제조될 수 있다.
세라믹 면판 (214 또는 414) 은 알루미늄 산화물 (Al203) 또는 알루미늄 질화물 (AlN), 실리콘 질화물 (Si3N4), 또는 실리콘 카바이드로 제조될 수 있다. 불소에 의한 공격에 강한 저항성, 그리고 높은 온도, 즉 500-600℃에서의 우수한 수치 안정성을 나타내는 다른 물질이 또한 이용될 수 있다. 이용되는 특정 세라믹이 특정 반도체 프로세싱 어플리케이션에서 이용되는 프로세스 가스와의 화학 작용을 피하기 위해 선택될 필요가 있을 수 있다. 아래의 물질이 제조 이슈에 기인한 이행에 직면할 수 있다고 하더라도, 보론 질화물 (BN) 및 알루미늄 옥시나이트라이드 (Aluminum Oxynitride; AlON) 가 이러한 어플리케이션에서 이용될 수 있는 세라믹의 추가 예이다.
접지/전력 평면 (216 또는 416) 으로의 전도성 경로의 구성요소뿐 아니라, 접지/전력 평면 (216 또는 416) 은, 예를 들어, 텅스텐 또는 몰리브덴으로 제조될 수 있다. 고온 저항성을 가지며 세라믹 면판 물질의 열 팽창 계수와 유사한 열 팽창 계수를 가지는 다른 전기-전도성 물질이 이용될 수 있다. 접지/전력 평면 (216 또는 416) 이 세라믹 면판 (214 또는 414) 에 의해 보호될 수 있고, 세라믹 면판 (214 또는 414) 내에 매립될 수 있기 때문에, 접지/전력 평면 (216 또는 416) 은 불소에 의한 공격에 저항성이 있는 물질로 제조될 필요가 없다. 세라믹 면판 (214 또는 414) 내에 피복되지 아니할 수 있는 접지/전력 평면 (216 또는 416) 으로의 전도성 경로의 일부는 니켈 도금과 같은 보호 코팅으로 코팅될 수 있고, 이는 프로세스 가스 노출에 기인한 전도성 경로에의 손상을 방지하고, 또는 감소시킬 수 있다. 상승된 온도에서 부식 및 산화에의 저항성을 유지하는 귀금속, 예를 들어 금, 백금. 팔라듐 또는 이리듐의 코팅과 같은, 다른 보호 코팅이 또한 이용될 수 있다.
저항성 히터 구성요소 (456) 는, 예를 들어 텅스텐 또는 몰리브덴으로 제조될 수 있다. 높은 온도 저항성을 가지는, 그리고 세라믹 면판 물질의 열 팽창 계수와 유사한 열 팽창 계수를 가지는 다른 전기-전도성 물질이 이용될 수 있다. 저항성 히터 구성요소 (456) 가 세라믹 면판 (214 또는 416) 내에 매립될 수 있고, 세라믹 면판 (214 또는 416) 에 의해 보호될 수 있기 때문에, 프로세스 가스에 노출된다면, 저항성 히터 구성요소의 일부 또는 이에 이어지는 전도체가 니켈 도금 같은 보호 코팅으로 보호될 필요가 있다고 하더라도, 저항성 히터 구성요소 (456) 는 불소에 의한 공격에 저항성이 있는 물질로 제조될 필요가 없다. 다른 보호 코팅, 이를 테면 상승된 온도에서 부식 및 산화에 대한 저항성을 유지하는 귀금속, 예를 들어 금, 백금, 팔라듐 또는 이리듐 같은 귀금속의 코팅 또한 이용될 수 있다.
접촉 링 (218 또는 418) 은 또한 텅스텐 또는 몰리브덴으로 제조될 수 있으며, 접촉 링 (218 또는 418) 은 일반적으로 접지/전력 평면 (216 또는 416) 과 접합-호환성 있는 그리고 유사한 열 팽창 특성을 가지는 물질로 제조될 수 있다.
도 5a 내지 5g는 HC 샤워헤드의 다른 구현예를 도시한다. 도 5a에서 확인할 수 있듯이, HC 샤워헤드 (500) 는 도 2d에 도시된 HC 샤워헤드 (200) 와 외부 유사성을 가진다. HC 샤워헤드 (500) 는 가스 분배 스템 (512) 에 연결되는 후면판 (502) 을 포함한다. 유입구 (536) 는 프로세스 가스가 HC 샤워헤드 (500) 의 내부로 도입되도록 한다.
도 5b는 HC 샤워헤드 (500) 의 확대된 등각도를 도시한다. 후면판 스크류 (504) 의 제거는 접촉 링 (518) 이 후면판 (502) 으로부터 제거되도록 한다. 후면판 (502) 과 접촉 링 (518) 사이에 끼워지는 세라믹 면판 조립체 (510) 는 접촉 링 (518) 이 제거된 이후에 해제될 수 있다. 후면판 스크류 (504) 는 접촉 링 (518) 상의 나사 홀 내로 나사 결합할 수 있다. 세라믹 면판 조립체 (510) 는 세라믹 면판 (514) 을 둘러싸는, 그리고 그의 두께에 비해 직경이 상당히 큰 (예를 들어, 대략 수 인치/ 수십 분의 일 인치의 직경, 및 대략 수천 분의 또는 수백 분의 일 두께의) 박형-벽 후프의 일반적인 형태를 취할 수 있는, RF 칼라 (520) 를 포함할 수 있다. 일부 구현예에서, RF 칼라 (520) 는 단부-대-단부 배열되어 공칭 후프 형태를 형성하는 하나 이상의 세그먼트로 제조될 수 있다. 예를 들어, RF 칼라 (520) 는 스트립의 두 개의 단부가 중첩되는 후프 형태로 고리 형성되는 (looped) 단일의 스트립으로 제조될 수 있다. 다른 예에서, RF 칼라 (520) 는 네 개의 더 짧은 스트립에 의해 형성될 수 있는데, 여기서 각 스트립의 단부는 이웃하는 스트립의 단부와 중첩되거나, 이웃하는 스트립의 단부에 매우 근접하게 된다. 세라믹 면판 조립체 (510) 가 접촉 링 (518) 과 후면판 (502) 사이에 끼워질 때에 제자리에 외부 칼라 탭 (526) 을 고정시키기 위해 후면판 스크류 (504) 가 외부 칼라 탭 (526) 내의 홀을 통과하도록, 외부 칼라 탭 (526) 은 RF 칼라 (520) 로부터 돌출될 수 있다. 이는 RF 칼라 (520) 가 외부 칼라 탭 (526) 을 통해 후면판 (502) 과 전기적 접촉하게끔 한다.
도 5c는 HC 샤워헤드의 등각 절취도를 도시한다. 확인할 수 있듯이, 가스 분배 스템 (512) 은 후면판 (502) 과 세라믹 면판 조립체 (510) 사이의 플래넘 공간과 유체 소통한다. 후면판 (502) 은 후면판 (502) 의 외부 주면 주위에 형성되는 환형 홈 (532) 을 특징으로 할 수 있다. 환형 홈 (532) 은 RF 칼라 (520) 를 세라믹 면판 조립체 (510) 에 부착하는데 이용되는 파스너를 제거하도록 사이징될 수 있고 (도 5f 및 5g의 면판 스크류 (524) 참조), 세라믹 면판 조립체 (510) 와 가벼운, 압축 접촉을 형성하는 내부 에지를 포함할 수 있다. 세라믹 면판 조립체 (510) 는 매립된 접지/전력 평면 (516) 을 포함할 수 있는 세라믹 면판 (514) 을 포함할 수 있다. 복수의 가스 분배 홀 (522) 은 HC 샤워헤드 (500) 외부의 주위 환경과 플래넘을 유동적으로 연결할 수 있다.
도 5d 및 5e는 세라믹 면판 조립체 (510) 의 하면도 및 저면도를 각각 도시한다. 도시된 구현예에서, 가스 분배 홀 (522) 은 세라믹 면판 조립체 (510) 의 직경의 대략 3분의 1인 영역 내에 낮은 밀도의 패턴을 형성하고, 남은 세라믹 면판 조립체 (510) 의 영역 내에 높은-밀도의 패턴을 형성한다. 또한, 조립 동안 세라믹 면판 조립체 (510) 의 부품들을 회전 정렬하는데 이용될 수 있는 인덱싱 (indexing) 피쳐 (538) 를 확인할 수 있다.
도 5f는 세라믹 면판 조립체 (510) 의 등각 평면도를 도시한다. RF 칼라 (520) 는 세라믹 면판 (514) 및 후면판 (514) 이 현저한 응력-유발된 (strain-induced) 스트레스를 유발함 없이 상이한 양으로 확장하도록 한다. 이는 HC 샤워헤드 (500) 가 후면판 (502) 또는 세라믹 면판 조립체 (510) 의 온도-유도된 균열에 대한 위험 없이 큰 열적 델타 (deltas) 를 가지는 환경 내에서 이용되도록 한다. 후면판 (502) 과 세라믹 면판 조립체 (510) 사이의 열 팽창 미스매치는 예를 들어 알루미늄, 티타늄, 몰리브덴, 텅스텐 또는 낮은 저항성, 투과성 및/또는 크립 (creep; 높은 탄성) 성질을 가지는 다른 물질로 제조될 수 있는, RF 칼라에 의해 수용될 수 있다. RF 칼라 (520) 의 두께 때문에, RF 칼라 (52) 는 매우 작은 부하 하에서 실질적으로 편항될 수 있고, 이는 RF 칼라 (520) 가 후면판 (502) 또는 세라믹 면판 조립체 (510) 에 상당한 스트레스를 유도함 없이 굽혀지게 (flex) 한다. RF 칼라 (520) 의 굴곡 증가가 가능하게 되도록, 내부 칼라 탭 (530) 은 외부 칼라 탭들 (526) 사이의 대략 중앙에 배치될 수 있고, 또는 그 역으로 배치될 수 있다. RF 칼라 (520) 가 세라믹 면판 (514) 내에 매립되지 않을 수 있기 때문에, RF 칼라 (520) 는 니켈 도금 같은 보호 코팅으로 코팅될 수 있다. RF 칼라 (520) 는 면판 스크류 (524) 및 내부 칼라 탭 (530) 을 이용하여 세라믹 면판 조립체 (510) 에 부착될 수 있다. 상승된 온도에서 부식 및 산화에의 저항성을 유지하는 귀금속, 예를 들어 금, 백금, 팔라듐 또는 이리듐의 코팅과 같은, 다른 보호 코팅이 또한 이용될 수 있다.
도 5g는 세라믹 면판 조립체 (510) 의 등각 확대도를 도시한다. 이러한 구현예에서의 RF 칼라 (520) 의 전체 형상은, 내부 칼라 탭 (530) 및 외부 칼라 탭 (526) 이 후프 중앙 축에 수직이 되도록 굽혀지는, 거대한 박형-벽 후프 또는 링인 것으로 보일 수 있다. 필요하다면, 면판 스크류 (524) 는 워셔 (544) 및 락 워셔 (542) 를 이용하여 확장될 (augmented) 수 있다.
세라믹 면판 (514) 은 본 출원에 개시된 매립된 접지/전력 평면과 유사한 매립된 접지/전력 평면을 포함할 수 있다 (이는 세라믹 면판에 매립되기 때문에 도시되지는 않음). 일련의 전도성 스탠드오프 (540) 는 매립된 접지/전력 평면과 전도성 접촉할 수 있다. 전도성 스탠드오프 (540) 는 매립된 접지/전력 평면에 접합될 수 있고, 니켈 도금 같은 보호 코팅으로 코팅될 수 있다. 상승된 온도에서 부식 및 산화에의 저항성을 유지하는 귀금속, 예를 들어 금, 백금, 팔라듐 또는 이리듐의 코팅과 같은, 다른 보호 코팅이 또한 이용될 수 있다. (본 명세서에 논의되는 매립된 접지/전력 평면과 연결된 다른 전도성 구성요소 및) 전도성 스탠드오프 (540) 는, 예를 들어 납땜된 또는 확산 접합된 연결을 이용하여 매립된 접지/전력 평면에 접합될 수 있다. 전도성 스탠드오프 (540) 과 환형 스탠드오프 (540) 가 관통하는 홀 사이에 환형 갭이 존재할 수 있다. 존재한다면, 이러한 갭은 니켈 같은 보호 코팅 물질로 충진될 수 있다. 상승된 온도에서 부식 및 산화에의 저항성을 유지하는 귀금속, 예를 들어 금, 백금, 팔라듐 또는 이리듐과 같은, 다른 충진 물질이 또한 이용될 수 있다. 매립된 접지/전력 평면에 납땜되는 전도성 스탠드오프 (540) 를 특징으로 하는 일부 구현예에서, 갭 충진 물질은 납땜 물질로 이용되는 물질과 같을 수 있다. 이는 전도성 스탠드오프/ 매립된 접지/전력 평면 인테페이스를 밀봉할 수 있고, 프로세스 가스에 의해 매립된 접지/전력 평면의 공격을 방지할 수 있다. 도 5g에서, 환형 갭이 보일 수 있고, 보호 갭 충진이 적용될 필요가 없다고 하더라도, 이러한 보호 갭 충진은 도시되지 않는다. LLCE 동안, 세라믹 면판 (514) 및 RF 칼라 (520) 를 포함하는 세라믹 면판 조립체 (510) 는 제거될 수 있고, 새로운 유닛으로 교체될 수 있다.
도 6은 HC 샤워헤드의 다른 구현예의 단순화된 단면도를 도시한다. HC 샤워헤드 (600) 는 세라믹, 이를 테면 알루미나로 제조될 수 있는 단일 면판/후면판 (658) 을 특징으로 한다. 단일 면판/후면판 (658) 은 위에서 논의된 바와 같은 구조와 유사한 구조를 포함할 수 있는데, 예를 들어 단일 면판/후면판 (658) 은 면판 부분, 후면판 부분 및 두 개의 부분을 연결하는 환형 외부 벽을 포함할 수 있다. 이러한 부분들의 일부는 단일 피스로 제조될 수 있으며, 이후에 제조 동안, 예를 들어 확산 본딩을 통해 다른 부분과 연결될 수 있다. 샤워헤드 플래넘 볼륨은 단일 면판/후면판 (658) 내에서 에워싸일 수 있다.
단일 후면판/면판 (658) 의 면판 부분은 가스 분배 홀 (622) 의 패턴을 포함할 수 있고, 본 명세서에서 논의되는 다른 구현예의 면판 내의 매립된 접지/전력 평면과 유사한, 세라믹 내에 매립되는 매립된 접지/전력 평면 (622) 을 특징으로 한다. 복수의 전도성 비아들 (654) 은 매립된 접지/전력 평면 (616) 을 단일 면판/후면판 (658) 의 후면판 부분 내에 매립된 전도성 경로 (652) 에 연결할 수 있다. 또한, 전도성 비아들 (654) 은 단일 면판/후면판 (658) 의 세라믹 부분 내에 매립될 수 있다.
예를 들어 알루미늄으로 제조된 가스 분배 스템 (612) 은, 단일 면판/후면판 (658) 과 연결될 수 있다. 가스 분배 스템 (612) 은, 예를 들어 나사 인터페이스 (650) 와 같은 기계적인 인터페이스 피쳐를 통해 단일 면판/후면판 (658) 에 연결될 수 있다. 바요넷-타입 인터페이스와 같은, 다른 기계적인 인터페이스 피쳐가 또한 이용될 수 있다. 포트, 즉 단일 면판/후면판의 후면판 부분을 통과하는 하나 이상의 천공들은 가스 분배 스템 (612) 으로부터 샤워헤드 플래넘 볼륨으로 유체 유동 경로를 제공할 수 있다. 포트는 실질적으로 원형인 단일 어퍼처일 수 있고, 공칭 원형 영역 내에 실질적으로 맞춰지는 일 그룹의 어퍼처일 수 있다. 유사한 포트가 다른 후면판 부분 또는 후면판에서 발견될 수 있다. RF 가스킷 (646) 은 단일 면판/후면판 (658) 내에 매립된 전도성 경로 (652) 와 가스 분배 스템 (612) 사이의 전기적 접촉을 제공할 수 있다. 따라서, 가스 분배 스템 (612) 에 적용되는 전력 (또는 접지) 이 매립된 접지/전력 평면 (616) 에 전달될 수 있다. 오-링 실링 (648) 은 프로세스 가스가 나사 인터페이스 (650) 를 통해 누출되는 것을 방지할 수 있다. 배플 (634) 은, 예를 들어 원형 또는 유사-원형이 단일 면판/후면판 (658) 의 플래넘 볼륨 내에 위치될 수 있고, 플래넘에 전달되는 프로세스 가스를 균일하게 전달하는데 도움을 줄 수 있다. 배플 플레이트는 스탠드오프로부터 또는 스탠드오프 옆의 후면판으로부터 이격될 수 있다. 저항성 히터 구성요소 (656) 는 단일 면판/후면판 (658) 의 둘레 주위에서 단일 면판/후면판 (658) 내에 매립될 수 있고, 이러한 히터 구성요소는 전도성 비아 (654) 내부에 또는 그 외부에 매립될 수 있다. 단일 면판/후면판 (658) 은 마무리 처리된 부품을 형성하기 위해, 예를 들어 비아 확산 본딩을 통해, 이후에 서로 연결되는 다수의 피스로 제조될 필요가 있을 수 있다. 예를 들어, 배플 (634) 은 단일 면판/후면판 (658) 의 면판 부분 내의 홀을 통해 맞춰지기에 너무 크기 때문에, 단일 면판/후면판 (658) 이 완전하게 조립되기 전에 배플 (634) 이 설치될 수 있다. 배플 (634) 이 단일 면판/후면판 (658) 의 후면판 부분 상에 설치된 이후에, 단일 면판/후면판 (658) 의 면판 부분이 후면판 부분에 접합될 수 있고, 이는 단일 면판/후면판 (658) 내의 배플을 밀봉할 수 있다.
CH 샤워헤드 (600) 는 열 팽창 이슈의 위험을 감소시킬 수 있고, 일부 다른 HC 샤워헤드 설계와 비교하여 향상된 RF 전송 경로를 제공할 수 있다. 예를 들어, 단일 면판/후면판 (658) 및 가스 분배 스템 (612) 이 나사 인터페이스 (650) 에서 연결되고, 나사 인터페이스 (650) 는 상대적은 작은 직경을 가진다는 사실 때문에, (예를 들어, 단일 면판/후면판 (658) 이 알루미나로 제조되고, 가스 분배 스템이 알루미늄으로 제조된되는 경우) 상이한 열 팽창 특성에 기인한 가스 분배 스템 (612) 과 단일 면판/후면판 (658) 사이의 응력 미스매치가 두 개의 부품 사이의 상당히 적은 상대적인 변위를 야기할 수 있고, 이는 열 스트레스를 상당하게 감소시키고 온도-유발된 균열의 위험을 감소시킬 수 있다. 단일 면판/후면판 (658) 의 외부 에지 근처에 위치되는 인터페이스와 비교하여 가스 분배 스템 (612) 과 단일 면판/후면판 (658) 사이의 열 팽창 변위가 상당히 감소될 수 있기 때문에, RF 칼라 (520) 와 유사한 부품은 그러한 설계일 필요가 없을 수 있다.
도 7은 단일 면판/후면판을 특징으로 하는 다른 HC 샤워헤드를 도시한다. HC 샤워헤드 (700) 는 도 6에 도시된 면판/후면판 (614) 과 유사할 수 있는 단일 면판/후면판 (714) 을 포함할 수 있다. 예를 들어, 단일 면판/후면판 (714) 은 도 6의 배플 (634) 과 유사하게 구성되는 배플 (734) 을 포함할 수 있다. 이러한 특정 구현예에서, 단일 면판/후면판 (714) 은 또한 단일 면판/후면판 (614) 과 비교하여 일부 추가 피쳐들을 포함한다 (일부 다른 구성은 생략된다). 예를 들어, 스템 (712) 은 볼트 원 (bolt circle) 을 가지는 플랜지를 통해 단일 면판/후면판 (714) 에 연결될 수 있다. 스템 스크류 (764) 는 단일 면판/후면판 (714) 내의 나사 홀을 통해 단일 면판/후면판 (714) 에 스템 (712) 을 고정할 수 있다. 오-링 실링 (748) 이 스템 (712) 을 통해 HC 샤워헤드 (700) 에 전달되는 프로세스 가스가 스템 스크류 (764) 를 공격하는 것 그리고 플랜지 인터페이스를 통해 누출되는 것을 방지하는데 이용될 수 있다.
단일 면판/후면판 (714) 의 면판 부분은 일 패턴의 가스 분배 홀 (722), 및 본 명세서에 논의된 다른 매립된 접지/전력 평면과 유사한 방식으로 매립될 수 있는 매립된 접지/전력 평면 (716) 을 포함할 수 있다. 매립된 접지/전력 평면 (716) 은 매립된 접지/전력 평면 (716) 에 접합될 수 있는 RF 라이저 (riser) 또는 핀 (762) 을 통해 스템 (712) 과 전도성 접촉할 수 있다. 단일 면판/후면판 (714) 의 면판 부분은 또한 도 4e의 매립된 저항성 히터 구성요소 (456) 와 유사한 매립된 히터 구성요소 (760) 를 포함할 수 있다.
도 8a 내지 8c는 반도체 프로세싱 장치 (800) 의 고-레벨 도면을 도시한다. 챔버 (810) 는 샤워헤드 (830) 및 페데스탈 (840) 과 인터페이싱하는 인터페이스(들) (820) 을 구비한다. 웨이퍼 (880) 는 페데스탈 (840) 에 의해 지지될 수 있다. 도 8a는 샤워헤드 내의 매립된 전극을 이용하지 아니하는 반도체 프로세싱 장치의 구현예를 도시하며, 이러한 구현예는 전류 이용에 관해 많은 프로세싱 장치를 대표할 수 있다.
도 8a에서, 샤워헤드 (830) 는 표준의, 비-매입된 전극 샤워헤드일 수 있고, 음극을 형성하기 위해 RF 소스 (885) 와 연결될 수 있다. 페데스탈 (840) 은 양극으로서 기능할 수 있고, 접지 임피던스 (890) 와 연결될 수 있으며, 이러한 논의를 위해서, 접지 임피던스 (890) 는 (실제 구현예에서, 비-제로 접지 임피던스 값이 이용될 수 있다고 하더라도) 제로일 수 있다. 이러한 배치에서, RF 소스 (885) 가 대략 100V의 eSH를 제공하고, 페데스탈 (840) 이 대략 5 V의 ePED로 유지된다면, 플라즈마 포텐셜 eP는 대략 10V일 수 있고, 웨이퍼 전압 eW는 대략 7V일 수 있다.
도 8b에서, 페데스탈 (840) 은 음극을 형성하기 위해 RF 소스 (885) 와 연결되며, 도 8b의 페데스탈 (840) 은 매립된 전극 (860) 을 특징으로 할 수 있다. 샤워헤드 (830) 는 양극으로서 기능할 수 있고, 접지 임피던스 (890) 와 연결될 수 있고, 위에서 논의한 바와 같이, 접지 임피던스 (890) 는 이러한 논의를 위해 제로일 수 있다. 도 8b에 도시된 구성은 일부 전류 AHM 챔버를 나타낼 수 있다. 이러한 구현예에서, RF 소스 (885) 로부터 페데스탈 (840) 로 RF 전력을 공급함으로써, 플라즈마 (850) 는 대략 10V의 eP로 유지될 수 있고, 웨이퍼는 -70V의 포텐셜 eW로 유지될 수 있다.
도 8c에서, 샤워헤드 (860) 는 본 명세서의 위에서 논의된 바와 같은 매립된 전극 (870) (매입된 접지/전력 평면) 을 구비한다. 도시된 구성에서, 샤워헤드 (830) 은 양극으로서 기능하고, 접지 임피던스 (890) 를 통해 챔버 (810) 에 접지된다. 도 8b에서, 페데스탈 (840) 은 RF 소스 (885) 에 연결되는 매립된 전극 (860) 을 포함한다. 지금까지 논의된 바와 같은 도 8c의 시나리오에서, 플라즈마 포텐셜 eP는 대략 -10V이고, 웨이퍼 포텐셜 eW은 대략 -90V이다. 그러나, DC 전압 소스 eZ는 매립된 전극 (870) 에 적용되고, 플라즈마 포텐셜 eP는 대략 10V로 변할 수 있고, 웨이퍼 포텐셜 eW은 대략 -70V로 변할 수 있다. 이는 도 8b에 도시된 바와 같은 현재-이용되는 장비에서 달성되는 포텐셜과 관련이 있으며, HC 샤워헤드가 프로세스의 재인정 (requalification) 을 요구함 없이 현재 이용되는 프로세스에서 이용되는 것을 가능하게 한다. 약 +200V까지 공급할 수 있는 DC 전압 소스는 다양한 범위의 챔버 구조 및 HC 샤워헤드 설계에 대해 상기 바이어싱을 제공하는데 이용될 수 있다. 예를 들어, 챔버 벽과 HC 샤워헤드 사이의 분리 거리는 필요로 될 수 있는 DC 전압 바이어스의 양에 영향을 미칠 수 있다.
도 8d는 도 8a-8c의 시스템의 다양한 지점에서의 다양한 전압을 요약하고 있다. 제 1 열은 접지 (0) 에 또는 DC 전압 소스 (20) 의 적용에 대응하는, 포텐셜 eZ을 포함한다. 제 2 열은 플라즈마 포텐셜에 대응하는 포텐셜 eP을 포함한다. 제 3 열은 웨이퍼에서의 포텐셜 eW을 포함하고, 제 4 열은 플라즈마/웨이퍼 포텐셜 차이 eP - eW을 열거한다. 확인할 수 있듯이, 도 8c에 도시된 바와 같은 샤워헤드 전극에 DC 전압을 가하는 것은 (웨이퍼/플라즈마 관점에서) 프로세스 전기 포텐셜 조건이 도 8b의 장치를 이용하여 생산되는 것들을 크게 미러링 (mirror) 하게끔 한다. 반도체 프로세싱 챔버에서의 전극 및 플라즈마의 상호 작용의 추가 논의는, 예를 들어, B. Chapman, "GLOW DISCHARGE PROCESSES : SPUTTERING AND PLASMA ETCHING," 162, (John Wiley & Sons, 1980); H.R. Koenig and L.I. Maissel, "APPLICATION OF RF DISCHARGES TO SPUTTERING," 14 IBM Journal of Research Development 168 (1970); 및 J.W. Coburn and Eric Kay, 43 Journal of Applied Physics 4965 (1972) 에서 확인할 수 있고, 상기 문헌들의 전체 내용은 본 명세서에 편입된 것으로 간주한다.
HC 샤워헤드 내의 세라믹 면판 조립체 (또는 일부 구현예에서의 단일 면판/후면판) 가 밀봉된, 예를 들어, 완전히 용접된 유닛인 것과 대조적으로 제거될 수 있기 때문에, HC 샤워헤드의 내부 공간이 세정을 위해 이용될 수 있다.
세정 동작 동안, HC 샤워헤드는 도구 및 제거된 세라믹 면판으로부터 제거될 수 있다. 세라믹 면판이 제거될 때에, 입자 문제는 샤워헤드의 내부 볼륨으로부터 용이하게 제거될 수 있다. 세라믹 면판 그 자체는 초음파에 의해 제거될 수 있다. 세라믹 면판 조립체가 주기적으로 교체될 필요가 있을 수 있더라도, 샤워헤드 그 자체는 이론적으로 무한한 횟수로 재사용될 수 있다.
앞서 설명된 장치/프로세스는, 예를 들어 반도체 디바이스, 디스플레이, LED, 태양전지 패널 등의 제조 또는 생산을 위해, 리소그래픽 (lithographic) 패터닝 도구 또는 프로세스와 연계하여 이용될 수 있다. 대체로, 반드시 필요하지는 않더라도, 이러한 도구/프로세스는 일반 제조 설비에서 이용될 것이고, 또는 함께 수행될 것이다. 필름의 리소그래픽 패터닝은 대체로, 각 단계가 다수의 가능한 도구와 함께 개입되면서, 이하의 단계들 중 일부 또는 전부를 포함하는데, 이하의 단계는 (1) 스핀-온 또는 스프레이-온 도구를 이용한 작업 편, 즉 기판 상의 포토레지스트의 적용 단계; (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 도구를 이용한 포토레지스트의 경화 단계; (3) 웨이퍼 스테퍼와 같은 도구를 이용하여 볼 수 있는 또는 UV 또는 엑스선 광에 포토레지스트를 노출시키는 단계; (4) 선택적으로 레지스트를 제거하고 습식 벤치 (wet bench) 와 같은 도구를 이용하여 그것을 패터닝하기 위해, 레지스트를 현상하는 단계; (5) 건조 또는 플라즈마-보조 에칭 도구를 이용함으로써 하부 필름 또는 작업편 내에 레지스트 패턴을 전이하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 도구를 이용하여 레지스트를 제거하는 단계를 포함할 수 있다. 또한, 개시된 방법들은 상술된 리소그래피 및/또는 패터닝 프로세스 또는 이하의 개시된 방법들과 함께 프로세스에서 구현될 수 있다.
일부 구현예에서, HC 샤워헤드는 반응기 내에 설치될 수 있고, 프로세스 동작들을 제어하기 위한 명령어를 가지는 시스템 제어부에 연결될 수 있다. 장치가 다양한 반도체 제조 프로세스를 수행하도록, 시스템 제어부는 대체로 명령어를 실행하도록 구성되는 하나 이상의 프로세서 및 하나 이상의 메모리 디바이스를 포함할 것이다. 프로세스 동작을 제어하기 위한 명령어를 포함하는 기계-판독 가능한 매체는 시스템 제어부에 연결될 수 있다. 프로세서는 CPU 또는 컴퓨터를 포함할 수 있고, 하나 이상의 아날로그 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어부 보드 등을 포함할 수 있으며, 또는 이들과 통신 연결될 수 있다. 예를 들어, 시스템 제어부는, 특정 구현예에 존재한다면, 샤워헤드에의 가스 전달, 페데스탈 이동, 반응기로부터 가스를 배기하기 위한 진공 포트 흡입, 플라즈마 전극로의 전력 및 주파수, 및/또는 가열 및 냉각 구성요소를 제어하도록 구성될 수 있다.
대체로, 시스템 제어부와 관련된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건의 그래픽 소프트웨어 디스플레이, 및 포인팅 디바이스, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 디바이스를 포함할 수 있다. 시스템 제어부는 이러한 어플리케이션의 도면에 도시된 부품들을 포함한, 도구 또는 모듈의 도시된 부품들 중 임의의 것에 또는 전부에 연결될 수 있다; 시스템 제어부의 배치 및 연결은 특정 구현예에 기초하여 변경될 수 있다.
특정 구현예에서, 시스템 제어부는 프로세싱 챔버에의 압력을 제어한다. 시스템 제어부는 또한, 밸브, 액체 전달 제어부 및 배기 라인 내의 유동 제한 밸브뿐 아니라 전달 시스템 내의 MFC를 조절함으로써, 챔버 내의 다양한 프로세스 가스의 농도를 제어할 수 있다. 시스템 제어부는 타이밍, 가스 및 액체의 유량, 챔버 압력, 챔버/샤워헤드/페데스탈/기판 온도, 및/또는 특정 프로세스의 다른 파라미터, 이를 테면 전극 RF 및 DC 전압을 제어하기 위한 명령어 세트를 포함한 시스템 제어 소프트웨어를 실행한다. 제어부와 관련된 메모리 디바이스 상에 저장된 다른 컴퓨터 프로그램이 일부 구현예에서 이용될 수 있다. 특정 구현예에서, 시스템 제어부는 도면에 도시된 다양한 장치의 안팎으로의 기판의 이송을 제어한다.
프로세스 시퀀스 내의 프로세스를 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능한 프로그래밍 언어; 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타의 것으로 작성될 수 있다. 컴파일 목적 코드 또는 스크립트가 프로그램 내에서 식별되는 업무를 수행하기 위해 프로세서에 의해 수행된다. 시스템 소프트웨어는 많은 상이한 방법들로 구성될 수 있고 또는 설계될 수 있다. 예를 들어, 다양한 챔버 부품 서브루틴 또는 제어 목적이 설명된 프로세서를 수행하기 위해 필요한 챔버 부품의 동작을 제어하기 위해 작성될 수 있다. 이러한 목적을 위해 프로그램의 섹션 또는 프로그램의 예들은, 가스 제어 코드, 압력 제어 코드 및 플라즈마 제어 코드를 포함한다.
제어 파라미터는, 예를 들어, 각 동작의 타이밍, 챔버 내부의 압력, 기판 온도, 프로세스 가스 유량, RF 전력, 및 앞서 설명된 다른 것들과 같은 프로세스 조건과 관련된다. 이러한 파라미터는 레시피 형태로 사용자에게 제공되고, 사용자 인터페이스를 이용하여 입력될 수 있다. 프로세스를 모니터링 하기 위한 신호들이 시스템 제어부의 아날로그 및/또는 디지털 입력 연결에 의해 제공될 수 있다. 프로세스를 제어하기 위한 신호가 장치의 아날로그 및 디지털 출력 연결부 상에 출력된다.
본 발명의 다양한 구현예가 첨부된 도면을 참고하여 상세하게 설명되었다고 하더라도, 본 발명이 이러한 특정한 구현예로 제한되지 아니하며, 첨부된 특허청구범위 내에 정의된 본 발명의 목적 범위로부터 벗어남 없이 본 기술분야의 숙련자에 의해 다양한 변화 및 수정이 그 안에서 이루어질 수 있다는 것을 이해하여야 한다.

Claims (18)

  1. 프로세싱 챔버의 기판 프로세싱 샤워헤드를 위한 세라믹 면판 (ceramic faceplate) 으로서, 상기 세라믹 면판은 제 1 패턴의 제 1 관통-홀들을 포함하는, 상기 세라믹 면판;
    제 2 패턴의 제 2 관통-홀들을 포함하는 전극; 및
    복수의 스탠드오프들로서, 상기 스탠드오프들은 전기 전도성이고 각각은 상기 전극과 전기전도성으로 연결되는, 상기 복수의 스탠드오프들을 포함하고,
    상기 전극은 상기 세라믹 면판 내에 매립되고 (embed),
    상기 제 2 패턴은 상기 제 1 패턴과 매칭되고,
    상기 제 1 패턴은, 상기 세라믹 면판이 상기 프로세싱 챔버의 상기 기판 프로세싱 샤워헤드 내에 설치될 때 상기 세라믹 면판을 통해 프로세싱 가스들이 흐르는 상기 모든 관통-홀들을 포함하고,
    상기 제 2 관통-홀 각각은 크기 면에서 대응하는 상기 제 1 관통-홀보다 크고,
    상기 세라믹 면판은 원형이고 복수의 블라인드 홀들을 갖고,
    상기 블라인드 홀 각각은 상기 전극에서 종결되고 상이한 상기 스탠드오프들에 의해 점유되고, 그리고
    상기 스탠드오프 각각은 노출되고 상기 세라믹 면판의 세라믹 재료에 의해 커버되지 않는 상기 전극으로부터 멀어지는 방향을 향하는 표면을 갖는, 가스 분배기.
  2. 제 1 항에 있어서,
    상기 세라믹 면판의 상기 세라믹 재료는: 알루미늄 옥사이드 (Al2O3), 알루미늄 나이트라이드 (AlN), 실리콘 나이트라이드 (Si3N4), 실리콘 카바이드, 보론 나이트라이드 (BN), 및 알루미늄 옥시나이트라이드 (AlON) 로 구성된 그룹으로부터 선택되는, 가스 분배기.
  3. 제 1 항에 있어서,
    상기 전극은 몰리브덴 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함하는, 가스 분배기.
  4. 제 1 항에 있어서,
    상기 스탠드오프들은 몰리브덴 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함하는, 가스 분배기.
  5. 제 1 항에 있어서,
    상기 전극으로부터 멀어지는 방향을 향하는 상기 스탠드오프 각각의 표면은 니켈, 금, 백금, 팔라듐, 및 이리듐으로 구성된 그룹으로부터 선택된 재료로 코팅되는, 가스 분배기.
  6. 제 1 항에 있어서,
    상기 스탠드오프들은 확산-본딩된 연결 및 납땜 연결로 구성된 그룹으로부터 선택된 본딩 연결에 의해 상기 전극에 연결되는, 가스 분배기.
  7. 제 1 항에 있어서,
    상기 스탠드오프들은 상기 세라믹 면판의 외주부 둘레에서 이격되는, 가스 분배기.
  8. 제 1 항에 있어서,
    상기 스탠드오프들은 상기 제 1 패턴의 제 1 관통-홀들을 둘러싸는 원형 경로를 따라 이격되는, 가스 분배기.
  9. 제 1 항에 있어서,
    상기 스탠드오프들은 상기 블라인드 홀들을 갖는 상기 세라믹 면판의 표면으로부터 돌출되는, 가스 분배기.
  10. 제 1 항에 있어서,
    상기 제 2 관통-홀 각각은 상기 제 1 패턴의 상기 대응하는 제 1 관통-홀의 직경의 적어도 2 배 이상이거나 상기 제 1 패턴의 상기 대응하는 제 1 관통-홀의 상기 직경보다 0.04" 큰 직경을 갖는, 가스 분배기.
  11. 제 1 항에 있어서,
    상기 전극 및 상기 세라믹 면판 모두는 형상이 실질적으로 원형이고 상기 전극은 상기 세라믹 면판보다 작은 외경을 갖는, 가스 분배기.
  12. 제 1 항에 있어서,
    적어도 하나의 히터 구성요소를 더 포함하고,
    상기 적어도 하나의 히터 구성요소는,
    상기 세라믹 면판 내에 매립되고,
    상기 전극과 전기적 접촉하지 않고,
    임의의 상기 제 1 관통-홀들과 교차하지 아니하는 경로를 따르고, 그리고
    적어도 상기 제 1 관통-홀의 반경 및 0.04" 더 큰 상기 제 1 관통-홀 각각의 외주부로부터 최소의 거리를 유지하는, 가스 분배기.
  13. 제 1 항에 있어서,
    상기 세라믹 면판 내에 매립된 히터 구성요소를 더 포함하고, 상기 히터 구성요소는 상기 제 1 패턴의 제 1 관통-홀들을 실질적으로 둘러싸고 상기 세라믹 면판의 최외곽 공칭 (nominal) 직경에 근접하여 위치되는, 가스 분배기.
  14. 제 1 항에 있어서,
    상기 전극은 상기 스탠드오프들이 상기 전극으로부터 연장하는 상기 방향과 반대되는 방향을 향하는 상기 세라믹 면판의 면으로부터 0.05"의 깊이로 상기 세라믹 면판 내에 매립되는, 가스 분배기.
  15. 제 1 항에 있어서,
    상기 제 1 관통-홀들은 0.02" 내지 0.06"의 직경들을 갖는, 가스 분배기.
  16. 제 1 항에 있어서,
    상기 세라믹 면판은 편평한 디스크 형상인, 가스 분배기.
  17. 제 1 항에 있어서,
    상기 프로세싱 챔버;
    상기 기판 프로세싱 샤워헤드; 및
    페데스탈을 더 포함하고,
    상기 기판 프로세싱 샤워헤드, 상기 세라믹 면판, 및 상기 페데스탈은 실질적으로 상기 프로세싱 챔버 내에 위치되고,
    상기 세라믹 면판은 상기 기판 프로세싱 샤워헤드과 연결되고, 그리고
    상기 스탠드오프들은 상기 기판 프로세싱 샤워헤드와 상기 전극 사이의 전기적으로 전도성 경로들의 일부들을 제공하는, 가스 분배기.
  18. 제 17 항에 있어서,
    제 1 단부 및 제 2 단부를 가진 가스 분배 스템을 더 포함하고,
    상기 제 1 단부는 상기 가스 분배 스템 상에서 상기 제 2 단부에 대항하고,
    상기 가스 분배 스템의 상기 제 1 단부는 상기 프로세싱 챔버의 최상부 (top) 와 연결되고,
    상기 가스 분배 스템의 상기 제 2 단부는 상기 기판 프로세싱 샤워헤드에 연결되고, 그리고
    상기 세라믹 면판은 상기 프로세싱 챔버의 최상부로부터 상기 가스 분배 스템을 제거하지 않고 상기 기판 프로세싱 샤워헤드로부터 제거될 수 있는, 가스 분배기.
KR1020187008297A 2011-03-04 2012-03-02 하이브리드 세라믹 샤워헤드 KR101937115B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161449537P 2011-03-04 2011-03-04
US61/449,537 2011-03-04
PCT/US2012/027596 WO2012122054A2 (en) 2011-03-04 2012-03-02 Hybrid ceramic showerhead

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137026302A Division KR101843609B1 (ko) 2011-03-04 2012-03-02 하이브리드 세라믹 샤워헤드

Publications (2)

Publication Number Publication Date
KR20180037284A KR20180037284A (ko) 2018-04-11
KR101937115B1 true KR101937115B1 (ko) 2019-01-09

Family

ID=46752556

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187008297A KR101937115B1 (ko) 2011-03-04 2012-03-02 하이브리드 세라믹 샤워헤드
KR1020137026302A KR101843609B1 (ko) 2011-03-04 2012-03-02 하이브리드 세라믹 샤워헤드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137026302A KR101843609B1 (ko) 2011-03-04 2012-03-02 하이브리드 세라믹 샤워헤드

Country Status (7)

Country Link
US (2) US9441296B2 (ko)
JP (1) JP5933602B2 (ko)
KR (2) KR101937115B1 (ko)
CN (2) CN106884157B (ko)
SG (2) SG192967A1 (ko)
TW (2) TWI616949B (ko)
WO (1) WO2012122054A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190004494A (ko) * 2017-07-04 2019-01-14 세메스 주식회사 기판 처리 장치
KR20190090353A (ko) * 2018-01-24 2019-08-01 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 면판
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101659303B1 (ko) * 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9224626B2 (en) * 2012-07-03 2015-12-29 Watlow Electric Manufacturing Company Composite substrate for layered heaters
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6078450B2 (ja) * 2012-10-26 2017-02-08 日本碍子株式会社 半導体製造装置用部材及びその製法
US20140127911A1 (en) * 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
TWI497589B (zh) * 2012-12-17 2015-08-21 Global Material Science Co Ltd 乾蝕刻反應室腔體之上電極及其製造方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN108546932A (zh) * 2013-02-15 2018-09-18 诺发***公司 带温度控制的多室喷头
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10134615B2 (en) 2015-02-13 2018-11-20 Applied Materials, Inc. Substrate support with improved RF return
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108140542B (zh) 2015-10-04 2022-10-18 应用材料公司 小热质量的加压腔室
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109312461B (zh) * 2016-03-03 2021-07-13 核心技术株式会社 等离子体处理装置和等离子体处理用反应容器的构造
US10483092B2 (en) 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI641016B (zh) * 2016-06-28 2018-11-11 周業投資股份有限公司 Upper electrode device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6910834B2 (ja) * 2017-04-13 2021-07-28 日本特殊陶業株式会社 半導体製造装置用部品の製造方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
TWI754765B (zh) * 2017-08-25 2022-02-11 美商應用材料股份有限公司 用於磊晶沉積製程之注入組件
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102420164B1 (ko) 2017-09-14 2022-07-12 삼성전자주식회사 기체의 유동 시뮬레이션을 수행하기 위한 컴퓨팅 시스템 및 시뮬레이션 방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
DE102017124456A1 (de) * 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
CN109817505B (zh) * 2017-11-20 2021-09-24 长鑫存储技术有限公司 等离子体供应装置及晶圆刻蚀装置
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP7125262B2 (ja) * 2017-12-19 2022-08-24 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7042170B2 (ja) * 2018-06-22 2022-03-25 日本特殊陶業株式会社 シャワーヘッド用ガス分配体
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN108861680A (zh) * 2018-07-04 2018-11-23 赵全 一种节能环保的活性焦出料扬尘控制装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10751549B2 (en) * 2018-07-18 2020-08-25 Kenneth Hogstrom Passive radiotherapy intensity modulator for electrons
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN109360779A (zh) * 2018-11-13 2019-02-19 深圳市华星光电半导体显示技术有限公司 干蚀刻设备的上电极及其制造方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111385955B (zh) * 2018-12-28 2022-08-23 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102646002B1 (ko) * 2019-03-15 2024-03-08 램 리써치 코포레이션 반도체 제작 적용 예들에서의 마찰 교반 용접
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
JP2023504829A (ja) 2019-12-05 2023-02-07 アプライド マテリアルズ インコーポレイテッド 堆積チャンバ用のガス分配セラミックヒータ
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111243933A (zh) * 2020-02-18 2020-06-05 信利(仁寿)高端显示科技有限公司 一种干法刻蚀设备的上部电极及干法刻蚀设备
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
WO2023034760A1 (en) * 2021-09-02 2023-03-09 Lam Research Corporation Joining techniques for composite ceramic bodies
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102612876B1 (ko) * 2021-12-21 2023-12-12 주식회사 테스 샤워헤드 어셈블리
TW202339551A (zh) * 2022-03-25 2023-10-01 美商蘭姆研究公司 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
KR100936059B1 (ko) * 2009-07-20 2010-01-08 (주)네오세라 반도체 웨이퍼 증착장비용 개스 인젝터 제조방법 및 개스 인젝터

Family Cites Families (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (ko) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3172537B2 (ja) 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US5817406A (en) * 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
EP1240366B1 (en) 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
JP3654142B2 (ja) 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR101004199B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US20030070760A1 (en) * 2001-10-15 2003-04-17 Plasmion Corporation Method and apparatus having plate electrode for surface treatment using capillary discharge plasma
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) * 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
CN100468611C (zh) * 2003-02-14 2009-03-11 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
DE112004000057B4 (de) * 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
JP4763974B2 (ja) * 2003-05-27 2011-08-31 パナソニック電工株式会社 プラズマ処理装置及びプラズマ処理方法
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005285846A (ja) * 2004-03-26 2005-10-13 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
CN102610481B (zh) 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
TWI306782B (en) 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP5461759B2 (ja) 2006-03-22 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
JP4887202B2 (ja) 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD590477S1 (en) 2008-01-31 2009-04-14 Hansgrohe Ag Showerhead
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP5078792B2 (ja) 2008-07-29 2012-11-21 京セラ株式会社 誘電性構造体、誘電性構造体を用いた放電装置、流体改質装置、および反応システム
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
KR101659303B1 (ko) 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
CN103109357B (zh) 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
WO2012125275A2 (en) 2011-03-11 2012-09-20 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
KR100936059B1 (ko) * 2009-07-20 2010-01-08 (주)네오세라 반도체 웨이퍼 증착장비용 개스 인젝터 제조방법 및 개스 인젝터

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR102562923B1 (ko) * 2013-02-28 2023-08-03 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR20190004494A (ko) * 2017-07-04 2019-01-14 세메스 주식회사 기판 처리 장치
KR102366180B1 (ko) 2017-07-04 2022-02-22 세메스 주식회사 기판 처리 장치
KR20190090353A (ko) * 2018-01-24 2019-08-01 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 면판
KR102162379B1 (ko) 2018-01-24 2020-10-06 어플라이드 머티어리얼스, 인코포레이티드 가열식 세라믹 면판

Also Published As

Publication number Publication date
CN103403843A (zh) 2013-11-20
WO2012122054A3 (en) 2012-12-27
KR20180037284A (ko) 2018-04-11
JP2014509783A (ja) 2014-04-21
TW201709322A (zh) 2017-03-01
TWI566295B (zh) 2017-01-11
SG10201602599XA (en) 2016-05-30
US20120222815A1 (en) 2012-09-06
TW201250827A (en) 2012-12-16
US10400333B2 (en) 2019-09-03
TWI616949B (zh) 2018-03-01
CN103403843B (zh) 2016-12-14
JP5933602B2 (ja) 2016-06-15
KR101843609B1 (ko) 2018-05-14
CN106884157A (zh) 2017-06-23
KR20140011364A (ko) 2014-01-28
WO2012122054A2 (en) 2012-09-13
US9441296B2 (en) 2016-09-13
US20160348244A1 (en) 2016-12-01
SG192967A1 (en) 2013-09-30
CN106884157B (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
KR101937115B1 (ko) 하이브리드 세라믹 샤워헤드
KR102551996B1 (ko) 정전 척 본딩들에 대한 영구적인 2차 부식 방지
US11984296B2 (en) Substrate support with improved process uniformity
KR20200116161A (ko) 세라믹 베이스플레이트들을 갖는 멀티-플레이트 정전 척들
KR102627019B1 (ko) 작은 갭을 갖는 핀 리프터 어셈블리
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102535097B1 (ko) 볼트리스 (boltless) 기판 지지체 어셈블리
KR20210028275A (ko) 기판 프로세싱 시스템을 위한 유전체 윈도우를 갖는 허니콤 (honeycomb) 주입기
KR20220024568A (ko) 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant