JP7181337B2 - 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド - Google Patents

流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド Download PDF

Info

Publication number
JP7181337B2
JP7181337B2 JP2021071508A JP2021071508A JP7181337B2 JP 7181337 B2 JP7181337 B2 JP 7181337B2 JP 2021071508 A JP2021071508 A JP 2021071508A JP 2021071508 A JP2021071508 A JP 2021071508A JP 7181337 B2 JP7181337 B2 JP 7181337B2
Authority
JP
Japan
Prior art keywords
faceplate
showerhead
holes
diameter
baffle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021071508A
Other languages
English (en)
Other versions
JP2021106293A (ja
JP2021106293A5 (ja
Inventor
ラメッシュ・チャンドラセカーラン
サーングルト・サングプルン
シャンカー・スワミナタン
フランク・エル.・パスクァーレ
フー・カン
エイドリアン・ラボイエ
エドワード・アウグスティニャック
幸紀 崎山
クロエ・バルダッセローニ
セシャサイー・バラダラジャン
バシャ・サジャド
ジェニファー・エル.・ペトラグリア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021106293A publication Critical patent/JP2021106293A/ja
Publication of JP2021106293A5 publication Critical patent/JP2021106293A5/ja
Priority to JP2022183800A priority Critical patent/JP7313528B2/ja
Application granted granted Critical
Publication of JP7181337B2 publication Critical patent/JP7181337B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

本開示は、一般的には半導体処理装置においてガスを分配するためのシャワーヘッドに関する。本開示の特定の態様は、原子層堆積プロセスでガスを分配するための多孔バッフル、フェースプレートの小径貫通穴、および/またはフェースプレートの追加のエッジ貫通穴を有する低容積シャワーヘッドに関する。
半導体処理ツールは、半導体基板またはウェハの全体にわたって比較的均一にプロセス・ガスを分配するように設計されている構成要素をしばしば備える。かかる構成要素は、業界では「シャワーヘッド」と一般的に呼ばれる。シャワーヘッドは、典型的には何らかのプレナム区域に対面したフェースプレートを備える。フェースプレートは、複数の貫通穴を備えてもよく、これらの貫通穴により、プレナム区域内のガスは、フェースプレートを通過して基板とフェースプレートとの間(またはウェハを支持するウェハ支持体とフェースプレートとの間)の反応空間内へと流れることが可能となる。貫通穴は、典型的には、ウェハ中にわたるガス分配により実質的に均一な基板処理が結果として得られるように配置される。
本開示は、半導体処理装置で使用するためのシャワーヘッドに関する。このシャワーヘッドは、第1の表面および第1の表面に対向する第2の表面を有するプレナム区域を備え、第1の表面および第2の表面は、シャワーヘッドのプレナム区域を少なくとも部分的に画定する。また、シャワーヘッドは、プレナム区域と流体連通する1つまたは複数のガス入口と、複数のフェースプレート貫通穴を備えるフェースプレートと、1つまたは複数のガス入口の近傍に配置されたバッフルとを備える。複数のフェースプレート貫通穴は、フェースプレートの第1の側から第2の側まで延在し、フェースプレートの第1の側は、プレナム区域の第1の表面を画定し、フェースプレート貫通穴はそれぞれ、約0.04インチ未満の直径を有する。
いくつかの実装形態では、バッフルは、複数のバッフル貫通穴を備える。バッフルの多孔率は、約5%~約25%の間であることが可能である。いくつかの実装形態では、バッフルは、プレナム区域と1つまたは複数のガス入口との間の領域に配置され得る。いくつかの実装形態では、フェースプレート貫通穴のそれぞれの直径が、約0.01インチ~約0.03インチの間である。いくつかの実装形態では、フェースプレート貫通穴の直径は、フェースプレートから出るガス流の空間的均一性を上昇させるように構成される。いくつかの実装形態では、フェースプレート貫通穴の直径は、フェースプレートの外部からプレナム区域内に進むプラズマの逆流を軽減するように構成される。
また、本開示は、前述のシャワーヘッドを備える半導体処理ステーションに関する。この半導体処理ステーションは、半導体処理ステーション内に基板を供給し、基板の表面上に吸着されるようにシャワーヘッドを介して半導体処理ステーションに反応ガスを導入し、シャワーヘッドを介して半導体処理ステーションにパージガスを導入し、基板の表面上の吸着された反応ガスから薄膜層を形成するためにプラズマを印加する動作を実行するための命令で設定されているコントローラを備える。いくつかの実装形態では、プラズマは、約500W超のRF電力にて印加され、薄膜層の膜非均一性が、約0.5%未満である。いくつかの実装形態では、薄膜層の膜非均一性は、約0.3%未満である。
また、本開示は、半導体装置で使用するためのシャワーヘッドに関し、シャワーヘッドは、第1の表面および第1の表面に対向する第2の表面を有するプレナム区域を備え、第1の表面および第2の表面は、シャワーヘッドのプレナム区域を少なくとも部分的に画定する。また、シャワーヘッドは、プレナム区域と流体連通する1つまたは複数のガス入口と、複数のフェースプレート貫通穴を備えるフェースプレートと、1つまたは複数のガス入口の近傍に配置されたバッフルとを備える。複数のフェースプレート貫通穴は、フェースプレートの第1の側から第2の側まで延在し、フェースプレートの第1の側は、プレナム区域の第1の表面を画定し、複数のフェースプレート貫通穴は、中央貫通穴と、中央貫通穴を囲むエッジ貫通穴とを備え、エッジ貫通穴は、構成されたシャワーヘッドの使用対象である基板の直径よりも大きな直径でフェースプレートの第2の側において周方向に配置される。
いくつかの実装形態では、エッジ貫通穴は、フェースプレートの第1の側から第2の側にかけて約90度未満の角度で傾斜される。いくつかの実装形態では、エッジ貫通穴は、第1のリングおよび第1のリングを囲む第2のリングに沿ってフェースプレートの第2の側に周方向に配置される。いくつかの実装形態では、第1のリングは、約300mm超の直径を有し、第2のリングは、約310mm超の直径を有する。いくつかの実装形態では、第2のリングのエッジ貫通穴は、フェースプレートの第1の側から第2の側にかけて約75度未満の角度で傾斜される。いくつかの実装形態では、バッフルは、プレナム区域と1つまたは複数のガス入口との間の領域に配置され、バッフルは、複数のバッフル貫通穴を備える。いくつかの実装形態では、フェースプレート貫通穴のそれぞれの直径は、約0.04インチ未満である。
また、本開示は、前述のシャワーヘッドを備える半導体処理ステーションに関する。この半導体処理ステーションは、半導体処理ステーション内に基板を供給し、基板の表面上に吸着されるようにシャワーヘッドを介して半導体処理ステーションに反応ガスを導入し、シャワーヘッドを介して半導体処理ステーションにパージガスを導入し、基板の表面上の吸着された反応ガスから薄膜層を形成するためにプラズマを印加する動作を実行するための命令で設定されているコントローラを備える。
バッフルを有する例示的シャワーヘッドの等角断面図である。
多孔バッフルを有する例示的低容積シャワーヘッドの等角断面図である。
図2の低容積シャワーヘッドの多孔バッフルの拡大等角断面図である。
2つのシャワーヘッド例の側方断面図の対照比較を示す説明図である。
低容積シャワーヘッドのフェースプレート用および多孔バッフル用の貫通穴の配置の例示的レイアウトを示す図である。
低容積シャワーヘッド内における名目上のガス流方向を示す矢印を伴った、多孔バッフルを備える例示的低容積シャワーヘッドの一部分の断面図である。
低容積シャワーヘッド内における名目上のガス流方向を示す矢印を伴った、多孔バッフルを備える例示的低容積シャワーヘッドの一部分の側方断面図である。
シャワーヘッド内における例示的バッフルの等角図である。
シャワーヘッド内における複数の貫通穴を備える例示的バッフルの等角図である。
フェースプレートの径方向寸法に対するシャワーヘッドのフェースプレートからのガスの軸方向流速を示すグラフである。
2つのシャワーヘッドについての原子層堆積の非均一性の割合を示すグラフである。
複数のフェースプレート貫通穴を有する例示的フェースプレートの底面図である。
複数の小径のフェースプレート貫通穴を有する例示的フェースプレートの底面図である。
ラジカルの逆拡散を制限するフェースプレート貫通穴の概略断面図である。
フェースプレート貫通穴の直径の縮小に伴うフェースプレートの径方向距離に対するフェースプレートからのガスの軸方向流速を示すグラフである。
0.04インチ径のフェースプレート貫通穴を有する低容積シャワーヘッドと、0.02インチ径フェースプレート貫通穴を有する低容積シャワーヘッドとの膜非均一性を示すグラフである。
複数の中央貫通穴および複数のエッジ貫通穴を有する例示的フェースプレートの底面図である。
複数の中央貫通穴、第1のリングに沿った複数のエッジ貫通穴、および第2のリングに沿った複数のエッジ貫通穴を有する例示的フェースプレートの底面図である。
中央貫通穴とある角度で傾斜されたエッジ貫通穴とを有する例示的フェースプレートの拡大等角断面図である。
中央貫通穴を有する例示的フェースプレートと、中央貫通穴およびエッジ貫通穴を有する例示的フェースプレートとを備える側方断面図である。
図15Aの2つの例示的フェースプレートを対比する部分拡大側方断面図である。
図15Aの2つの例示的フェースプレートを対比する部分拡大斜視断面図である。
低容積シャワーヘッドを備え得るマルチステーション処理ツールの概略図である。
以下の説明では、本概念の十分な理解を与えるため、多数の具体的詳細が示される。本概念は、これらの具体的詳細のいくつかまたは全てを伴わずに実施されてもよい。他の例では、記載される概念を不必要に曖昧にしないために、周知のプロセス動作は詳細には説明されない。いくつかの概念は、具体的な実施形態と組み合わせて説明されるが、これらの実施形態は、限定的なものとなるようには意図されない点を理解されたい。
本願では、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「半製品集積回路(partially fabricated integrated circuit)」という用語は、互換的に使用され得る。「半製品集積回路」という用語は、集積回路製造の多数のステージの中の任意の最中にあるシリコン・ウェハを指し得る点が、当業者には理解されよう。半導体デバイス産業で使用されるウェハまたは基板は、典型的には200mm、または300mm、または450mmの直径を有する。以下の詳細な説明は、本発明がウェハに対して実施されることを前提とする。しかし、本発明はそれに限定されない。加工対象物は、様々な形状、サイズ、および材料からなるものであってもよい。半導体ウェハに加えて、この発明を活用し得る他の加工対象物としては、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光素子、およびマイクロメカニカル・デバイス等の様々な物品が含まれる。
複数の慣例が、本開示の図面および説明の中のいくつかで採用される場合がある。例えば、「プレナム区域」など、「区域(volume)」に対する言及が様々な点でなされる。これらの「区域」は、一般的には様々な図面に示され得るが、これらの図面および添付の数字識別子は、かかる区域のおおよそのものを表すものとして、および実際の区域が例えばその区域を境界画定する様々な固体表面などにまで及ぶものとして理解される。例えばプレナム区域の他の固体境界表面を貫通して続くガス入口または他の穴などの様々なより小さな区域が、プレナム区域に流体連結され得る。
「上方」、「~の上」、「下方」、「下」等の相対語の使用は、シャワーヘッドの通常使用時の構成要素の配向に対するそれらの構成要素の空間関係を指すものとして理解されたい。換言すれば、シャワーヘッドは、基板処理動作中に基板に向かって下方にガスを分配するように配向され得る。
序章
半導体処理で利用される様々な堆積技術の中でも、1つの特定の堆積技術は、原子層堆積(ALD)を含み得る。熱的に活性化される気相反応が膜の堆積のために利用される化学気相堆積(CVD)プロセスとは対照的に、ALDプロセスは、表面媒介性堆積反応を利用して膜を一層ずつ堆積する。例示的ALDプロセスでは、一群の表面活性部位を含む基板表面が、第1の膜前駆体(P1)の気相分布に暴露される。P1分子の一部は、P1の化学吸着された種および物理吸着された分子を含む凝集相を基板表面上に形成し得る。次いで、反応室は、化学吸着された種だけが残るように、気相のおよび物理吸着されたP1を除去するために排気される。次いで、第2の膜前駆体(P2)が反応室に導入されて、P2分子の一部が基板表面に吸着される。反応室は、ここでは未結合のP2を除去するために再び排気され得る。その後、基板に供給されたエネルギーが、吸着されたP1およびP2の分子の間の表面反応を活性化し、膜層を形成する。最終的に、反応室は、反応副生成物ならびに場合によっては未反応のP1およびP2を除去するために排気されて、ALDサイクルが終了する。さらなるALDサイクルが、膜厚を厚くするために含まれてもよい。
前駆体供給ステップの暴露時間および前駆体の付着係数に応じて、各ALDサイクルは、一例では1/2~3オングストローム厚の膜層を堆積し得る。各ALDサイクルは、約5秒以下、約3秒以下、または、約2秒以下だけ継続し得る。
コンフォーマル膜堆積(CFD:conformal film deposition)は、堆積が複数サイクルにかけて実行され、各サイクルが少量の反応物質または前駆体を用いる点で、ALD技術と類似する堆積技術である。典型的には、CFD膜を生成するための表面反応は、プラズマ、紫外線放射、または同様の放射源に対して表面吸着された反応物質を暴露することによって活性化される。いくつかの例では、1つの反応物質が、数サイクルのCFDを含み得る堆積プロセス中に連続的に流れる。ALDプロセスとは異なり、多くのCFDプロセスは、2以上の反応物質がチャンバ内に気相で共存することを可能にし得る。CFDでは、ALDプロセスにおいて記載される1つまたは複数のプロセス・ステップが、例示的CFDプロセスでは短縮または除外されてもよい。CFDを利用して膜を形成する方法が、2011年4月11日に出願された米国特許出願第13/084,399号に記載されており、この出願は、参照によって全ての目的のために本明細書に組み込まれる。文脈の必要上、CFDについて簡単に説明する。
一般的には、CFDサイクルは、表面堆積反応のために実施され得る動作の最小セットである。1サイクルの結果として、基板面上に少なくとも部分的な薄膜層が生成される。典型的には、CFDサイクルは、基板表面に各反応物質を供給して吸着させ、次いでそれらの吸着された反応物質を反応させて部分膜層を形成するのに必要なステップのみを含むこととなる。当然ながら、このサイクルは、反応物質または副生成物の中の1つまたは複数を掃去するステップおよび/または成膜直後の部分膜を処理するステップなど特定の付随ステップを含んでもよい。一般には、サイクルは、例示的な一意的な動作シーケンスのみを含む。一例としては、サイクルは、以下の動作を、すなわち(i)反応物質Aの供給/吸着と、(ii)反応物質Bの供給/吸着と、(iii)パージガスを使用した反応チャンバからのBの掃去と、(iv)プラズマを印加してAおよびBの表面反応を促進させることにより表面上に部分膜層を形成することとを含み得る。いくつかの実装形態では、これらのステップは、供給ステップ、パージ・ステップ、およびプラズマ・ステップとして特徴付けることが可能である。いくつかの実装形態では、プラズマ後パージ・ステップが、さらなるパージのためにサイクルに含まれてもよい。
いくつかの実装形態は、異なるプロセス・シーケンスを利用し得る。1つの可能なプロセスは、以下の動作シーケンスを含む。すなわち(1)補助反応物質を連続的に流し、(2)単一供給量のシリコン含有反応物質またはその他の主要反応物質を供給し、(3)パージ1を行い、(4)RFプラズマに基板を暴露し、(5)パージ2を行うことを含む。別の代替的なプロセスは、以下の動作シーケンスを含む。すなわち(1)不活性ガスを連続的に流し、(2)単一供給量のシリコン含有反応物質またはその他の主要反応物質を供給し、(3)パージ1を行い、(4)単一供給量の酸化剤またはその他の補助反応物質を供給しつつRFプラズマに基板を暴露させ、(5)パージ2を行うことを含む。
一般的には、「掃去」段階または「パージ」段階の概念は、反応チャンバから気相反応物質の中の1つを除去またはパージすることであり、典型的にはそれら反応物質の供給の完了後にようやく実施される。換言すれば、それら反応物質はパージ段階の最中には反応チャンバに供給されない。しかし、反応物質は、パージ段階の最中に基板表面上に吸着されて留まる。典型的には、パージは、反応物質が所望のレベルまで基板表面に吸着された後に、チャンバ内の任意の残留気相反応物質を除去する役割を果たす。また、パージ段階は、基板表面に弱く吸着された種(例えば特定の前駆体配位子または反応副生成物)を基板表面から除去し得る。
半導体処理設備では、しばしば、均一に分配されるようになど所望の方法で半導体基板全体にわたってプロセス・ガスを分配するためにシャワーヘッドが使用される。典型的には、シャワーヘッドは、シャワーヘッドの外部に通じる複数のガス分配孔を備えたフェースプレートにより境界画定されたプレナムを備える。典型的には、フェースプレートは、半導体処理チャンバまたは反応チャンバ内の基板反応領域に対面し、基板は、フェースプレートの下方の位置にウェハを支持するウェハ支持体または基台(ペデスタル)の上など、半導体処理チャンバ内部のフェースプレートの下に通常は配置される。
薄膜は、ALDでは自己制限的および自己飽和的に基板表面上に成長する。換言すれば、前駆体は、表面上の全ての反応部位が消費されると反応が終了するような自己制限方式で、供給され表面と反応する。これは、ALDプロセスの特定のステップが飽和に達したことを意味する。典型的には、膜均一性は、完全な飽和に達した場合には問題とはならない。しかし、多くのALDプロセスは、より経済的に動作し、ある特定のスループット閾値を要求する。結果として、ALDの全てのステップが、所望のスループットを達成するために完全な飽和に達するわけではなく、そのためALDプロセスにおける完全な飽和は、スループットを抑制し得る。一例として、ALDプロセスは、所望のスループットを達成するために、約70%~約99%の間の飽和度を達成してもよい。本明細書において、ALDプロセスは、CFDプロセスを含み得るため、互換的に利用され得る。
したがって、より高いスループットは、膜均一性を犠牲にすることにより達成され得るものであり、より高い膜均一性は、スループットを犠牲にすることにより達成され得る。しかし、本開示のシャワーヘッドは、膜均一性およびスループットを改善するよう設計され得る。いくつかの実装形態では、シャワーヘッドは、ALDプロセスにおけるプロセス・ガスまたはパージガスの供給を容易にするよう設計され得る。ALDプロセスにおいて、気相での反応物質の供給における流れ均一性を改善することにより、特に供給ステップおよびプラズマ・ステップ中に堆積膜の均一性を改善することが可能となる。また、パージ時間の改善により、パージ・ステップの効率が改善され、それによりALDプロセスのスループットを上昇させることが可能となる。
スループットを改善させるシャワーヘッドは、シャワーヘッドの容積を縮小することによって実現され得る。プレナム区域およびステム区域を縮小または最小限に抑えることにより、パージ・ステップの最中に前駆体のパージを完了させるためのパージ時間を短縮することが可能となる。容積の縮小は、背圧を上昇させるため、それによりパージガスは、迅速におよび効率的に反応チャンバに供給され得る。しかし、典型的には、シャワーヘッドの容積の縮小は、堆積膜の膜均一性を損なう恐れがある。シャワーヘッドのフェースプレートにわたり空間的に均一な流れを得ることは、低容積シャワーヘッドでは困難となり得る。フェースプレートにわたる空間的に不均一な流れは、堆積されつつある膜の厚さに非均一性を結果としてもたらし得る。上記のように、いくつかのALDプロセスでは、ALDプロセスの堆積サイクルが短い場合があり、完全な飽和に到達させることができない場合がある。したがって、フェースプレートにわたる空間的に不均一な流れは、堆積膜の膜均一性および膜特性に悪影響を与える場合がある。
多孔バッフルを備える低容積シャワーヘッド
シャワーヘッドは、空間的に非均一な流れのペナルティを著しく被ることなく縮小容積を有することが可能である。かかる低容積シャワーヘッドは、ステム区域とプレナム区域の間の領域に配置された多孔バッフルを備えることが可能であり、これは、発明の名称を「LOW VOLUME SHOWERHEAD WITH POROUS BAFFLE」とする2015年3月25日出願のChandrasekharanらの米国特許出願第14/668,511号に記載されている。この出願の全体が、全体としておよびあらゆる目的のために参照により本明細書に組み込まれる。
低容積シャワーヘッドは、約500ミリリットル以下の総容積を有するシャワーヘッドを指し得る。いくつかの実装形態では、低容積シャワーヘッドは、約50ミリリットル~約500ミリリットルの間の容積を有し得る。従来のシャワーヘッドは、特にALD用途では500ミリリットル超の容積を有し得る。
一般的には、2つの主要なタイプのシャワーヘッドが、すなわちシャンデリア型および埋込み型が存在する。シャンデリア型シャワーヘッドは、チャンバの頂部に取り付けられたステムを一方の端部に有し、フェースプレートまたはバックプレートを他方の端部に有する。ステムの一部が、ガス・ラインおよびRF電力の接続のためにチャンバ頂部から突出してもよい。埋込み型シャワーヘッドは、チャンバ頂部と一体化されており、典型的にはステムを有さない。図面では、一般にシャンデリア型シャワーヘッドを示すが、本開示は、埋込み型シャワーヘッドにも適用され得ることを理解されたい。
図1は、バッフル110を有する例示的シャワーヘッド100の等角断面図を示す。図1のシャワーヘッド100は、500ミリリットル超の容積を有し、非多孔バッフル110を備え得る。図1に示すように、シャワーヘッド100は、バックプレート102およびフェースプレート104を備え、バックプレート102およびフェースプレート104は、別個の機械的構成要素であってもまたは単体として一体化されてもよい。バックプレート102およびフェースプレート104は、相互に対向側に配置され得る。フェースプレート104は、基板へのガスの供給を容易にするために複数のガス分配穴すなわち貫通穴132を有し得る。プレナム区域130が、バックプレート102とフェースプレート104との間に画定されてもよく、プレナム区域130は、第1の表面と、第1の表面に対向する第2の表面とを有することが可能である。いくつかの実装形態では、プレナム区域130の第1の表面および第2の表面は、周方向表面を有することが可能である。第1の表面および第2の表面は、シャワーヘッド100のプレナム区域130を少なくとも部分的に画定し得る。フェースプレート104の第1の側が、プレナム区域130の第1の表面を画定し得る。バックプレート102の第2の側が、プレナム区域130の第2の表面を画定し得る。一般的には、プレナム区域130の第1の表面は、構成されたシャワーヘッドの使用対象となる基板の直径と同様または実質的に同様の直径を有することが可能である。いくつかの実装形態では、図1に示すように、プレナム区域130はプレナム区域130の第2の表面に沿って実質的に円錐形の形状であり得る。
プレナム区域130は、1つまたは複数のガス入口120を介して、反応ガスまたはパージガスなどのガスを供給されてもよい。図1のガス入口120は、ガスを供給するためのガス供給源に連結されてもよい。ガス入口120は、ステム122を備えることが可能であり、ステム122は、細管124に連結された拡張管126を備えることが可能である。拡張管126は、プレナム区域130への到達時により空間的に分配された流れを実現するために、細管124の直径よりも大きな直径を有することが可能である。
シャワーヘッド100は、プレナム区域130内に配置されたバッフル110をさらに備えることが可能である。バッフル110は、プレナム区域130中へとおよびフェースプレート104のエッジに向かって外方にガスを送るために、プレナム区域130内に取り付けられた中実すなわち非多孔性の構造体であってもよい。バッフル110は、ガス入口120の近傍に位置し得る。バッフル110は、プレナム区域130内でのガスの分配を可能にするためにガス入口120から特定の距離をおいて取り付けられてもよい。さらに、プレナム区域130は、ガス入口120とバッフル110との間により広い空間を与えるために第2の表面において円錐形であってもよい。いくつかの実装形態では、バッフル110は、円形であり、拡張管124の直径よりも大きい直径を有することが可能である。プレナム区域130中にわたって外方へとガスの流れを送ることにより、より高い流れ均一性が実現され得る。さらに、バッフル110は、ガス流がフェースプレート104の中心を通り噴出すること回避させるまたは軽減するために、ガス入口120を実質的に中心として配置され得る。
図2は、多孔バッフル210を有する例示的低容積シャワーヘッド200の等角断面図を示す。多孔バッフル210は、多孔バッフルプレートと呼ばれる場合もある。図2の低容積シャワーヘッド200は、約50ミリリットル~約500ミリリットルの間の容積を有し、多孔バッフル210を備えることが可能である。いくつかの実装形態では、低容積シャワーヘッド200は、約100ミリリットル~約300ミリリットルの間の容積を有することが可能である。低容積シャワーヘッド200は、バックプレート202およびフェースプレート204を備え、バックプレート202およびフェースプレート204は、別個の機械的構成要素であってもよくまたは単体として一体化されてもよい。バックプレート202およびフェースプレート204は、相互に対向側に配置され得る。いくつかの実装形態では、バックプレート202およびフェースプレート204は、それぞれ円筒形の形状であってもよい。フェースプレート204は、基板へのガスの供給を容易にするために複数の貫通穴232を有してもよい。いくつかの実装形態では、フェースプレート204のサイズ(例えば直径)は、処理されることとなる基板のサイズに応じて設定されてもよく、フェースプレート204のサイズは、処理されることとなる基板のサイズよりも若干大きいことが可能である。例えば、処理されることとなる基板の直径が約300mmである場合には、フェースプレート204の直径は、約300mm~約350mmの間であることが可能である。貫通穴232は、貫通穴232は、フェースプレート204の第1の側部から第2の側部までフェースプレート204を貫通して延在してもよい。プレナム区域230が、バックプレート202とフェースプレート204との間に画定されてよく、プレナム区域230が、第1の表面と第1の表面に対向する第2の表面とを有することが可能である。いくつかの実装形態では、プレナム区域230の第1の表面および第2の表面は、周方向表面を有することが可能である。第1の表面および第2の表面は、低容積シャワーヘッド200のプレナム区域230を少なくとも部分的に画定し得る。フェースプレート204の第1の側は、プレナム区域230の第1の表面を画定し得る。バックプレート202の第2の側は、プレナム区域230の第2の表面を画定し得る。いくつかの実施例では、図2に示すように、プレナム区域230は、円筒状または実質的に円筒状の形状であることが可能である。これにより、図2のプレナム区域230は図1のプレナム区域130に比べて小さな体積を有するので、シャワーヘッドの総内部容積を縮小することが可能となる。
プレナム区域230は、1つまたは複数のガス入口220を介して反応ガスまたはパージガスなどのガスを供給されてもよい。図2のガス入口220は、ガスを供給するためのガス供給源に連結されてもよい。ガス入口220は、ステム222を備えることが可能であり、ステム222は、細管224を備えることが可能である。ステム222は、プレナム区域230と流体連通するになることが可能である。ステム222の体積は、いくつかの実装形態では約1ミリリットル~約50ミリリットルの間であることが可能である。また、図2の細管224は図1の拡張管126よりも小さな直径を有するため、ステム222全体に細管224を設けることにより、シャワーヘッドの総内部容積を縮小することが可能となる。
低容積シャワーヘッド200は、プレナム区域230とガス入口220との間の領域235になど、ガス入口220の近傍に多孔バッフル210をさらに備えることが可能である。図3は、図2の低容積シャワーヘッド200内の多孔バッフル210の拡大等角断面図を示す。いくつかの実装形態では、多孔バッフル210は、領域235内に配置され得ると共に、多孔バッフル210は、ガス入口220から特定の距離をおいておよびプレナム区域230の上方に取り付けられ得る。多孔バッフル210は、領域235内に配置されてもよいが、いくつかの他の実装形態ではプレナム区域230内に配置されてもよいことが理解される。したがって、多孔バッフル210は、領域235を貫通して延在するガス入口220からある距離をおいて取り付けられてもよい。領域235は、バックプレート202の凹状区域であることが可能である。領域235は、ガス入口220とプレナム区域230との間のガス流のための移行エリアを形成する。いくつかの実装形態では、領域235は、バックプレート202の第2の側部に凹設され得ると共に、バックプレート202の第2の側部は、プレナム区域23の第2の表面を画定する。いくつかの実装形態では、ステム222、領域235、およびプレナム区域230はそれぞれ、円筒状区域を画定し、プレナム区域230の直径は、領域235の直径よりも大きく、領域235の直径は、ステム222の直径よりも大きい。
多孔バッフル210は、プレナム区域230とガス入口220との間の領域235に配置されるものとして特徴付けられ得ると理解されるが、領域235がガス入口220の一部と見なされ得る点と、多孔バッフル210がガス入口220内に配置され得る点とを当業者には理解されたい。しかし、多孔バッフル210は、ガス入口220内に配置されている場合にガス流を阻止するのではなく、ガスの通過を可能にする多孔性を有し得る。
バッフル210は、選択的に多孔性を有することが可能であり、バッフル210の多孔率は、約5%~約25%の間であることが可能である。いくつかの実装形態では、バッフル210は、多孔性材料を含み得るか、または他の方法で多孔質材料から作製され得る。多孔質材料の例としては、多孔質アルミニウム、多孔質アルミナ、および多孔質石英が含まれ得る。バッフル210は、アルミニウム、アルミナ、石英、および、ステンレス鋼を含むがそれらに限定されない任意の適切な材料から作製され得る。この材料は、遠隔洗浄に適合性を有するものであってもよく、不動態化したすなわちアンモニア/フッ素ラジカルと容易には反応しない材料であってもよい。いくつかの実装形態では、バッフル210は、バッフル210を貫通して延在する複数の貫通穴212を備えてもよい。貫通穴212は、多孔性を効果的にシミュレートおよび模倣するためにバッフル210の材料を貫通して設けられてもよい。いくつかの実装形態では、バッフル210は、円形であり、ステム222の直径よりも大きい直径を有することが可能である。しかし、いくつかの実装形態では、バッフル210は、フェースプレート204よりも実質的に小さい。例えば、フェースプレート204の直径は、バッフルプレート210の直径よりも少なくとも4倍大きいか、またはバッフルプレート210の直径よりも少なくとも10倍大きい。また、バッフル210は、領域235の直径よりも小さい直径を有することが可能である。したがって、ガス流は、貫通穴212を通るのみならず、フェースプレート204のエッジに向かってプレナム区域230中にわたり外方に送られ得る。貫通穴212を通しておよびプレナム区域230中にわたり外方にガス流れを送ることにより、より空間的に均一なガス流が、図1のシャワーヘッド100に比べてシャワーヘッド200の総内部容積が小さいにもかかわらず実現され得る。さらに、バッフル210は、バッフル210の位置およびバッフル210の多孔性がフェースプレート204の中央を通過するガス噴出の影響を低下させ得るように、ガス入口220を実質的に中心として配置され得る。いくつかの実装形態では、バッフル210は、プレナム区域230の第1の表面および第2の表面に対して実質的に平行であることが可能である。
図4は、2つのシャワーヘッド400a、400b例の側方断面図の対照比較を示す。従来のシャワーヘッド400aが左側に示され、本開示の低容積シャワーヘッド400bが右側に示される。従来のシャワーヘッド400aは、図1のシャワーヘッド100に対応し得るものであり、低容積シャワーヘッド400bは、図2の低容積シャワーヘッド200に対応し得るものである。
各シャワーヘッド400a、400bは、バックプレート402と、バックプレート402に対向するフェースプレート404とを備える。従来のシャワーヘッド400aのバックプレート402aおよびフェースプレート404aは、プレナム区域430aを少なくとも部分的に画定し、ここで、プレナム区域430aは、円筒状部分および円筒状部分の上の円錐状部分の両方を含む。低容積シャワーヘッド400bのバックプレート402bおよびフェースプレート404bは、プレナム区域430bを少なくとも部分的に画定し、プレナム区域430bは、円筒状部分を含む。また、各シャワーヘッド400a、400bは、ガスがプレナム区域430a、430bに供給されるために通過するステム422a、422bを備える。従来のシャワーヘッド400aのステム422aは、細管424aおよび拡張管426aを備え、低容積シャワーヘッド400bのステム422bは、細管424bを備える。したがって、従来のシャワーヘッド400aは、ステム直径がより大きくプレナム高さがより高いことによって低容積シャワーヘッド400bよりも大幅に大きな容積を有し得る。従来のシャワーヘッド400aのこのより大きな容積は、プレナム区域430a内のガス流に対する再循環ゾーンをもたらす場合があり、これが流れ均一性の変動を結果的に引き起こし得る。また、従来のシャワーヘッド400aのこのより大きい容積は、パージ時間の延長および移行時間の増大をもたらし、結果としてスループットの低下を引き起こす場合がある。
さらに、シャワーヘッド400a、400bは、バッフル410a、410bを備え、従来のシャワーヘッド400aは、大型の非多孔バッフル410aを備え、低容積シャワーヘッド400bは、小型の多孔バッフル410bを備える。いくつかの実装形態では、小型の多孔バッフル410bは、プレナム区域430bとステム422bとの間の領域435b内に配置される。いくつかの実装形態では、領域435bは、ステム422bの延長部を構成することが可能であり、領域435bは、細管424bよりも大きな直径を有する。小型の多孔バッフル410bは、かかる実装形態ではステム422b内部に位置すると見なされ得る。いくつかの実装形態では、領域435bは、ディフューザとして機能することが可能であり、ディフューザは円錐状または円筒状の形状を有することが可能である。小型の多孔バッフル410bは、大型の非多孔バッフル410aに比べてフェースプレート404の中央を通過する流れを増大させ得る。いくつかの実装形態では、小型の多孔バッフル410bの穴の個数および穴の配置は、フェースプレート404bを通過するより空間的に均一なガス流をもたらし得る。いくつかの実装形態では、フェースプレート404bの穴の個数および配置もまた、フェースプレート404bを通過するガス流の空間的均一性に影響し得る。例えば、フェースプレート404bの穴の個数を削減すると、フェースプレート404b中にわたる圧力降下が増大することにより、フェースプレート404bのエッジに向かってより外方へとガス流を押しやることが可能となる。
表1は、従来のシャワーヘッド400aと低容積シャワーヘッド400bとの間における特徴および数値の比較を示す。
Figure 0007181337000001
本開示の低容積シャワーヘッド400bは、約700ミリリットル未満の、または約50ミリリットル~約500ミリリットルの間の、また、約100ミリリットル~約300ミリリットルの間の総内部容積を有することが可能である。表1では、本開示の低容積シャワーヘッド400bは、742.7ミリリットルから256.4ミリリットルまで従来のシャワーヘッド400aの総内部容積を縮小しており、これは65%の容積削減に相当する。従来のシャワーヘッド400aのプレナム高さは、低容積シャワーヘッド400bでは0.25インチ(3.35mm)から0.125インチ(3.175mm)まで縮小され得る。従来のシャワーヘッド400aのプレナム形状は、実質的に円錐状であるか、または実質的に円錐状の部分および実質的に円筒状の部分の組合せを少なくとも含むことが可能である。実質的に円錐状の部分の円錐発散角は、約90度超または約120度超であることが可能である。低容積シャワーヘッド400bのプレナム形状は、円筒状または実質的に円筒状であることが可能である。円筒状プレナム区域の直径は、処理されることとなる基板サイズに対応するまたは実質的に対応することが可能である。例えば、処理されることとなる基板サイズが200mm、300mm、または450mmである場合には、プレナム区域のサイズは、それぞれ約200mm、300mm、または450mmとなり得る。従来のシャワーヘッド400aのステム直径は、低容積シャワーヘッド400bにおいては1.21インチ(30.734mm)の直径から約0.125インチ以上の直径へと縮小され得る。いくつかの実装形態では、これによりパージ時間が短縮され、ALD用途などの半導体用途におけるスループットが改善され得る。いくつかの実装形態では、低容積シャワーヘッド400bのステム直径は、移行領域435bにおいてより小さい直径からより大きな直径に移行することが可能であり、より大きな直径は、約1.21インチ以下であることが可能である。
いくつかの実装形態では、フェースプレート404a、404bの貫通穴の個数は、フェースプレート404a、404b中にわたる流れ均一性に影響し得る。シャワーヘッドの内部容積が縮小されると、フェースプレート中にわたりより均一な流れ分布を実現するためには、プレナム区域と処理チャンバと間における圧力降下を増大させることが必要となり得る。一般的には、ガスは、抵抗の最も低い経路に沿って流れるので、低容積シャワーヘッド400bのフェースプレート404bの圧力降下が小さい場合には、ガス流は、フェースプレート404bの中央を通過して噴出することになる。しかし、圧力降下がより大きい場合には、ガス流は、フェースプレート404bのエッジに向かってより外方へと押しやられる。より大きな圧力降下を助長するために、フェースプレート404bの貫通穴の個数は、従来のシャワーヘッド400aから低容積シャワーヘッド400aへの内部容積の縮小に伴って削減されてもよい。そうしない場合には、フェースプレート404bの貫通穴の個数が過多であると、圧力降下が過度に小さくなり、流れは、中央からエッジにかけてフェースプレート404b中にわたって均一ではなくなる。いくつかの実装形態では、低容積シャワーヘッド400bのフェースプレート404bの貫通穴の個数は、約1000個の貫通穴~約3000個の貫通穴の間、または約1500個の貫通穴~約2500個の貫通穴の間であることが可能である。例えば、表1では、従来のシャワーヘッド400aは、3292個の貫通穴から低容積シャワーヘッド400bにおける2257個の貫通穴まで削減され得る。
低容積シャワーヘッド400bを通過する所与のガス流量について、フェースプレート404bの貫通穴の個数は、特定の圧力降下を達成し、それによりフェースプレート404にわたり特定の流れ分布を実現することが可能である。ガス流量が低い場合には、貫通穴の個数をより減らすことが、フェースプレート404bにわたる所望の流れ均一性を達成するために必要となる。
いくつかの実装形態では、フェースプレート404a、404bの貫通穴の配置もまた、フェースプレート404a、404bにわたる流れ均一性に影響し得る。いくつかの実装形態では、貫通穴の幾何学的配置が、六角形状であることが可能である。例えば、従来のシャワーヘッド400aは、六角形状配置の貫通穴を有するフェースプレート404aを有することが可能である。いくつかの実装形態では、貫通穴の幾何学的配置は、三角形状であることが可能である。例えば、低容積シャワーヘッド400bは、三角形状配置の貫通穴を有するフェースプレート404bを有することが可能である。
従来のシャワーヘッド400aは、フェースプレート404aの中央を通過する噴出の影響を回避するまたは他の方法で最小限に抑えるために、ステム422aの下方に中心を置く大型の非多孔バッフル410aを備えることが可能である。例えば、大型の非多孔バッフル410aは、2.13インチ(30.734mm)の直径を有することが可能である。非多孔バッフル410aの直径は、従来のシャワーヘッド400aの拡張管426aの直径よりも大きいことが可能である。しかし、プレナム区域430aの体積が、十分な流れ均一性を得るためにステム422aの下方に大型の非多孔バッフル410aを収容するように増大されてもよい。この増大された体積は、ガス流が外方に分配され得るように、プレナム区域430aの円錐状部分により形成され得る。バックプレート402aは、プレナム区域430aの円錐状部分を形成するように傾斜状をなしてもよい。
対照的に、本開示の低容積シャワーヘッド400bは、フェースプレート404bの中央部を通過する噴出の影響を回避するまたは他の方法で最小限に抑えるために、ステム422bの下方に中心を置く小型の多孔バッフル410bを備えることが可能である。いくつかの実装形態では、小型の多孔バッフル410bは、大型の非多孔バッフル410aよりも実質的に小さいことが可能である。いくつかの実装形態では、小型の多孔バッフル410bは、約0.1インチ(2.54mm)~約2.0インチ(50.8mm)の間の直径を有することが可能である。例えば、小型の多孔バッフル410bは、0.79インチ(20.066mm)の直径を有することが可能である。フェースプレート404bの直径は、小型の多孔バッフル410bの直径よりも実質的に大きいことが可能である。例えば、フェースプレート404bの直径は、13インチ(330.2mm)であることが可能である。いくつかの実装形態では、フェースプレート404bの直径は、小型の多孔バッフル410bの直径よりも少なくとも4倍大きいか、または小型の多孔バッフル410bの直径よりも少なくとも10倍大きいことが可能である。
典型的には、従来のシャワーヘッド400aから低容積シャワーヘッド400bへと内部容積を削減することにより、削減された内部容積がフェースプレート404bにわたる流れ均一性を低下させることによって流れ均一性に悪影響を与える「容積ペナルティ」が生じる。低容積シャワーヘッド400bでこの容積のペナルティを回避するために、本開示は、小型多孔バッフル410bを提供することが可能であり、この場合に、小型の多孔バッフル410bは、プレナム区域430bとステム422bとの間の領域435bに配置され得る。小型の多孔バッフル410bは、ガス流を阻止することなくプレナム区域430bの上方に配置され得る。むしろ、小型の多孔バッフル410bは、流れ均一性を改善するために領域435bに配置可能であって、小型の多孔バッフル410bの直径ならびに小型の多孔バッフル410bの貫通穴のサイズ、個数、および配置が、プレナム区域430b内にガス流を送り、それによってフェースプレート404bにわたる流れ均一性に影響を与えることが可能である。さらに、フェースプレート404bの貫通穴のサイズ、個数、および配置は、フェースプレート404bにわたってより大きい圧力降下を達成し、所望の流れ均一性を実現するように構成され得る。例えば、小型の多孔バッフル410bの貫通穴の直径は、約0.08インチ(2.032mm)など、約0.01インチ(0.254mm)~約0.15インチ(3.81mm)の間であることが可能である。小型の多孔バッフル410bは、図5および図7Bに示すように六角形状リングに配置された6つの穴を備えることが可能である。6つの穴は、小型の多孔バッフル410bの中心よりも小型の多孔バッフル410bのエッジのより付近に配置されてもよい。フェースプレート404bの貫通穴の直径は、約0.04インチなど、約0.01インチ~約0.10インチ(2.54mm)の間であることが可能である。フェースプレート404bは、図5に示すように複数の三角形状パターンに配置された2000個超の穴を備えることが可能である。
図5は、低容積シャワーヘッドにおけるフェースプレート用の貫通穴532、552と多孔バッフル用の貫通穴512の配置の例示的レイアウトを示す。従来のシャワーヘッドのフェースプレートにおける貫通穴532は、六角形状配置550を形成することが可能であり、貫通穴552が、低容積シャワーヘッドの貫通穴532に追加されることにより三角形状配置560を形成し得る。多孔バッフルの貫通穴512は、フェースプレートの貫通穴532の上に配置されてもよい。多孔バッフルにおける貫通穴512の配置およびフェースプレートにおける貫通穴532、552の配置は、フェースプレートにわたる流れ均一性に影響し得る。
図6Aは、シャワーヘッド内における名目上のガス流方向を示す矢印640aを伴った、バッフル610aを備える例示的シャワーヘッドの一部分の断面図を示す。図6Bは、低容積シャワーヘッド内における名目上のガス流方向を示す矢印640bを伴った、多孔バッフル610bを備える例示的低容積シャワーヘッドの一部分の断面図を示す。ガス入口620aからのガス流の流れベクトル640aは、図6Aにおいて矢印により示され、ガス入口620bからのガス流の流れベクトル640bは、図6Bにおいて矢印により示され得る。バッフル610a、610bの位置、サイズ、および多孔率は、フェースプレート604a、604bの貫通穴632a、632bを通過する流れベクトル640a、640bに影響を与え得る。バッフル610bの貫通穴612bのサイズ、配置、および個数は、フェースプレート604bの貫通穴632bを通過する流れベクトル640bに影響を与え得る。図6Aでは、バッフル610aは、フェースプレート604aのエッジに向かって外方に流れベクトル640aを配向し得る。しかし、図6Bでは、多孔バッフル610bは、フェースプレート604bのエッジに向かって外方におよびフェースプレート604bの中心の方向に流れベクトル640bを配向し、結果としてフェースプレート604bの中心に向かう流れを増大させ得る。ALD用途では、これは、基板の中心におけるより高い供給密度をもたらすことが可能である。
図7Aは、従来のシャワーヘッド700aにおける例示的バッフル710aの等角図を示す。従来のシャワーヘッド700aは、バックプレート702aと、バックプレート702aにより従来のシャワーヘッド700aのプレナム区域に流体連結されたガス入口720aとを備える。バッフル710aは、プレナム区域内に配置され得ると共に、1つまたは複数の内側支持ポスト714aによりバックプレート702aの側から取り付けられ得る。
図7Bは、低容積シャワーヘッド700bにおいて複数の貫通穴712bを備える例示的バッフル710bの等角図を示す。低容積シャワーヘッド700bは、バックプレート702bと、バックプレート702bにより低容積シャワーヘッド700bのプレナム区域に流体連結されたガス入口720bとを備える。バックプレート702bとガス入口720bとの間の接合部には、ポケットまたは移行領域735bが、プレナム区域とガス入口720bとの間に設けられる。いくつかの実装形態では、バッフル710bは、移行領域735b内に配置されてもまたは移行領域735bから延在してもよく、バッフル710bは、1つまたは複数の内側支持ポスト714bにより移行領域735bから取り付けられ得る。バッフル710bは、複数の貫通穴712bを備えてもよい。いくつかの実装形態では、複数の貫通穴712bは、バッフル710bの中心よりもバッフル710bのエッジのより近くに選択的に配置され得る。いくつかの実装形態では、バッフル710bの多孔率は、約10%など、約5%~約25%の間であることが可能である。いくつかの実装形態では、バッフル710bは、多孔質材料から作製されてもよく、またはバッフル710bを貫通して設けられた貫通穴712bを備えた中実材料から作製されてもよい。いくつかの実装形態では、バッフル710bの貫通穴712bは、六角形状パターンで配置されてもよい。
図8は、フェースプレートの径方向寸法に対するシャワーヘッドのフェースプレートからのガスの軸方向流速を示すグラフである。シャワーヘッドのフェースプレートから1mmの位置で測定された場合の軸方向流速は、シャワーヘッドからのガス流の均一性を反映することが可能であり、この軸方向流速は、フェースプレートの中心からエッジにまでがグラフで示される。5標準リットル/分(slm)の酸素および6Torrの圧力にて、バッフルを有さないシャワーヘッドは、フェースプレートの中心付近において極めて速い軸方向流速を示し、フェースプレートの中心付近の数ミリメートル内においては極めて遅い軸方向流速を示す。バッフルを有さない場合には、フェースプレートの中心からエッジにかけた流れ均一性は非常に低い。5slmの酸素および6Torrの圧力にて、非多孔バッフルを有するシャワーヘッドは、フェースプレートの中心付近において非常に遅い軸方向流速を示し、フェースプレートのエッジにより近づくにつれて高い軸方向流速を示す。非多孔バッフルを有する場合には、フェースプレートの中心からエッジにかけた流れ均一性は低い。シャワーヘッドの表面から2.5mmの位置にあり、それぞれ直径0.08インチである6つの貫通穴を備える多孔バッフルを有する場合には、フェースプレートの中心からエッジにかけた軸方向流速は、比較的均一となる。多孔バッフルは、直径2cmおよび厚さ1mmを有することが可能であり、6つの貫通穴は、相互に1cmだけ離間された状態で中心を取り得る。
図9は、2つのシャワーヘッドについての原子層堆積の膜非均一性の割合を示したグラフを示す。膜非均一性は、堆積された膜の最厚部分と最薄部分との差を測定し、堆積された膜の厚さの平均値の2倍でその値を除算することによって計算され得る。すなわち、非均一性(%)=(最大値-最小値)/(2×平均値)となる。図9では、従来のシャワーヘッドは、約0.5%の非均一性をもたらし得るが、本開示の低容積シャワーヘッドは、約0.2%の非均一性をもたらし得る。したがって、本開示の低容積シャワーヘッドを設計することにより、膜均一性が、ALDプロセスにおいて大幅に改善され得る。
本開示の低容積シャワーヘッドは、様々なプロセス・ステップまたはプロセス・ノブを調節することにより補正する必要を伴うことなく膜均一性を実現することが可能であるハードウェア構成を提供し得る。換言すれば、膜均一性の改善を目標とした低容積シャワーヘッドを提供することにより、膜均一性が、プロセス・パラメータから切り離され得る。結果として、ウェット・エッチング速度およびドライ・エッチング速度などの膜特性が、膜均一性から切り離され得る。さらなる膜特性としては、誘電率、屈折率、ウェット・エッチング速度、ドライ・エッチング速度、光学特性、多孔率、密度、組成、硬度および弾性率、レジスト剥離速度およびアッシング速度、および化学機械平坦化除去速度等が含まれ得る。
典型的には、所望の膜均一性レベルの実現は、様々なプロセス・パラメータを調節することにより達成され得る。いくつかの実装形態では、流量、供給時間、パージ時間、高周波(RF)電力、RFオンタイム、および他のプロセス・パラメータなどのプロセス・パラメータが、所望の膜均一性を達成するために調整され得る。一例として、膜均一性は、より高い飽和度を実現するために各ALDサイクルの処理時間を増大させることによって改善され得る。しかし、スループットは低下することになる。別の例では、膜均一性は、より多量の前駆体を流す(例えば単一供給量の増加)により改善され得る。しかし、前駆体供給量を増加させると、化学物質コストの増大、膜の化学量論に対する悪影響、ならびにウェット・エッチング速度およびドライ・エッチング速度などの膜特性への望ましくない変化がもたらされ得る。したがって、所望の膜均一性レベルを実現するための典型的なアプローチは、スループットおよび膜特性に望ましくない影響を与える恐れがある。
表2は、膜均一性(中心厚さ)および膜特性(ウェット・エッチング速度およびドライ・エッチング速度)に関する、単一供給量増加、RF電力、およびRFオンタイムのプロセス・パラメータとの本開示の低容積シャワーヘッドの比較である。
Figure 0007181337000002
表2に示すように、本開示の低容積シャワーヘッドは、堆積される膜のウェット・エッチング速度およびドライ・エッチング速度に影響を与えることなく堆積される膜の中心厚さを増大させる。しかし、単一供給量レベル、RF電力、およびRFオンタイムなどのプロセス・パラメータを調節することにより膜特性から膜均一性が切り離されない。単一供給量の増加により、堆積される膜のウェット・エッチング速度およびドライ・エッチング速度が上昇する。RF電力の低下により、堆積膜のドライ・エッチング速度が低下し、RFオンタイムの低下により、堆積される膜のウェット・エッチング速度が低下する。したがって、低容積シャワーヘッドの提供により、半導体処理のためのよりプロセス・ウィンドウがより広がると共に、所望の膜均一性レベルを実現するために流量、供給時間、パージ時間等のプロセス・パラメータを微調整する必要を伴うことなく所望の膜均一性レベルを実現することが可能となる。いくつかの実装形態では、低容積シャワーヘッドは、約0.5%未満または約0.3%未満など、約1.0%未満の膜非均一性を達成することが可能である。いくつかの実装形態では、約1.0%未満の膜非均一性が、1.5秒以下のALDサイクルで達成され得る。例えば、供給時間を0.4秒以下に、パージ時間を0.4秒以下に、プラズマ・ステップを0.4秒以下に、およびプラズマ後パージ・ステップを0.15秒以下にすることが可能である。対照的に、従来のシャワーヘッドにおけるALDサイクルは、供給時間が0.6秒以上、パージ時間が0.4秒以上、プラズマ・ステップが0.4秒以上、およびプラズマ後パージ・ステップが0.15秒以上であって、約1.5秒/サイクルを上回り得る。所望の膜均一性レベルを実現しつつ低容積シャワーヘッドは、ALDサイクルの総時間を短縮することによりスループットを改善することが可能である。さらに、低容積シャワーヘッドは、ウェット・エッチング速度およびドライ・エッチング速度などの他の膜特性に影響を与えることなく所望の膜均一性レベルを実現することが可能である。
本開示の低容積シャワーヘッドは、半導体プロセス・チャンバに設置され得る。プロセス・チャンバが、チャンバ・ハウジングの頂部に取り付けられた低容積シャワーヘッドを備えることが可能である。基板支持体が、プロセス・チャンバ内におよび低容積シャワーヘッドの下方に半導体基板を支持してもよい。微小区域が、基板支持体と低容積シャワーヘッドとの間に形成され得る。この微小区域は、基板反応エリアとして機能し、処理中に半導体基板の近傍にプロセス・ガスを集中させ保持するのを補助し得る。基板支持体は、ロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。いくつかの実装形態では、低容積シャワーヘッドは、ステムによりプロセス・チャンバの蓋から懸吊されてもよく、それ自体がプロセス・チャンバの「蓋」の一部を形成しなくてもよい。かかる実装形態では、低容積シャワーヘッドは、基板のロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。
小径穴を有するシャワーヘッド
本開示は、小径貫通穴を有するフェースプレートを有するシャワーヘッドに関する。先に論じたように、多孔バッフルを有する低容積シャワーヘッドは、約0.04インチ以上の直径を有する貫通穴を有するフェースプレートを備えることが可能である。しかし、低容積シャワーヘッドは、約0.04インチ以下の直径を有する貫通穴を有するフェースプレートを備えることが可能である。貫通穴の直径が約0.04インチ未満である場合には、このより小径の貫通穴は、より空間的に均一な流れを達成することが可能であるだけでなく、貫通穴内部における局所的電界集中を軽減させることも可能である。これは、標準RF電力にて堆積される膜の非均一性を改善させるだけなく、より高いRF電力でも堆積される膜の非均一性および他の膜特性を改善させ得る。
より空間的に均一な流れおよび膜特性の生成において改善をもたらすかかるシャワーヘッドは、シャワーヘッドを通過するガス流が過渡流として発生する場合に有用となり得る。過渡流は、ALDなどの堆積プロセスで発生し得る。比較的高い流れ均一性の実現が、シャワーヘッド内でのバッフルの適切な設計および配置により達成され得る一方で、比較的高いまたはさらにはより高い流れ均一性は、フェースプレートの適切な設計によって達成され得る。例えば、フェースプレートを貫通して延在する貫通穴の配置、個数、および直径が、フェースプレートから出る流れ均一性を微調整し得る。
図10は、複数のフェースプレート貫通穴1032、1034を有する例示的フェースプレート1004の底面図を示す。フェースプレート1004は、半導体処理装置で使用するためのシャワーヘッドの一部であることが可能である。シャワーヘッドは、第1の表面および第1の表面に対向する第2の表面を有するプレナム区域を備えることが可能であり、第1の表面および第2の表面は、シャワーヘッドのプレナム区域を少なくとも部分的に画定する。シャワーヘッドは、プレナム区域と流体連通する1つまたは複数のガス入口を備えることが可能である。シャワーヘッドは、1つまたは複数のガス入口の近傍に配置されたバッフルをさらに備えることが可能である。いくつかの実装形態では、バッフルは、プレナム区域と1つまたは複数のガス入口との間の領域に配置され得る。いくつかの実装形態では、バッフルは、複数のバッフル貫通穴を備えることが可能である。いくつかの実装形態では、シャワーヘッドは、上述のような低容積シャワーヘッドなどの低容積シャワーヘッドであることが可能である。
シャワーヘッドは、複数のフェースプレート貫通穴1032、1034を備えるフェースプレート1004を備えることが可能であり、複数のフェースプレート貫通穴1032、1034は、フェースプレート1004の第1の側部から第2の側部まで延在する。フェースプレート1004の第1の側部は、プレナム区域の第1の表面を画定し得る。図10では、フェースプレート貫通穴1032、1034はそれぞれ、約0.04インチ以上の直径などの大きな直径を有することが可能である。
さらに、フェースプレート貫通穴は、内方貫通穴1032および外方貫通穴1034を備えることが可能であり、外方貫通穴1034は、フェースプレート1004の第2の側部において内方貫通穴1032の周囲に配置される。図10に示すように、外方貫通穴1034は、境界マーカ1036の外部に配置されるが、内方貫通穴1032は、フェースプレート1004の第2の側部において境界マーカ1036内に配置される。
図11は、複数の小径のフェースプレート貫通穴1132を有する例示的フェースプレート1104の底面図を示す。フェースプレート1104は、半導体処理装置で使用するためのシャワーヘッドの一部であることが可能である。シャワーヘッドは、第1の表面および第1の表面に対向する第2の表面を有するプレナム区域を備えることが可能であり、第1の表面および第2の表面は、シャワーヘッドのプレナム区域を少なくとも部分的に画定する。シャワーヘッドは、プレナム区域と流体連通する1つまたは複数のガス入口を備えることが可能である。シャワーヘッドは、1つまたは複数のガス入口の近傍に配置されたバッフルをさらに備えることが可能である。いくつかの実装形態では、バッフルは、プレナム区域と1つまたは複数のガス入口との間の領域に配置され得る。いくつかの実装形態では、バッフルは、複数のバッフル貫通穴を備えることが可能である。いくつかの実装形態では、シャワーヘッドは、上述のような低容積シャワーヘッドなどの低容積シャワーヘッドであることが可能である。
シャワーヘッドは、複数のフェースプレート貫通穴1132を備えるフェースプレート1104を備えることが可能であり、複数のフェースプレート貫通穴1132は、フェースプレート1104の第1の側部から第2の側部まで延在する。フェースプレート1104の第1の側部は、プレナム区域の第1の表面を画定し得る。シャワーヘッドのフェースプレート1104は、選択された直径のフェースプレート貫通穴1132を有するように工学設計され得る。図11では、フェースプレート貫通穴1132はそれぞれ、約0.04インチ未満の直径などの小径を有することが可能である。いくつかの実装形態では、フェースプレート貫通穴1132の直径は、約0.01インチ~約0.03(0.762mm)インチの間であることが可能である。いくつかの実装形態では、フェースプレート貫通穴1132の直径は、約0.02インチであることが可能である。
シャワーヘッド用のフェースプレート1104は、選択された配置および直径を有する選択された個数の貫通穴1132を有して工学設計され得る。いくつかの実装形態では、貫通穴1132の個数は、約300~約600個の間であることが可能である。いくつかの実装形態では、貫通穴1132の配置は、六角形状または三角形状であることが可能である。いくつかの実装形態では、貫通穴1132の配置は、同心状であることが可能である。
フェースプレート貫通穴1132の個数、配置、および直径を最適化することにより、フェースプレート1104から出るより空間的に均一な軸方向流速を実現することが可能となる。過渡流の最中には、より小径のフェースプレート貫通穴1132は、フェースプレート1104にわたりより大きな圧力降下をもたらして、フェースプレート1104を通過する噴出効果を軽減することが可能である。フェースプレート1104にわたるより大きな圧力降下は、フェースプレート1104から出るより均一な流れをもたらすことが可能であり、これが、膜堆積中により高い膜均一性をもたらし得る。例えば、前駆体が、ALDの供給ステップの最中に基板にわたってより均一に分配され、酸化剤または他の補助反応物質が、ALDのプラズマ・ステップの最中に基板にわたってより均一に分配され得る。換言すれば、大きな圧力降下は、より優れた流れ均一性をもたらし、より優れた流れ均一性は、基板の中心からエッジにかけてより均一な種の分配をもたらす。
フェースプレート貫通穴1132は、少なくとも0.04インチの直径を有する典型的なフェースプレート貫通穴よりも小さな直径を有し得る。この小径のフェースプレート貫通穴1132は、半導体基板を処理するためのプロセス・ウィンドウをさらに拡大することが可能である。プロセス・ウィンドウは、膜の非均一性パーセンテージ、ウェット・エッチング速度、およびドライ・エッチング速度などの特定の膜特性についての所望の目標の達成を含み得る。所望の膜非均一性レベルは、流量、供給時間、パージ時間、RF電力等のプロセス・パラメータを微調整する必要を伴わずに、小径のフェースプレート貫通穴1132により達成され得る。そのため、膜のウェット・エッチング速度およびドライ・エッチング速度などの膜特性は、所望の膜均一性量を達成しつつ改善され得る。実際に、小径のフェースプレート貫通穴1132を有するフェースプレート1104が、多孔バッフルを備える低容積シャワーヘッドと組み合わされると、膜非均一性は、前述のプロセス・パラメータのいずれの微調整も必要とすることなくさらに低下し得る。いくつかの実装形態では、半導体基板上に堆積される薄膜の膜非均一性は、約0.5%未満または約0.3%未満であることが可能であり、膜非均一性のこの低下は、膜のウェット・エッチング速度およびドライ・エッチング速度に悪影響を与えることなく実現され得る。いくつかの実装形態では、小径のフェースプレート貫通穴1132は、膜のウェット・エッチング速度およびドライ・エッチング速度などの膜特性の改善をさらにもたらし得る。
いくつかの実装形態では、小径のフェースプレート貫通穴1132は、流れ均一性の改善のためのより大きな圧力降下をもたらすことが可能であるのみならず、プラズマの逆流を防止または制限し得る。フェースプレート貫通穴1132を通過するプラズマの逆流を制限することにより、フェースプレート貫通穴1132における局所的電界集中が防止または他の方法で軽減され得る。小径のフェースプレート貫通穴1132は、フェースプレート貫通穴1132内部にプラズマまたは中空陰極放電(HCD)を維持する傾向が低い。その結果、より低いRF電力での寄生損失の低下および膜非均一性の改善が得られ得る。
かかる条件下では、前述のシャワーヘッド実装形態の場合よりも広いプロセス・ウィンドウが達成され得る。ある特定の膜非均一性量が、前述の実装形態では達成され得る一方で、RF電力または酸化剤/補助反応物質密度は、所望の膜のウェット・エッチング速度およびドライ・エッチング速度を達成するには十分でない場合がある。RF電力、酸化剤/補助反応物質密度等のプロセス・パラメータは、前述の実装形態では所望の膜非均一性を維持するためには許容できない低さとなり得る。しかし、小径のフェースプレート貫通穴1132により、かかるプロセス・パラメータは、所望の膜非均一性を損なうまたは影響を及ぼすことなく高められ得る。
図12は、ラジカルの逆拡散を制限するフェースプレート貫通穴の概略断面図を示す。いかなる理論にも限定されることなく、この概略図は、様々なプラズマ活性種1292に対する小径のフェースプレート貫通穴1232の効果を示し得る。この概略図は、バックプレート1202とフェースプレート1204との間に画定されたプレナム1230を備えるシャワーヘッドの一部分を示す。フェースプレート貫通穴1232は、フェースプレート1204の第1の側部から第2の側部まで延在する。ALDの1つまたは複数の動作などの1つまたは複数の動作の最中に、バルク・プラズマ1292が、フェースプレート1204の下方にて発生され得る。静電シース1291が、フェースプレート1204とバルク・プラズマ1292との間に形成されてもよい。いくつかの実装形態では、静電シース1291は、より高い陽イオン密度を有するプラズマ内の層であることが可能であり、接触状態にある材料の表面上の逆の負電荷と相殺し合うことが可能である。静電シース1291は、プラズマから固体表面への移行層である。フェースプレート貫通穴1232のサイズが、静電シース1291のサイズ未満である場合には、フェースプレート貫通穴1232のこのサイズにより、静電シース1291は、フェースプレート貫通穴1232への進入が防止され、フェースプレート貫通穴1232内部でのプラズマまたはHCDの維持を防止することが可能となる。したがって、このサイズのフェースプレート貫通穴1232は、フェースプレート貫通穴1232内部での局所的電界密度の上昇を防止することによって、シャワーヘッドのプレナム1230内へのイオン、電子、およびラジカルの逆拡散を制限することが可能となる。
図12に示すように、バルク・プラズマ1292からのイオンおよび電子1281は、フェースプレート貫通穴1232を通過してプレナム1230内に逆拡散し得る。プラズマがフェースプレート貫通穴1232に進入し、フェースプレート貫通穴1232内により高い局所的電界密度が存在する場合には、イオンおよび電子1281は、誘発された電界の影響下でフェースプレート貫通穴1232を通過して拡散する傾向がより高くなる。かかる拡散は、中性種よりも速く発生し、正電荷および負電荷は分離しない。イオンおよび電子1281は、フェースプレート貫通穴1232内で再結合し、電子損失が発生する傾向がより高くなり得る。
さらに、中性種1282は、フェースプレート貫通穴1232内で再結合を被る場合があり、高励起種が、より高い脱励起の可能性を有し得る。フェースプレート貫通穴1232は、中性ラジカル1282の逆拡散または逆流を制限し得る。長寿命中性ラジカル1282および準安定状態物質の密度は、バルク・プラズマ1292に対して2桁または3桁の規模だけプラズマ密度を超過し得る。
フェースプレート貫通穴1232のサイズが十分に小さい場合には、静電シース1291はフェースプレート貫通穴1232内に維持されることは不可能となり、プラズマの逆流が防止または他の方法で軽減され得る。この追加的な利点により、シャワーヘッドは、膜非均一性を損なうことなくRF電力にて所望の膜特性を達成することが可能となり得る。表3は、0.04インチ(1.016mm)フェースプレート貫通穴を有する低容積シャワーヘッドの場合と0.02インチ(0.508mm)フェースプレート貫通穴を有する低容積シャワーヘッドの場合とを比較した、膜非均一性および堆積速度に対するRF電力の上昇の影響を示す。
Figure 0007181337000003
表3に示すように、0.04インチフェースプレート貫通穴を有する低容積シャワーヘッドの場合のRF電力の上昇は、より高い膜非均一性レベルをもたらす。特に550Wおよび650Wでは、膜非均一性は悪化する。対照的に、0.02インチフェースプレート貫通穴を有する低容積シャワーヘッドの場合のRF電力の上昇は、より高いRF電力でも比較的良好かつ安定的な膜非均一性をもたらす。RF電力が550Wおよび650Wにまで上昇した場合でも、膜非均一性は、200Wおよび350Wでの膜非均一性と比較的同様に留まる。一般的には、より高いRF電力へと上昇することにより、膜は、より高いプラズマ密度で衝突されて膜がよりコンパクトおよび高密度になり得る。その結果、ウェット・エッチング速度およびドライ・エッチング速度などの膜特性は、より高いRF電力により改善され得る。小径のフェースプレート貫通穴は、膜非均一性の改善に寄与するだけでなく、膜特性の改善のためにより高いプラズマ密度を可能にすることにも寄与し得る。したがって、小径のフェースプレート貫通穴によるプロセス・ウィンドウは、さらにより大きくなり得る。
図13Aは、フェースプレート穴直径の縮小に伴うフェースプレートの径方向寸法に対するシャワーヘッドのフェースプレートからのガスの軸方向流速を示すグラフである。このグラフは、縮小してゆくフェースプレート貫通穴直径の4つのプロファイル1302、1302、1303、および1304から構成される。グラフ内のプロファイル1301は、0.04インチのフェースプレート貫通穴直径を有する低容積シャワーヘッドについての軸方向流速を示す。グラフ内のプロファイル1302は、0.03インチのフェースプレート貫通穴直径についての軸方向流速を示し、プロファイル1303は、0.02インチのフェースプレート貫通穴直径についての軸方向流速を示し、プロファイル1304は、0.015インチのフェースプレート貫通穴直径についての軸方向流速を示す。さらに小さな直径が、より高い空間的流れ均一性には望ましいものとなり得るが、フェースプレートにさらに小さな直径を製造する実現性は、困難または非常にコストがかかり得る。
図13Aでは、軸方向流速が、フェースプレート貫通穴直径の縮小につれて低下するが、より均一になる。プロファイル1301は、フェースプレートにわたり著しく非均一な軸方向流速を示し、プロファイル1302およびプロファイル1303は、フェースプレートにわたり適度に均一な軸方向流速を示し、プロファイル1304は、フェースプレートにわたり実質的に均一な軸方向流速を示す。
図13Bは、0.04インチ直径のインチフェースプレート貫通穴を有する低容積シャワーヘッドの場合と0.02インチ直径フェースプレート貫通穴を有する低容積シャワーヘッドの場合とを比較した膜非均一性を示すグラフである。基板上の複数の点の間の基板の厚さプロファイルを測定するために標準の49点極偏光解析データを利用して、膜非均一性パーセンテージを測定および計算することが可能である。上のグラフでは、標準的なフェースプレート貫通穴サイズについて4つの基板を試験した。ここで、フェースプレート貫通穴直径は、約0.04インチであった。下のグラフでは、小径のフェースプレート貫通穴サイズについて2セットの4つの基板を試験した。ここで、フェースプレート貫通穴直径は、約0.02インチであった。下のグラフは、約0.49%の平均膜非均一性を示すが、上のグラフは、約0.85%の平均膜非均一性を示している。
さらに、標準的なフェースプレート貫通穴サイズおよび小径のフェースプレート貫通穴サイズの両方についての堆積速度は、比較的同様であった。図13Bのグラフ内の試験基板からのデータによると、標準的なフェースプレート貫通穴サイズおよび小径のフェースプレート貫通穴サイズについて、ALDサイクルを完了するための時間はほぼ同一であり、堆積される材料厚さはほぼ同一であった。典型的には、圧力降下が大きくなることにより、前駆体または他のガスの流量は減少し、それにより膜堆積速度に悪影響を及ぼし得る。例えば、フェースプレート貫通穴の個数を減少させるまたはフェースプレートの厚さを増大させることにより圧力降下を増大させることによって、堆積速度に悪影響が及び得る。しかし、小径のフェースプレート貫通穴では、データは、軸方向流速の改善のためにより大きな圧力降下を伴っても、ALDサイクルを完了させるための時間が悪影響を被らないことを示す。したがって、より大きな圧力降下は、パージ時間および堆積速度を損なわない小径のフェースプレート貫通穴によってもたらすことが可能である。
いくつかの実装形態では、小径のフェースプレート貫通穴を有するシャワーヘッドは、半導体プロセス・チャンバまたは半導体プロセス・ステーションに設けられてもよい。プロセス・チャンバが、チャンバ・ハウジングの頂部に取り付けられたシャワーヘッドを備えることが可能である。基板支持体が、プロセス・チャンバ内におよびシャワーヘッドの下方に半導体基板を支持してもよい。微小区域が、基板支持体と低容積シャワーヘッドとの間に形成され得る。この微小区域は、基板反応エリアとして機能し、処理中に半導体基板の近傍にプロセス・ガスを集中させ保持するのを補助し得る。基板支持体は、ロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。いくつかの実装形態では、シャワーヘッドは、ステムによりプロセス・チャンバの蓋から懸吊されてもよく、それ自体がプロセス・チャンバの「蓋」の一部を形成しなくてもよい。かかる実装形態では、シャワーヘッドは、基板のロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。半導体プロセス・ステーションは、図16に関連して以下でさらに詳細に説明されるコントローラをさらに備えてもよく、このコントローラは、1つまたは複数の動作を実行するための命令を用いて設定(構成)される。これらの1つまたは複数の動作は、ALDの実施に関連付けられた動作を含むことが可能である。例えば、コントローラは、(1)半導体処理ステーション内に基板を供給するための命令と、(2)基板の表面上に吸着されるようにシャワーヘッドを介して半導体処理ステーション内に反応ガスを導入するための命令と、(3)シャワーヘッドを介して半導体処理ステーション内にパージガスを導入するための命令と、(4)基板表面上に吸着された反応ガスから薄膜層を形成するためにプラズマを印加するための命令とにより設定され得る。いくつかの実装形態では、薄膜層の形成は、前述のシャワーヘッドによりALDサイクルにおいて約1.5秒未満で実施され得る。
いくつかの実装形態では、1つまたは複数のプロセス・チャンバが、マルチステーション半導体処理ツール内のプロセス・ステーションとして用意されてもよい。いくつかの実装形態では、単一のプロセス・チャンバが、複数の処理ステーションを備えてもよく、これらの処理ステーションの一部または全てが、固有のシャワーヘッド・アセンブリを有してもよい。マルチステーション半導体処理ツールのさらに詳細な説明は、図16に関連して以下に示される。
エッジ穴を有するシャワーヘッド
図10に戻ると、典型的なフェースプレート1004は、内方貫通穴1032および外方貫通穴1034を備える。外方貫通穴1034は、境界マーカ1036の外部に配置されるが、内方貫通穴1032は、フェースプレート1004の第2の側部において境界マーカ1036内に配置され、境界マーカ1036は、フェースプレート1004のエッジの近傍にリングを画定する。境界マーカ1036の径方向経路に沿った外方貫通穴1034の空間分布は、不均一である。換言すれば、外方貫通穴1034同士の間の間隔は、フェースプレート1004の外周領域に沿って不均一となる。六角形状パターンまたは三角形状パターンにしたがってフェースプレート貫通穴1032、1034を形成した場合には、六角形状パターンまたは三角形状パターンにより、外方貫通穴1034の位置は、フェースプレート1004の外周領域に沿って相互に不均一に分布し得る。これは、フェースプレート1004の最外エッジ付近のフェースプレート貫通穴分布に対して方位角的不連続性を生じさせ得る。かかる不連続性は、処理されることとなる基板のエッジにおける流れ均一性の問題を生じさせる恐れがある。いくつかの実装形態では、基板のエッジにおける流れ均一性の問題は、基板のエッジにおける不均一な流量に関する問題と、基板のエッジにおける不均一な流れ方向に関する問題とを含み得る。
外方貫通穴1034の不均一分布により生じる方位角的不連続性は、基板のエッジに沿った方位角的膜非均一性に悪影響を及ぼす恐れがある。より具体的には、不均一な流れ均一性および不均一な流れ方向は、基板のエッジにおいて不均一な膜堆積をもたらす恐れがある。いくつかの実装形態では、例えば、基板のエッジに沿って測定した場合に高い堆積スポットおよび低い堆積スポットの波形状パターンが形成され得る。
本開示は、エッジ貫通穴を有するフェースプレートを有するシャワーヘッドに関する。図10の内方貫通穴1032および外方貫通穴1034に加えて、エッジ貫通穴が、フェースプレート1004に形成され得る。エッジ貫通穴は、基板自体の外側に配置され得る、すなわちエッジ貫通穴は、基板のエッジを越えて延在するようにフェースプレート1004の中心から径方向に配置され得る。エッジ貫通穴は、基板のエッジにおける流量および流れ方向に関してより高い流れ均一性を実現することが可能であり、それにより方位角的膜非均一性が改善され得る。いくつかの実装形態では、方位角的膜非均一性は、約0.5%未満となり得る。
先に論じたように、シャワーヘッドは、約0.04インチ未満の直径を有する貫通穴を有するフェースプレートを備えることが可能である。いくつかの実装形態では、シャワーヘッドは、多孔バッフルを有する低容積シャワーヘッドを備えることが可能であり、低容積シャワーヘッドは、約0.04インチ以上または約0.04インチ未満の直径を有する貫通穴を有するフェースプレートを有することが可能である。フェースプレートがエッジ貫通穴をさらに備える実装形態では、より空間的に均一な流れが、基板のエッジにて実現され、それにより基板のエッジにおける堆積される膜の非均一性が改善され得る。
図14Aは、複数の中央貫通穴および複数のエッジ貫通穴を有する例示的フェースプレートの底面図を示す。フェースプレート1404は、半導体処理装置で使用するためのシャワーヘッドの一部であることが可能である。シャワーヘッドは、第1の表面および第1の表面に対向する第2の表面を有するプレナム区域を備えることが可能であり、第1の表面および第2の表面は、シャワーヘッドのプレナム区域を少なくとも部分的に画定する。シャワーヘッドは、1つまたは複数のガス入口の近傍に配置されたバッフルをさらに備えることが可能である。いくつかの実装形態では、バッフルは、プレナム区域と1つまたは複数のガス入口との間の領域に配置され得る。いくつかの実装形態では、バッフルは、複数のバッフル貫通穴を備えることが可能である。いくつかの実装形態では、シャワーヘッドは、上述のような低容積シャワーヘッドなどの低容積シャワーヘッドであることが可能である。いくつかの実装形態では、フェースプレート1404は、複数のフェースプレート貫通穴1432、1438を備えることが可能であり、これらの複数のフェースプレート貫通穴1432、1438は、フェースプレート1404の第1の側部から第2の側部まで延在する。フェースプレート1404の第1の側部は、プレナム区域の第1の表面を画定することが可能である。いくつかの実装形態では、各フェースプレート貫通穴1432、1438が、約0.04インチ未満の直径を有することが可能である。いくつかの実装形態では、各フェースプレート貫通穴1432、1438が、0.04インチ以上の直径を有することが可能である。
フェースプレート貫通穴は、中央貫通穴1432およびエッジ貫通穴1438を備えることが可能である。中央貫通穴1432は、処理されることとなる基板のサイズまで延在するフェースプレート貫通穴を備える。例えば、中央貫通穴1432は、図10では内方貫通穴1032および外方貫通穴1034を備える。エッジ貫通穴1438は、中央貫通穴1432を囲み、フェースプレート1404のエッジの最も近い貫通穴セットに相当するように配置される。いくつかの実装形態では、エッジ貫通穴1438は、フェースプレート1404の外周領域の周囲にリング1437に沿って周方向に配置される。
いくつかの実装形態では、エッジ貫通穴1438は、中央貫通穴1432の六角形状パターンまたは三角形状パターンの延長部として配置され得る。したがって、リング1437に沿ったエッジ貫通穴1438の空間分布は、不均一となり得る。いくつかの実装形態では、エッジ貫通穴1438は、同心状穴パターンにしたがって配置されてもよい。したがって、リング1437に沿ったエッジ貫通穴1438の空間分布は、均一となり得る。
半導体処理ステーションおよびシャワーヘッドなどのその付帯的構成要素は、特定サイズの基板を処理するように構成されてもよい。例えば、半導体処理ステーションは、200mm、300mm、450mm等の直径を有する基板を処理するように構成されてもよい。フェースプレート1404の直径は、構成されたシャワーヘッドの使用対象となる基板の直径に対応してもよい。同様に、中央貫通穴1432の配置は、構成されたシャワーヘッドの使用対象となる基板の直径まで延在してもよい。例えば、処理されることとなる基板の直径が300mmである場合には、中央貫通穴1432の配置の直径は、299mmなど、300mm以下であってもよい。しかし、エッジ貫通穴1438が配置されるリング1437の直径は、構成されたシャワーヘッドの使用対象となる基板の直径よりも大きい。例えば、処理されることとなる基板の直径が300mmである場合には、リング1437の直径は、303mmなど、300mm超であるが可能である。
エッジ貫通穴1438は、基板のエッジにおける流れ均一性を上昇させるように選択された個数、位置、配置、および/または間隔を有して工学設計され得る。いくつかの実装形態では、より多数のエッジ貫通穴1438を有することにより、基板のエッジにおける流れ均一性を上昇させることが可能である。例えば、エッジ貫通穴1438の個数は、50個超の貫通穴、75個超の貫通穴、または100個超の貫通穴であることが可能である。さらに、構成されたシャワーヘッドの使用対象となる基板の直径を越えて配置されるエッジ貫通穴1438を有することにより、基板のエッジにおける流れ均一性を上昇させることが可能である。さらに、基板のエッジにおける流れ均一性は、六角形状配置、三角形状配置、または同心配置にしたがって空間的に分布するエッジ貫通穴1438同士の間の間隔をより狭めることにより上昇させることが可能である。
図14Bは、複数の中央貫通穴、第1のリングに沿った複数のエッジ貫通穴、および第2のリングに沿った複数のエッジ貫通穴を有する例示的フェースプレートの底面図を示す。いくつかの実装形態では、基板のエッジにおける流れ均一性は、追加の貫通穴リングにより上昇させることが可能である。図14Bでは、フェースプレート1454が、半導体処理装置で使用するためのシャワーヘッドの一部であることが可能である。フェースプレート1454は、複数のフェースプレート貫通穴を備えることが可能であり、フェースプレート貫通穴は、中央貫通穴1482およびエッジ貫通穴1488を備える。エッジ貫通穴1488は、第1のリング1487aに沿っておよび第2のリング1487bに沿って中央貫通穴1482を囲む。
複数の第1のエッジ貫通穴1488aは、第1のリング1487aに沿って周方向に配置され、複数の第2のエッジ貫通穴1488bは、第2のリング1487bに沿って周方向に配置される。エッジ貫通穴1488が配置される第1のリング1487aおよび第2のリング1487bの両直径は、構成されたシャワーヘッドの使用対象となる基板の直径よりも大きい。第2のリング1487bの直径は、第1のリング1487aの直径よりも大きい。例えば、処理されることとなる基板の直径が300mmである場合には、第1のリング1487aの直径は、303mmなど、300mm超であることが可能であり、第2のリング1487bの直径は、312mmなど、310mm超であることが可能である。エッジ貫通穴1488は、基板のエッジにおける流れ均一性を上昇させるように選択された個数、位置、配置、および/または間隔にしたがって第1のリング1487aおよび第2のリング1487bに沿って工学設計され得る。いくつかの実装形態では、第1のエッジ貫通穴1488aの個数は、50個超の貫通穴、100個超の貫通穴、または100個超の貫通穴であることが可能であり、第2のエッジ貫通穴1488bの個数は、100個超の貫通穴、125個超の貫通穴、または150個超の貫通穴であることが可能である。いくつかの実装形態では、エッジ貫通穴1488は、六角形状配置、三角形状配置、または同心状配置にしたがって空間的に分布され得る。
図14Cは、中央貫通穴および角度をつけて傾斜された1つまたは複数のエッジ貫通穴を有する例示的フェースプレートの拡大等角断面図を示す。いくつかの実装形態では、1つまたは複数のエッジ貫通穴が、フェースプレートの第1の側部から第2の側部まで角度をつけて傾斜され得る。図14Cでは、シャワーヘッドが、プレナム区域1430およびフェースプレート1464を備える。フェースプレート1464は、複数の中央貫通穴1492と、中央貫通穴1492を囲む複数のエッジ貫通穴1498とを備える。1つまたは複数のエッジ貫通穴1498は、フェースプレート1464の第1の側部1464aから第2の側部1464bまで角度をつけて傾斜されてもよく、第1の側部1464aは、プレナム区域1430の表面を画定する。この角度は、プレナム区域の表面を画定する軸から測定され得る。いくつかの実装形態では、この角度は、フェースプレート1464の第1の側部1464aから第2の側部1464bにかけて約90度未満であるか、またはフェースプレート1464の第1の側部1464aから第2の側部1464bにかけて約75度未満であることが可能である。
いくつかの実装形態では、1つまたは複数の傾斜エッジ貫通穴1498は、図14Aに示すものなどの単一の貫通穴リングの一部であってもよい。中央貫通穴1492は、傾斜されない。いくつかの実装形態では、1つまたは複数の傾斜エッジ貫通穴1498は、図14Bに示されるものなどの複数の貫通穴リングの最終リングの一部であってもよい。したがって、第1のリングに沿って周方向に配置されたエッジ貫通穴などの、中央貫通穴1492およびエッジ貫通穴1498の一部は、傾斜されなくてもよい。
1つまたは複数の傾斜エッジ貫通穴1498は、基板のエッジにおける流れ均一性を上昇させることが可能である。いくつかの実装形態では、プレナム区域1430の最外エッジは、構成されたシャワーヘッドの使用対象となる基板のエッジを越えて延在しない。換言すれば、フェースプレート1464の第1の側部1464aにより画定されるプレナム区域1430の表面の直径は、基板の直径よりも大きくない。1つまたは複数のエッジ貫通穴1498が、角度を有してフェースプレート1464に形成される場合には、この角度は、基板のエッジを越えて延在するフェースプレート1464の第2の側部1464bに1つまたは複数のエッジ貫通穴1498を形成し得る。だいたいてきには、プレナム区域1430は、構成されたシャワーヘッドの使用対象となる基板のエッジを越えてもよいが、大きくは越えないかまたは遠方まで十分には越えない。角度をつけて形成された1つまたは複数のエッジ貫通穴1498は、基板のエッジをさらに遠くに越えて延在するフェースプレート1464の第2の側部1464bにおいて1つまたは複数のエッジ貫通穴を形成することが可能である。ガス流がフェースプレート1464から退出する場合に、これは、基板のエッジにおける流れ均一性の上昇をもたらし得る。
いかなる理論にも限定されることなく、この角度は、フェースプレート1464から出るガスの速度に影響を与えることによって基板のエッジにおける流れ均一性を上昇させることが可能である。第1に、この角度は、フェースプレート1464から出るガスの速度を低下させることが可能である。第2に、この角度は、水平成分方向においてより多くの流れを増加させることが可能であり、これは、基板のエッジにおける流れ均一性をさらに改善させ得る。
図15Aは、中央貫通穴を有する例示的フェースプレートと、中央貫通穴およびエッジ貫通穴を有する例示的フェースプレートとを比較した側方断面図を示す。第1のフェースプレート1504が、中央貫通穴1532を備え、第2のフェースプレート1554が、中央貫通穴1532およびエッジ貫通穴1584、1586を備える。図15Bは、図15Aの2つの例示的フェースプレートを対比する拡大部分側断面図を示す。図15Cは、図15Aの2つの例示的フェースプレートを対比する等角部分等角断面図を示す。図15A~図15Cでは、シャワーヘッド1500が、バックプレート1502およびフェースプレート1504/1554を備えることが可能であり、バックプレート1502およびフェースプレート1504/1554は、相互に対向側に配置され得る。プレナム区域1530/1580が、バックプレート1502とフェースプレート1504/1554との間に画定されてもよく、プレナム区域1530/1580は、第1の表面および第1の表面に対向する第2の表面を有することが可能であり、第1の表面および第2の表面は、プレナム区域1530/1580を少なくとも部分的に画定する。いくつかの実装形態では、プレナム区域1530/1580の第1の表面および第2の表面は、周方向表面を有することが可能である。
プレナム区域1530/1580は、プレナム区域1530/1580と連通する1つまたは複数のガス入口1520を介して反応ガスまたはパージガスなどのガスを供給され得る。図15Aの1つまたは複数のガス入口1520は、ステム1522を備えることが可能であり、ステム1522は、ステム1522を貫通して延在するチューブ1524を備えることが可能である。また、シャワーヘッド1500は、1つまたは複数のガス入口1520の近傍に配置されたバッフル1510を備えることが可能である。いくつかの実装形態では、バッフル1510は、プレナム区域1530/1580と1つまたは複数のガス入口1520との間の領域に配置され得る。バッフル1510は、多孔性または非多孔性であることが可能であり、バッフル1510は、プレナム区域1530中にわたり外方へとおよびフェースプレート1504/1554に向かってガス流を送るように配置され得る。バッフル1510は、フェースプレート1504/1554の中央を通過して噴出するガス流を軽減させることが可能である。
図15A~図15Cは、第1のフェースプレート1504の設計と第2のフェースプレート1554の設計とを、およびフェースプレート1504により画定された第1のプレナム区域1530と第2のフェースプレート1554により画定された第2のプレナム区域1580とを比較したものである。第1のフェースプレート1504および第2のフェースプレート1554はそれぞれ、第1の側から第2の側まで延在する複数の中央貫通穴1532を備える。中央貫通穴1532は、基板へのガスの供給を容易にするためのガス分配穴または貫通穴としての役割を果たし得る。いくつかの実装形態では、中央貫通穴1532は、約0.04インチ未満の直径をそれぞれ有することが可能である。いくつかの実装形態では、中央貫通穴1532は、0.04インチ以上の直径をそれぞれ有することが可能である。
追加の貫通穴に対応するために、第1のフェースプレート1504により画定された第1のプレナム区域1530の体積は、第2のフェースプレート1554により画定された第2のプレナム区域1580により図示され得るより大きな体積を形成するように拡張され得る。第2のプレナム区域1580および第1のプレナム区域1530は、それぞれ円筒状であることが可能であり、第2のプレナム区域1580の直径は、第1のプレナム区域1530の直径よりも大きい。いくつかの実装形態では、第2のプレナム区域1580の直径は、第1のプレナム区域1530の直径に対して距離Dだけさらに大きいことが可能である。拡張された体積は、追加の貫通穴1584、1586が第2のフェースプレート1554に形成されるためのより多くの余地を提供し得る。
追加の貫通穴1584、1586は、第2のフェースプレート1554中に形成されて、第2のフェースプレート1554の第1の側から第2の側まで延在してもよい。いくつかの実装形態では、追加の貫通穴1584、1586は、約0.04インチ以上の直径をそれぞれ有することが可能である。いくつかの実装形態では、追加の貫通穴1584、1586は、0.04インチ以上の直径をそれぞれ有することが可能である。追加の貫通穴1584、1586と共に、貫通穴1532のみを有する第1のフェースプレート1504に比べてより多数の貫通穴が第2のフェースプレートのエッジの近傍に設けられる。いくつかの実装形態では、追加の貫通穴は、第1のリングに沿って形成された複数の第1の貫通穴1584と、第2のリングに沿って形成された複数の第2の貫通穴1586とを備えることが可能である。第1の貫通穴1584および/または第2の貫通穴1586は、処理されることとなる基板のエッジにおいてより高い流れ均一性を実現することが可能である。いくつかの実装形態では、第1のリングおよび第2のリングの各直径は、処理されることとなる基板の直径よりも大きいことが可能である。
いくつかの実装形態では、エッジ貫通穴を有するシャワーヘッドは、半導体プロセス・チャンバまたは半導体プロセス・ステーションに設けられ得る。プロセス・チャンバが、チャンバ・ハウジングの頂部に取り付けられたシャワーヘッドを備えることが可能である。基板支持体が、プロセス・チャンバ内およびシャワーヘッドの下方に半導体基板を支持してもよい。微小区域が、基板支持体とシャワーヘッドとの間に形成され得る。この微小区域は、基板反応エリアとして機能し、処理中に半導体基板の近傍にプロセス・ガスを集中させ保持するのを補助し得る。基板支持体は、ロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。いくつかの実装形態では、シャワーヘッドは、ステムによりプロセス・チャンバの蓋から懸吊されてもよく、それ自体がプロセス・チャンバの「蓋」の一部を形成しなくてもよい。かかる実装形態では、シャワーヘッドは、基板のロード動作およびアンロード動作を容易にするために上下に移動するように構成されてもよい。半導体プロセス・ステーションは、図16に関連して以下でさらに詳細に説明されるコントローラをさらに備えてもよく、このコントローラは、1つまたは複数の動作を実行するための命令で設定される。これらの1つまたは複数の動作は、ALDの実施に関連付けられた動作を含むことが可能である。例えば、コントローラは、(1)半導体処理ステーション内に基板を供給するための命令と、(2)基板の表面上に吸着されるようにシャワーヘッドを介して半導体処理ステーション内に反応ガスを導入するための命令と、(3)シャワーヘッドを介して半導体処理ステーション内にパージガスを導入するための命令と、(4)基板表面上に吸着された反応ガスから薄膜層を形成するためにプラズマを印加するための命令を用いて設定され得る。いくつかの実装形態では、薄膜層の形成は、前述のシャワーヘッドによりALDサイクルにおいて約1.5秒未満で実施され得る。
いくつかの実装形態では、1つまたは複数のプロセス・チャンバが、マルチステーション半導体処理ツール内のプロセス・ステーションとして用意されてもよい。いくつかの実装形態では、単一のプロセス・チャンバが、複数の処理ステーションを備えてもよく、これらの処理ステーションの一部または全てが、固有のシャワーヘッド・アセンブリを有してもよい。マルチステーション半導体処理ツールのさらに詳細な説明は、図16に関連して以下に示される。
図16は、多孔バッフル、小径のフェースプレート貫通穴、および/またはエッジ貫通穴を有する低容積シャワーヘッドを備え得るマルチステーション処理ツールの概略図を示す。マルチステーション処理ツール1600は、入口ロード・ロック1602および出口ロード・ロック1604を備えてもよい。ロボット1606が、大気圧下において、ポッド1608を通してロードされたカセットから大気ポート1616通り入口ロード・ロック1602内に基板を移動させるよう構成され得る。基板は、ロボット1606によって入口ロード・ロック1602内のペデスタル1612上に配置され、大気ポート1616は、閉じられ、その後ロード・ロックは、ポンプ排気され得る。入口ロード・ロック1602が遠隔プラズマ源を備える場合には、基板は、処理チャンバ1614に導入される前にロード・ロック内で遠隔プラズマ処理を受けてもよい。さらに、基板は、例えば水分および吸着ガスを除去するためなどに入口ロード・ロック1602内で加熱されてもよい。次に、処理チャンバ1614へのチャンバ輸送ポート1616が開かれ、別のロボット(図示せず)が、処理のために処理チャンバ1614内へと、反応室内に図示される第1のステーションのペデスタル上に配置し得る。図16に示す実装形態は、ロード・ロックを備えるが、いくつかの実装形態では基板が処理ステーション内に直接進入してもよいことが理解されよう。
図示する処理チャンバ1614は、図16に示す実装形態では1から4までの番号を付した4つのプロセス・ステーションを備える。各ステーションは、加熱ペデスタルまたは非加熱ペデスタル(ステーション1について1618で示される)と、ガス・ライン入口とを有してもよい。いくつかの実装形態では、各プロセス・ステーションが、異なるまたは複数の目的を有し得ることが理解されよう。例えば、いくつかの実装形態では、プロセス・ステーションが、ALDプロセス・モードとプラズマ化学気相堆積(PECVD)プロセス・モードの間で切替え可能であってもよい。追加的にまたは代替的に、いくつかの実装形態では、プロセス・チャンバ1614は、1つまたは複数の対応対のALDプロセス・ステーションおよびPECVDプロセス・ステーションを備えてもよい。図示するプロセス・チャンバ1614は、4つのステーションを備えるが、本開示によるプロセス・チャンバは、任意の適切な数のステーションを有してもよいことがわかる。例えば、いくつかの実装形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実装形態では、プロセス・チャンバは、3つ以下のステーションを有してもよい。
各ステーションは、対応するステーションにて基板にプロセス・ガスを供給する別個のシャワーヘッド・アセンブリを備えてもよい。いくつかの実装形態では、これらのシャワーヘッドの一部または全てが、本明細書に記載されるような多孔バッフル、小径のフェースプレート貫通穴、および/またはエッジ貫通穴を有する低容積シャワーヘッドを使用してもよい。例えば、ステーションが、ALD処理または本明細書に記載の装置の使用により恩恵を被り得る他の処理を基板に対して実施する場合に、そのステーションのシャワーヘッドは、本明細書に記載されるような多孔バッフル、小径のフェースプレート貫通穴、および/またはエッジ貫通穴を有する低容積シャワーヘッドであってもよい。
また、図16は、プロセス・チャンバ1614内で基板を移送するための基板ハンドリング・システム1690を示す。いくつかの実装形態では、基板ハンドリング・システム1690は、様々なプロセス・ステーションの間および/またはプロセス・ステーションとロード・ロックとの間で基板を移送し得る。任意の適切な基板ハンドリング・システムが使用されてもよいことが理解されよう。非限定的な例としては、基板カルーセルおよび基板ハンドリングロボットが含まれる。また、図16は、プロセス・ツール1600のプロセス条件およびハードウェア状態を制御するために使用されるシステム・コントローラ1650を示す。システム・コントローラ1650は、1つまたは複数のメモリ・デバイス1656と、1つまたは複数のマス・ストレージ・デバイス1654と、1つまたは複数のプロセッサ1652とを備えてもよい。プロセッサ1652は、CPUまたはコンピュータ、アナログ入出力接続部および/またはデジタル入出力接続部、ステップ・モータ・コントローラ基板等を備えてもよい。
いくつかの実装形態では、コントローラ1650は、システムの一部であり、このシステムは、上述の例の一部であってもよい。かかるシステムは、処理ツール、チャンバ、処理用のプラットフォーム、および/または特定の処理構成要素(ウェハ・ペデスタル、ガス流システム等)を含む半導体処理装置を備えることが可能である。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後にシステムの動作を制御するための電子機器と一体化されてもよい。これらの電子機器は、「コントローラ」と呼ばれる場合があり、これはシステムの様々な構成要素またはサブパーツを制御し得る。コントローラ1650は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流れ供給設定、位置および動作設定、ツールならびに特定のシステムと接続または結合されたツールおよび他の移送ツールおよび/またはロード・ロックの内外へのウェハ移送を含む、本明細書に開示される任意のプロセスを制御するようプログラミングされてもよい。
概して、コントローラ1650は、命令を受領する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にする等を行う様々な集積回路、論理回路、メモリおよび/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/またはプログラム命令(例えばソフトウェア)を実行する1つまたは複数のマイクロプロセッサもしくはマイクロコントローラを備えてもよい。プログラム命令は、半導体基板に対するもしくは半導体基板のための特定のプロセスを実行するための動作パラメータを定義する様々な個々の設定(またはプログラムファイル)の形態でコントローラに、またはシステムに通信され得る。いくつかの実装形態では、動作パラメータは、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または基板のダイの製造中に1つまたは複数の処理ステップを遂行するためにプロセス・エンジニアにより定義されるレシピの一部であってもよい。
いくつかの実装形態では、コントローラ1650は、システムと一体化されるか、システムに結合されるか、他の方法でシステムとネットワーク化されるか、もしくはそれらの組合せを被るコンピュータの一部であってもよく、またはかかるコンピュータに結合されてもよい。例えば、コントローラ1650は、「クラウド」内に位置してもよく、または基板処理のリモート・アクセスを可能にし得るファブ・ホスト・コンピュータ・システムの全てもしくは一部であってもよい。コンピュータは、製造動作の現在の進捗をモニタリングするために、過去の製造動作の履歴を診断するために、複数の製造動作からの傾向または性能指標を診断するために、現在の処理のパラメータを変更するために、現在の処理に続く処理ステップを設定するために、または新規プロセスを開始するためにシステムへのリモート・アクセスを可能にし得る。いくつかの例では、リモート・コンピュータ(例えばサーバ)が、ローカル・ネットワークまたはインターネットを含み得るネットワークを介してシステムにプロセス・レシピを提供することが可能である。リモート・コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザ・インターフェースを備えてもよく、これらのパラメータおよび/または設定は、リモート・コンピュータからシステムに通信される。いくつかの例では、コントローラは、データの形式で命令を受領し、これらの命令は、1つまたは複数の動作中に実施されるべき各処理ステップのためのパラメータを指定する。パラメータは、実施されることとなるプロセスのタイプと、構成されたコントローラ1650が接続されるまたは制御する対象となるツールのタイプとに特定のものであってもよい点を理解されたい。したがって、上述のように、コントローラ1650は、共にネットワーク化され、本明細書に記載される処理および制御などの共通の目的に向けて動作する1つまたは複数の別個のコントローラを備えることなどによって分散されてもよい。かかる目的のための分散コントローラ1650の一例は、チャンバでのプロセスを制御するために組み合わされるリモート配置された(プラットフォームレベルに位置するかまたはリモート・コンピュータの一部としてのなど)1つまたは複数の集積回路と通信状態にあるチャンバ上の1つまたは複数の集積回路である。
限定するものではないが、例のシステムとしては、プラズマ・エッチング・チャンバまたはプラズマ・エッチング・モジュール、堆積チャンバまたは堆積モジュール、スピンリンス・チャンバまたはスピンリンス・モジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベル・エッジ・エッチング・チャンバまたはベベル・エッジ・エッチング・モジュール、物理気相堆積(PVD)チャンバまたは物理気相堆積モジュール、化学気相堆積(CVD)チャンバまたは化学気相堆積モジュール、原子層堆積(ALD)チャンバまたは原子層堆積モジュール、原子層エッチング(ALE)チャンバまたは原子層エッチング・モジュール、イオン注入チャンバまたはイオン注入モジュール、トラック・チャンバまたはトラック・モジュール、ならびに半導体基板の組立および/または製造に関連し得るもしくは利用され得る任意のその他の半導体処理システムが含まれ得る。
上記のように、ツールにより実施されることとなるプロセス・ステップに応じて、コントローラ1650は、他のツール回路またはツールモジュール、他のツール構成要素、クラスタ・ツール、他のツール・インターフェース、隣接するツール、付近のツール、工場中にわたり位置するツール、メイン・コンピュータ、別のコントローラ、あるいは半導体製造工場内のツール位置および/またはロード・ポートに向かってもしくはロード・ポートから基板のコンテナを搬送する材料輸送で使用されるツールの中の1つまたは複数と通信してもよい。
いくつかの実装形態では、システム・コントローラ1650は、処理ツール1600のアクティビティの全てを制御する。システム・コントローラ1650は、マス・ストレージ・デバイス1654に格納され、メモリ・デバイス1656にロードされ、プロセッサ1652で実行されるシステム制御ソフトウェア1658を実行する。システム制御ソフトウェア1658は、タイミング、ガスの混合、チャンバおよび/またはステーションの圧力、チャンバおよび/またはステーションの温度、基板温度、目標電力レベル、RF電力レベル、基板ペデスタル、チャックおよび/またはサセプタの位置、ならびにプロセス・ツール1600により実行される特定のプロセスの他のパラメータを制御するための命令を備え得る。システム制御ソフトウェア1658は、任意の適切な方法で構成されてもよい。例えば、様々なプロセス・ツール構成要素サブルーチンまたは制御オブジェクトが、様々なプロセス・ツールのプロセスを実行するために必要なプロセス・ツール構成要素の動作を制御するために書き込まれてもよい。システム制御ソフトウェア1658は、任意の適切なコンピュータ可読プログラム言語で符号化されてもよい。
いくつかの実装形態では、システム制御ソフトウェア1658は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を備えてもよい。例えば、ALDプロセスの各段階が、システム・コントローラ1650による実行のための1つまたは複数の命令を備えてもよい。ALDプロセス段階のためのプロセス条件を設定するための命令が、対応するALDレシピ段階に含まれてもよい。いくつかの実装形態では、複数のシャワーヘッドがある場合には、これらのシャワーヘッドは、別個の並行プロセス動作の実施を可能にするために独立的に制御されてもよい。
いくつかの実装形態では、システム・コントローラ1650に関連付けられたマス・ストレージ・デバイス1654および/またはメモリ・デバイス1656に格納された他のコンピュータ・ソフトウェアおよび/またはプログラムが使用されてもよい。これを目的とするプログラムまたはプログラム・セクションの例としては、基板配置プログラム、プロセス・ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムが含まれる。
基板配置プログラムは、ペデスタル1618上に基板をロードし、基板と処理ツール1600の他のパーツとの間の間隔を制御するために使用されるプロセス・ツール構成要素のためのプログラムコードを備えてもよい。
プロセス・ガス制御プログラムは、ガス組成および流量を制御するための、ならびに任意にはプロセス・ステーション内の圧力を安定させるために堆積前に1つまたは複数のプロセス・ステーション内にガスを流すためのコードを備えてもよい。圧力制御プログラムは、例えばプロセス・ステーションの排気システムの絞り弁またはプロセス・ステーションへのガス流などを調節することによってプロセス・ステーション内の圧力を制御するためのコードを備えてもよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを備えてもよい。代替的には、ヒータ制御プログラムは、基板への熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、1つまたは複数のプロセス・ステーション内の処理電極に印加されるRF電力レベルを設定するためのコードを備えてもよい。プラズマ制御プログラムは、適切な状況では、外部プラズマ発生器を制御するためのおよび/またはプラズマ発生器もしくはラジカル源区域にプロセス・ガスを供給するのに必要な弁調整を行うためのコードを備えてもよい。
いくつかの実装形態では、システム・コントローラ1650に関連付けられているユーザ・インターフェースが存在してもよい。ユーザ・インターフェースは、ディスプレイ画面、装置条件および/または処理条件のグラフィカル・ソフトウェア・ディスプレイ、ならびにポインティング・デバイス、キーボード、タッチ・スクリーン、マイクロフォン等のユーザ入力デバイスを備えてもよい。
いくつかの実装形態では、システム・コントローラ1650により調節されるパラメータは、プロセス条件に関するものであってもよい。非限定的な例としては、プロセス・ガス成分およびプロセス・ガス流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)、圧力、温度等が含まれる。これらのパラメータは、ユーザ・インターフェースを使用して入力され得るレシピの形態でユーザに提供されてもよい。
プロセスをモニタリングするための信号が、様々なプロセス・ツール・センサからシステム・コントローラ1650のアナログおよび/またはデジタル入力接続部によって提供されてもよい。プロセスを制御するための信号は、処理ツール1600のアナログおよびデジタル出力接続部で出力されてもよい。モニタリングされ得るプロセス・ツール・センサの非限定的な例としては、マス・フロー・コントローラ、圧力センサ(圧力計など)、熱電対等が含まれる。適切にプログラムされたフィードバック・アルゴリズムおよび制御アルゴリズムが、プロセス条件を維持するためにこれらのセンサからのデータと共に使用されてもよい。
システム・コントローラ1650は、様々な半導体製造プロセスを実行するためのプログラム命令を提供してもよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度等の様々なプロセス・パラメータを制御してもよい。これらの命令は、膜スタックのin-situ堆積を操作するためのパラメータを制御し得る。
典型的には、システム・コントローラが、1つまたは複数のメモリ・デバイスと、本発明による方法を装置が実行するように命令を実行するよう構成された1つまたは複数のプロセッサとを備え得る。本発明によるプロセス動作を制御するための命令を含むマシン可読媒体が、システム・コントローラに結合されてもよい。
図16に示す半導体処理ツールは、単一の4ステーション・プロセス・チャンバまたは4ステーション・プロセス・モジュールを示すが、他の実装形態の半導体処理ツールは、単一のステーションまたは複数のステーションをそれぞれが有する複数のモジュールを備えてもよい。かかるモジュールは、互いに相互接続されてもよく、および/またはモジュール間における基板の移動を容易にし得る1つまたは複数の移送チャンバの周囲に配置されてもよい。かかるマルチモジュール半導体処理ツールにより提供されるステーションの中の1つまたは複数が、必要に応じて、本明細書に記載されるような多孔バッフル、小径のフェースプレート貫通穴、および/またはエッジ貫通穴を備えた低容積シャワーヘッドを備えてもよい。
一般的には、本明細書に記載されるような多孔バッフル、小径のフェースプレート貫通穴、および/またはエッジ貫通穴を備える低容積シャワーヘッドは、反応チャンバ内において1つまたは複数の半導体基板を支持するよう構成された半導体支持体の上方に取り付けられてもよい。また、例えば、低容積シャワーヘッドは、反応チャンバの蓋または蓋の一部として機能してもよい。別の実装形態では、低容積シャワーヘッドは、「シャンデリア」型シャワーヘッドであってよく、ステムまたはその他の支持構造体により反応チャンバの蓋から懸吊されてもよい。
上述の装置/プロセスは、例えば半導体デバイス、ディスプレイ、LED、および光起電力パネル等の組立または製造のためになど、ステッパなどのリソグラフィ・パターニング・ツールまたはリソグラフィ・パターニング・プロセスとの組合せで利用されてもよい。典型的には、必須ではないが、かかるツール/プロセスは、共通の製造施設で共に利用または実行される。典型的には、膜のリソグラフィ・パターニングは、以下のステップ、すなわち(1)スピンオン・ツールまたはスプレーオン・ツールを使用して工作物すなわちウェハ上にフォトレジストを塗布するステップと、(2)ホット・プレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化させるステップと、(3)ウェハ・ステッパなどのツールで可視光またはUVまたはX線にフォトレジストを露光するステップと、(4)ウェット・ベンチなどのツールを使用してレジストを選択的に除去しそれによりパターニングするためにレジストを現像するステップと、(5)ドライ・エッチング・ツールまたはプラズマ支援エッチング・ツールを使用して下層膜または工作物にレジスト・パターンを転写するステップと、(6)RFプラズマ・レジスト・ストリッパまたはマイクロ波プラズマ・レジスト・ストリッパなどのツールを使用してレジストを除去するステップとの一部または全てを含み、各ステップは、複数の可能なツールにより可能となる。
以上、明瞭化および理解を促すために幾分か詳細に説明したが、特定の変更および修正が添付の特許請求の範囲内で実施されてもよいことが理解されよう。説明したプロセス、システム、および装置を実施する多数の代替的な方法が存在する点に留意されたい。したがって、記載した実施形態は、例示としておよび非限定的なものとして見なされるべきである。

Claims (20)

  1. 半導体処理装置において使用するためのシャワーヘッドであって
    内側直径と外側直径とを有するステムと、
    複数のフェースプレート貫通穴を備えるフェースプレートと、前記複数のフェースプレート貫通穴は前記フェースプレートの第1の側から第2の側まで延在し、前記複数のフェースプレート貫通穴の少なくともいくつかは0.04インチ(1.016mm)以下の直径を有し、
    前記フェースプレートに対向するバックプレートであって、前記フェースプレートとの間にプレナム区画と画定するバックプレートと、前記ステムは前記バックプレートの中央領域に接続されており、前記バックプレートの少なくとも一部は径方向外側に向かって前記プレナム区画の厚みが減少するように傾斜しており、
    前記プレナム区画に配置され、前記ステムから流れてきた処理ガスを前記プレナム区画内に分配するバッフルと
    を備える、シャワーヘッド。
  2. 請求項1に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の少なくともいくつかは、前記フェースプレートの主面全体にわたって六角形状配置に空間的に分布されている、シャワーヘッド。
  3. 請求項1に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の少なくともいくつかは、前記フェースプレートの主面全体にわたって三角形状配置に空間的に分布されたている、シャワーヘッド。
  4. 請求項1に記載のシャワーヘッドであって、
    前記バッフルは、前記ステムの内側直径よりも大きな直径を有する、シャワーヘッド。
  5. 請求項1に記載のシャワーヘッドであって、
    前記バッフルは、複数のバッフル貫通穴を備える、シャワーヘッド。
  6. 請求項5に記載のシャワーヘッドであって、
    前記バッフルの多孔率は、5~25%である、シャワーヘッド。
  7. 請求項1に記載のシャワーヘッドであって
    記バッフルは、前記ステムの下方に中心を置く、シャワーヘッド。
  8. 請求項に記載のシャワーヘッドであって、
    前記フェースプレートの直径は、前記バッフルの直径の4倍よりも大きい、シャワーヘッド。
  9. 請求項1に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の前記少なくともいくつかの前記直径は、0.01インチ(0.254mm)~0.03インチである、シャワーヘッド。
  10. 請求項1に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の前記少なくともいくつかの前記直径は、0.04インチに等しい、シャワーヘッド。
  11. 請求項1から10のいずれか一項に記載のシャワーヘッドであって、
    フェースプレート貫通穴の個数は、300個~6000個である、シャワーヘッド。
  12. 請求項1から10のいずれか一項に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の前記直径は、前記フェースプレートから出るガス流の空間的均一性を上昇させるように構成されている、シャワーヘッド。
  13. 請求項1から10のいずれか一項に記載のシャワーヘッドであって、
    前記複数のフェースプレート貫通穴の前記直径は、前記フェースプレートの外部から前記プレナム区内に進むプラズマの逆流を軽減するように構成されている、シャワーヘッド。
  14. 請求項1から10のいずれか一項に記載の前記シャワーヘッドを備える半導体処理ステーション。
  15. 半導体処理装置において使用するためのシャワーヘッドであって
    内側直径と外側直径とを有するステムと、
    複数のフェースプレート貫通穴を備えるフェースプレートと、前記複数のフェースプレート貫通穴は前記フェースプレートの第1の側から第2の側まで延在し、前記複数のフェースプレート貫通穴は複数の中央貫通穴および前記複数の中央貫通穴の周りの複数のエッジ貫通穴を含み、前記複数のエッジ貫通穴は、前記フェースプレートの前記第1の側から前記第2の側にある角度で傾斜されており、
    前記フェースプレートに対向するバックプレートであって、前記フェースプレートとの間にプレナム区画を画定するバックプレートと、前記ステムは、前記バックプレートの中央領域に接続され、前記プレナム区画と流体連通しており、
    前記プレナム区画に配置され、前記ステムから流れてきた処理ガスを前記プレナム区画内に分配するバッフルと
    を備える、シャワーヘッド。
  16. 請求項15に記載のシャワーヘッドであって、
    前記複数のエッジ貫通穴は、300mm超の直径を有する貫通穴リングに沿って前記フェースプレートの前記第2の側において周方向に配置される、シャワーヘッド。
  17. 請求項15に記載のシャワーヘッドであって、
    前記複数のエッジ貫通穴は、前記フェースプレートの前記第1の側から前記第2の側にかけて90度未満の角度で傾斜される、シャワーヘッド。
  18. 請求項17に記載のシャワーヘッドであって、
    前記複数のエッジ貫通穴は、前記フェースプレートの前記第1の側から第2の側にかけて75度未満の角度で傾斜される、シャワーヘッド。
  19. 請求項15に記載のシャワーヘッドであって、
    前記複数のエッジ貫通穴は、第1のリングおよび前記第1のリングを囲む第2のリングに沿って前記フェースプレートの前記第2の側において周方向に配置される、シャワーヘッド。
  20. 請求項19に記載のシャワーヘッドであって、
    前記第1のリングは、300mm超の直径を有し、前記第2のリングは、310mm超の直径を有する、シャワーヘッド。
JP2021071508A 2015-05-22 2021-04-21 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド Active JP7181337B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022183800A JP7313528B2 (ja) 2015-05-22 2022-11-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562165761P 2015-05-22 2015-05-22
US62/165,761 2015-05-22
US14/850,816 US10378107B2 (en) 2015-05-22 2015-09-10 Low volume showerhead with faceplate holes for improved flow uniformity
US14/850,816 2015-09-10
JP2016098409A JP6912164B2 (ja) 2015-05-22 2016-05-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016098409A Division JP6912164B2 (ja) 2015-05-22 2016-05-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022183800A Division JP7313528B2 (ja) 2015-05-22 2022-11-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Publications (3)

Publication Number Publication Date
JP2021106293A JP2021106293A (ja) 2021-07-26
JP2021106293A5 JP2021106293A5 (ja) 2022-09-30
JP7181337B2 true JP7181337B2 (ja) 2022-11-30

Family

ID=57324324

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016098409A Active JP6912164B2 (ja) 2015-05-22 2016-05-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
JP2021071508A Active JP7181337B2 (ja) 2015-05-22 2021-04-21 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
JP2022183800A Active JP7313528B2 (ja) 2015-05-22 2022-11-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016098409A Active JP6912164B2 (ja) 2015-05-22 2016-05-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022183800A Active JP7313528B2 (ja) 2015-05-22 2022-11-17 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Country Status (6)

Country Link
US (1) US10378107B2 (ja)
JP (3) JP6912164B2 (ja)
KR (3) KR102357417B1 (ja)
CN (1) CN106167895B (ja)
SG (2) SG10202102836YA (ja)
TW (1) TWI713525B (ja)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10083820B2 (en) * 2016-11-14 2018-09-25 Tokyo Electron Limited Dual-frequency surface wave plasma source
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN107516625A (zh) * 2017-07-13 2017-12-26 江苏鲁汶仪器有限公司 一种等离子体刻蚀***的喷淋头
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
KR102474847B1 (ko) * 2018-04-25 2022-12-06 삼성전자주식회사 가스 인젝터 및 웨이퍼 처리 장치
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP2022523541A (ja) * 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition
CN110170433A (zh) * 2019-05-28 2019-08-27 昆山国显光电有限公司 一种真空干燥装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
CN114258436A (zh) 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP2022546404A (ja) * 2019-08-28 2022-11-04 ラム リサーチ コーポレーション 金属の堆積
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US20230044064A1 (en) * 2020-01-06 2023-02-09 Lam Research Corporation Showerhead with faceplate having internal contours
CN111403256B (zh) * 2020-03-24 2022-03-22 北京北方华创微电子装备有限公司 半导体工艺装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
CN113802113A (zh) * 2020-06-13 2021-12-17 拓荆科技股份有限公司 一种改善反应过程中反射功率稳定性的等离子体发生装置
KR20230024401A (ko) * 2020-06-15 2023-02-20 램 리써치 코포레이션 반도체 프로세싱 툴들을 위한 기울어진 가스 분배 통로들 (angled gas distribution passages) 을 갖는 샤워헤드 대면 플레이트들
TWI727839B (zh) * 2020-06-24 2021-05-11 天虹科技股份有限公司 半導體原子層沉積裝置之噴灑頭結構
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
CN112323043A (zh) * 2020-10-30 2021-02-05 泉芯集成电路制造(济南)有限公司 一种气体分配器以及原子层沉积反应设备
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
CN114045471A (zh) * 2021-11-23 2022-02-15 中国电子科技集团公司第五十五研究所 等离子体增强型化学气相淀积设备薄膜均匀性改善装置
CN114098371B (zh) * 2021-12-02 2023-02-28 郑州航空工业管理学院 一种智能化控制的工业设计展示装置
CN114457321B (zh) * 2022-01-21 2023-03-28 深圳市纳设智能装备有限公司 一种进气装置及cvd设备
WO2023177570A1 (en) * 2022-03-15 2023-09-21 Lam Research Corporation Showerhead for substrate processing systems
CN218146933U (zh) * 2022-03-25 2022-12-27 朗姆研究公司 衬底处理***、用于衬底处理***的喷头和喷头组件
WO2024091408A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Cupped baffle plates for showerheads of substrate processing systems

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183029A (ja) 1998-12-17 2000-06-30 Sony Corp ドライアッシング装置
JP2002503765A (ja) 1998-02-13 2002-02-05 アプライド マテリアルズ インコーポレイテッド 化学気相堆積用リアクタ
JP2002069650A (ja) 2000-08-31 2002-03-08 Applied Materials Inc 気相堆積方法及び装置並びに半導体装置の製造方法及び装置
JP2004115837A (ja) 2002-09-24 2004-04-15 Hitachi Cable Ltd Cvd膜の製造方法及びその製造装置
JP2004356587A (ja) 2003-05-30 2004-12-16 Toshio Goto プラズマ処理装置
JP3147392U (ja) 2008-10-15 2008-12-25 ノベルス・システムズ・インコーポレーテッド 温度制御型シャワーヘッド
JP2010153680A (ja) 2008-12-26 2010-07-08 Hitachi High-Technologies Corp プラズマ処理装置
JP2016219803A (ja) 2015-05-22 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (ja) 1962-03-15
GB2112715B (en) 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
JPS6187319A (ja) * 1984-10-05 1986-05-02 Hitachi Ltd プラズマを用いた化学気相成膜装置
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4993485A (en) 1989-09-18 1991-02-19 Gorman Jeremy W Easily disassembled heat exchanger of high efficiency
US5186756A (en) 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
EP0462730A1 (en) 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
JP3147392B2 (ja) 1991-03-04 2001-03-19 宇部サイコン株式会社 熱可塑性樹脂組成物
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5286519A (en) 1991-06-25 1994-02-15 Lsi Logic Corporation Fluid dispersion head
FR2682047B1 (fr) 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5452396A (en) 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
JPH07240404A (ja) * 1994-03-02 1995-09-12 Fujitsu Ltd プラズマ処理装置
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
WO1995026427A1 (de) 1994-03-29 1995-10-05 Schott Glaswerke Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
US5468298A (en) 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
DE69518710T2 (de) * 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
TW331652B (en) 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
KR100319468B1 (ko) * 1995-06-30 2002-04-22 히가시 데쓰로 플라즈마 처리 방법
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP2879887B2 (ja) * 1995-08-24 1999-04-05 東京エレクトロン株式会社 プラズマ処理方法
US5670218A (en) 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
DE29517100U1 (de) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5653479A (en) 1996-02-02 1997-08-05 Vlsi Technology, Inc. Vacuum seal for a ball junction
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5834068A (en) 1996-07-12 1998-11-10 Applied Materials, Inc. Wafer surface temperature control for deposition of thin films
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JPH1050678A (ja) * 1996-08-02 1998-02-20 Ibiden Co Ltd プラズマエッチング用電極板
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
ATE244780T1 (de) 1999-12-22 2003-07-15 Aixtron Ag Cvd reaktor und prozesskammer dafür
US20020134507A1 (en) 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6379056B1 (en) 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
US20040002346A1 (en) * 2000-12-14 2004-01-01 John Santhoff Ultra-wideband geographic location system and method
CN1328766C (zh) 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
KR100749377B1 (ko) 2001-02-09 2007-08-14 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
JP4549022B2 (ja) 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6986324B2 (en) 2001-10-19 2006-01-17 Hydropac/Lab Products, Inc. Fluid delivery valve system and method
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP2003271218A (ja) 2002-03-15 2003-09-26 Toshiba Corp 半導体製造装置、半導体製造システム及び基板処理方法
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003240679A1 (en) * 2002-05-21 2003-12-02 Sofitech N.V. Hydraulic fracturing method
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100490049B1 (ko) 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP4493932B2 (ja) 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2005054537A2 (en) 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221000A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
CN102610481B (zh) 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP4633425B2 (ja) 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
KR100628888B1 (ko) 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
KR20060107683A (ko) * 2005-04-11 2006-10-16 삼성전자주식회사 화학 기상 증착 장치
JP4615600B2 (ja) 2005-04-18 2011-01-19 ザ プロクター アンド ギャンブル カンパニー 増粘剤を含有する希釈布地ケア組成物及び陰イオンキャリーオーバー存在下で用いる布地ケア組成物
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
DE102005056324A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7679024B2 (en) 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
JP2008047869A (ja) 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
CN101101887A (zh) 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8568555B2 (en) 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR101206812B1 (ko) * 2007-07-02 2012-11-30 삼성전자주식회사 잉크젯 프린트헤드 및 그 제조방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
JP5058727B2 (ja) 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101519684B1 (ko) 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090095218A1 (en) 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG152163A1 (en) 2007-10-16 2009-05-29 Novellus Systems Inc Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
USD590045S1 (en) 2008-01-31 2009-04-07 Hansgrohe Ag Showerhead
US20090260571A1 (en) 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
KR20100093347A (ko) 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101112974B1 (ko) 2009-06-15 2012-03-02 주식회사 테스 대면적 기판 처리 장치
KR101659303B1 (ko) 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
CN102414801A (zh) 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8911553B2 (en) 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
KR101306315B1 (ko) 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20120227665A1 (en) 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN102953050B (zh) 2011-08-26 2014-06-18 杭州士兰明芯科技有限公司 大直径mocvd反应器的喷淋头
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130220975A1 (en) 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
JP6136613B2 (ja) 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160343595A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Corrosion resistant gas distribution manifold with thermally controlled faceplate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002503765A (ja) 1998-02-13 2002-02-05 アプライド マテリアルズ インコーポレイテッド 化学気相堆積用リアクタ
JP2000183029A (ja) 1998-12-17 2000-06-30 Sony Corp ドライアッシング装置
JP2002069650A (ja) 2000-08-31 2002-03-08 Applied Materials Inc 気相堆積方法及び装置並びに半導体装置の製造方法及び装置
JP2004115837A (ja) 2002-09-24 2004-04-15 Hitachi Cable Ltd Cvd膜の製造方法及びその製造装置
JP2004356587A (ja) 2003-05-30 2004-12-16 Toshio Goto プラズマ処理装置
JP3147392U (ja) 2008-10-15 2008-12-25 ノベルス・システムズ・インコーポレーテッド 温度制御型シャワーヘッド
JP2010153680A (ja) 2008-12-26 2010-07-08 Hitachi High-Technologies Corp プラズマ処理装置
JP2016219803A (ja) 2015-05-22 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド

Also Published As

Publication number Publication date
KR20220017968A (ko) 2022-02-14
KR20230157908A (ko) 2023-11-17
SG10201604054PA (en) 2016-12-29
JP7313528B2 (ja) 2023-07-24
US10378107B2 (en) 2019-08-13
KR102627544B1 (ko) 2024-01-19
TW201712144A (zh) 2017-04-01
SG10202102836YA (en) 2021-04-29
CN106167895A (zh) 2016-11-30
US20160340782A1 (en) 2016-11-24
JP6912164B2 (ja) 2021-07-28
JP2021106293A (ja) 2021-07-26
KR102357417B1 (ko) 2022-01-27
CN106167895B (zh) 2020-05-01
JP2023018006A (ja) 2023-02-07
TWI713525B (zh) 2020-12-21
JP2016219803A (ja) 2016-12-22
KR20160137404A (ko) 2016-11-30

Similar Documents

Publication Publication Date Title
JP7181337B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
KR20230133257A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
CN107768275B (zh) 衬底处理***和处理在衬底处理***中的衬底的方法
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
TW201509537A (zh) 用於暫態非均勻性之級聯設計噴淋頭
JP2018078284A (ja) 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
US20220110230A1 (en) Showerhead shroud
CN112673456A (zh) 使用亚稳的活化自由基物质的原子层处理工艺
JP2024056884A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
JP2023509475A (ja) 内部輪郭を有するフェースプレートを備えるシャワーヘッド
KR20230073144A (ko) 트루 라디칼 (true radical) 프로세싱을 위한 리모트 플라즈마 아키텍처
WO2023077002A1 (en) Showerhead with hole sizes for radical species delivery
KR20220155376A (ko) 샤워헤드 퍼지 칼라
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210513

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220502

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220831

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20220921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221018

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221117

R150 Certificate of patent or registration of utility model

Ref document number: 7181337

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150