KR20010098812A - 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판 - Google Patents

플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판 Download PDF

Info

Publication number
KR20010098812A
KR20010098812A KR1020010021896A KR20010021896A KR20010098812A KR 20010098812 A KR20010098812 A KR 20010098812A KR 1020010021896 A KR1020010021896 A KR 1020010021896A KR 20010021896 A KR20010021896 A KR 20010021896A KR 20010098812 A KR20010098812 A KR 20010098812A
Authority
KR
South Korea
Prior art keywords
refrigerant
cooling passage
gas distribution
processing system
plate
Prior art date
Application number
KR1020010021896A
Other languages
English (en)
Other versions
KR100587628B1 (ko
Inventor
키날드데이비드윌리엄
리차드슨다니엘브라이언
Original Assignee
브라이언 알. 바흐맨
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브라이언 알. 바흐맨, 액셀리스 테크놀로지스, 인크. filed Critical 브라이언 알. 바흐맨
Publication of KR20010098812A publication Critical patent/KR20010098812A/ko
Application granted granted Critical
Publication of KR100587628B1 publication Critical patent/KR100587628B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

프로세서 챔버 벽(53) 및/또는, 시스템으로써 웨이퍼(18)를 처리하는 동안에 동작 온도를 감소시키는 완전한 냉각 통로(80, 156)를 갖춘 가스 분배 또는 조절판 (54)을 구비한 프라즈마 처리 시스템(10)이 제공된다. 냉매(冷媒)의 유입구(158, 82)와 유출구(160, 86)는 냉각 통로에 접속되어 냉각 통로를 통하여 냉매의 순환을 가능하게 한다. 챔버 벽(53)과, 가스 분배 또는 조절판(54)은 저합금 양극처리된 알루미늄으로 구성되고 냉각 통로는 그 내부를 직접 가공하는 것이 바람직하다. 냉매는 액체(예로서, 물) 또는 가스(예로서, 헬륨 또는 질소) 중 어느 것이라도 된다. 조절판(54)은 플랜지(78)로써 둘러싸인, 통상적으로 평면상(狀)이고, 개구(開口)가 있는 가스 분배 중앙부(74)를 포함하고, 그 모두에는 냉각 통로가 연장된다. 챔버 벽(53)의 냉각 통로와 가스 분배 또는 조절판(54)의 냉각 통로는, 단일의 냉매 순환 시스템을 공유할 수 있도록 서로 통하게 할 수도 있다. 또 다른 방법으로는, 챔버 벽(53)의 냉각 통로와 가스 분배 또는 조절판(54)의 냉각 통로는, 각각에 대하여 독립적인 순환 시스템(가스 또는 액체)을 구성하도록 서로 통하지 않게 함으로써, 독립적인 온도 제어 및 개별적인 흐름 제어를 가능하게 할 수도 있다. 동작에 있어서, 챔버 벽 냉각 통로(156)의 냉매는 대략 15℃-30℃의 범위 내로 유지되고, 가스 분배 또는 조절판의 냉각 통로(80)의 냉매는 대략 15℃-80℃의 범위 내로 유지된다. 주기적으로, 하측 조절판은 250℃ 이상에서 번갈아서 동작하여, 그렇지 않은 경우 낮은 동작 온도(예로서, 15℃-80℃)에서, 표면상(上)에 농축되어서잔류하는 처리 잔류물을 조절판의 표면으로부터 제거할 수도 있다.

Description

플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는 능동-냉각 분배판 {ACTIVELY-COOLED DISTRIBUTION PLATE FOR REDUCING REACTIVE GAS TEMPERATURE IN A PLASMA PROCESSING SYSTEM}
다음의 미합중국 특허출원, 즉,년 월 일출원되고, 출원번호가제 호이며, 발명의 명칭이 "Gas Distribution Plate Assembly for Providing Laminar Flow Across the Surface of a Substrate"인 출원을 충분히 설명된 참조로서 여기에 포함한다.
본 발명은 통상적으로 포토레지스트 애셔(photoresist asher) 등 반도체 플라즈마 처리 시스템 분야, 및 더욱 상세하게는, 이러한 시스템용의, 반응 가스 온도를 감소시키는 능동-냉각 분배판에 관한 것이다.
집적회로 제조에서, 실리콘 웨이퍼 등의 기판상(上)에 집적회로 패턴을 형성하는 데에 광식각(光蝕刻; photolithography) 기술을 사용한다. 통상적으로, 기판은 포토레지스트로써 피복되고, 이 부분이 마스크를 통하여 자외선(UV) 방사에 노출되어서 포토레지스트상(上)에 필요한 회로 패턴을 결상(結像)한다. UV 방사에 대하여 노출되지 않고 남아있는 포토레지스트 부분은 처리 용액에 의해서 제거되고, 노출된 부분만이 기판상에 남는다. 이 잔류된 노출 부분은 광안정화 공정 동안에 소성(燒成)되어서 포토레지스트가 후속 처리에 견딜 수 있게 한다.
집적회로 부품이 형성되는, 이러한 처리 후에, 일반적으로 소성된 포토레지스트를 웨이퍼에서 제거할 필요가 있다. 통상적으로, 포토레지스트는 애싱(ashing)되거나 버닝(burning)되며, 애싱 또는 버닝된 포토레지스트는 잔류물과 함께 기판 표면에서 "박리되거나" "제거된다". 포토레지스트 및 잔류물을 제거하는 한 가지 방법은 포토레지스가 피복된 기판을 진공 챔버에서 적외선 복사(輻射)로써 미리 설정된 온도로 급속하게 가열하고, 가열된 기판 표면을 향하여 마이크로웨이브로 활성화된 반응 플라즈마를 방사하는 것이다. 결과적인 포토레지스트 애싱 처리에서, 반응 플라즈마는 포토레지스트와 반응하고, 플라즈마의 뜨거운 반응 가스가 대류(對流)에 의해서 기판의 표면에 열을 가한다. 평방 센티미터 당 100밀리와트(mW/cm2)의 열 에너지가 또한 표면 반응의 결과로서 웨이퍼에 가해진다. 웨이퍼 표면에 대한 과잉의 열은 기판상에 또는 기판내에 형성된 소자 또는 부분에 손상을 줄 수 있다. 또한, 웨이퍼 표면상의 과잉의 열은, 예로서, 고밀도 이온 주입(HDII; high-density ion implanted) 웨이퍼 애시 처리 동안에 포토레지스트 균열을 일으킬 수 있다.
챔버내에서 애싱 처리 온도를 감소시키는 것은 반응속도를 늦추고 따라서 표면 반응에 의해서 웨이퍼에 가해지는 열량을 감소시킨다. 그러나, 가스 혼합물과 인가된 마이크로웨이브 출력의 함수인, 가스 온도는 처리 온도의 감소에 의해서 영향을 받지 않은 채로 유지된다. 처리 공정이, 원자 산소의 생성 증가로 인하여 반응 속도가 증가하기 쉬운 4불화 탄소(CF4) 등의 반응 촉매를 포함하면, 이러한 문제는 악화된다. 결과적으로, 촉매의 도움을 받는 공정 처리는 더 낮은 처리 온도에서도 가스 온도가 더 높게 된다.
통상적인 플라즈마 처리장치는 장(Chang) 등에게 부여한 미합중국 특허 제5,449,410호에 개시되어 있고, 여기에는 플라즈마 챔버내에 가스를 공급하기 위하여 알루미늄 조절판(baffle plate) 또는 샤워 헤드(shower head)가 구성되어 있다. 그러나, 가스의 온도를 제어하는 아무런 수단도 개시되어 있지 않다. 따라서, 개시된 장치는 상기와 같은 고온 가스의 역효과로 인하여 불리하다.
또한, 개별적인 웨이퍼는 공지된 단일 웨이퍼 처리 챔버에 의해서 연속적인 형태로 처리되므로, 미합중국 특허 제5,449,410호에 개시된 것 등의 시스템은, 첫 번째 처리된 웨이퍼의 가열에 의해서 간접적으로 일어나는 후속 웨이퍼의 2차 가열에 관한, "제1웨이퍼 효과(first wafer effect)"로서 공지된 현상을 나타낸다. 상세하게는, 첫 번째 웨이퍼의 처리가 완료되면, 가열되어 처리된 웨이퍼와 처리 챔버의 측벽은 가스 분배 조절판(통상적으로 석영으로 제조된)을 향하여 열을 복사한다. 이어서, 가열된 석영판은 챔버내에서 처리되는 후속 웨이퍼에 대한 2차 가열 메커니즘을 간접적으로 제공한다. 결과적으로, 시스템에 의해서 처리되는 제1 및 후속 웨이퍼는 비균일성을 나타낸다.
공지된 조절판에 대한 또 다른 문제는 조절판의 표면에 걸쳐서 열 경사 (thermal gradient)가 발생하는 것이다. 이러한 조절판은, 높은 처리 온도에 견딜 수 있는 온도로 인하여 통상적으로 석영으로 제조하므로, 열등한 열 전도성 및 바람직하지 않은 적외선(IR; infrared) 파장 흡수 특성을 나타내는 경향이 있다. 또한, 흡수된 복사 에너지를 방열시키거나 소모시키는 아무런 수단도 없이 IR 파장 에너지가 웨이퍼로부터 흡수되면 석영 조절판의 온도는 제어하기가 어렵다. 결과적으로, 처리 균일성 및 시스템 처리량은 역으로 영향을 받는다.
따라서, 애싱 처리 동안에 웨이퍼에 대한 손상을 방지하기 위하여 포토레지스트 애셔 등 웨이퍼 처리 시스템에서 사용되는 가스의 온도를 감소시키는 메커니즘을 제공하는 것이 본 발명의 목적이다. 사용되는 가스 분배 또는 조절판에 냉각 수단을 포함시킴으로써, 저온 처리에서 필요로 하는 반응 가스의 온도를 감소시키는 것이 본 발명의 추가적인 목적이다. "제1웨이퍼 효과"에 의한 2차 가열을 제거함으로써 이러한 공정에서의 웨이퍼마다의 처리 균일성을 향상시키는 것이 본 발명의 또 하나의 목적이다. 가스 분배 또는 조절판의 표면에 걸쳐서 비교적 평탄한 온도 프로파일(profile)을 제공함으로써, 고온 및 저온 모두의 웨이퍼 내 처리 균일성을 향상시키는 메커니즘을 제공하는 것이 본 발명의 또 다른 목적이다.
도 1은 본 발명에 따라서 구성된 제1실시예의 조절판을 포함하는 포토레지스트 애셔(asher)의 단면도.
도 2는 도 1의 제1실시예의 조절판의 부분 절개 사시도.
도 3은 본 발명에 따라서 구성된 제2실시예의 조절판 조립체를 포함하는 포토레지스트 애셔 챔버 조립체의 부분 절개 사시도.
도 4는 도 3의 제2실시예의 조절판 조립체의 하부 조절판의 부분 절개 사시도.
도 5는 도 3에 나타낸 조절판 조립체의 평면도.
도 6은 선분 6-6에서 본, 도 5의 조절판 조립체의 단면도.
도 7은 선분 7-7에서 본, 도 6의 조절판 조립체의 단면도.
* 도면의 주요부분에 대한 부호의 설명
10, 100 : 레지스트 애셔 12 : 가스 박스(gas box),
14 : 플라즈마 발생기 조립체 16, 116 : 처리 챔버
17 : 캐비티 18 : 웨이퍼
20 : 히터 조립체 24 : 온도 프로브(probe)
26 : 진공 펌프 28 : 모노크로메이터(monochromator)
30 : 입구/출구 통로 32 : 플라즈마 튜브
34 : 유입 도관 36 : 밸브
38 : 배관 40 : 마그네트론
42 : 도파관 44 : 마이크로웨이브 엔클로저
45 : 가상선 46 : 석영 튜브
48, 50 : 마이크로웨이브 트랩(trap)
52, 181 : 상벽 53, 153 : 측벽
54 : 가스 분배(또는 조절)판
154 : 조절판 조립체 56 : 반사기
58 : 텅스텐 할로겐 램프 68 : 석영 또는 세라믹 핀
72 : 온도 센서 78, 178 : 플랜지
74, 174 : 가스 분배 중앙부 76, 175, 176 : 개구
79, 81, 179 : 밀봉재 80, 180 : 냉각 통로
83, 85, 183 : 홈 82, 158, 182 : 냉매 유입구
84, 184 : 장착 구멍 86, 160, 186 : 냉매 유출구
128 : 접속 포트(port) 155 : 상측 조절판
156 : 냉각 채널 157 : 하측 조절판
159 : 부착 위치 161 : 포스트
177 : 사파이어판
프로세서 챔버 벽 및/또는, 시스템으로써 웨이퍼를 처리하는 동안에 동작 온도를 감소시키는 완전한 냉각 통로를 갖춘 가스 분배 또는 조절판을 구비한 프라즈마 처리 시스템이 제공된다. 냉매(冷媒)의 유입구와 유출구는 냉각 통로에 접속되어 냉각 통로를 통하여 냉매의 순환을 가능하게 한다. 챔버 벽과, 가스 분배 또는 조절판은 알루미늄으로 구성되고 냉각 통로는 그 내부를 직접 가공하는 것이 바람직하다. 냉매는 액체(예로서, 물) 또는 가스(예로서, 헬륨 또는 질소) 중 어느 것이라도 된다.
조절판은 플랜지로써 둘러싸인, 통상적으로 평면상이고, 개구(開口)가 있는가스 분배 중앙부를 포함하고, 그 모두에는 냉각 통로가 연장된다. 챔버 벽의 냉각 통로와 가스 분배 또는 조절판의 냉각 통로는, 단일의 냉매 순환 시스템을 공유할 수 있도록 서로 통하게 할 수도 있다. 또 다른 방법으로는, 챔버 벽의 냉각 통로와 가스 분배 또는 조절판의 냉각 통로는, 각각에 대하여 독립적인 순환 시스템(가스 또는 액체)을 구성하도록 서로 통하지 않게 함으로써, 독립적인 온도 제어 및 개별적인 흐름 제어를 가능하게 할 수도 있다. 동작에 있어서, 챔버 벽 냉각 통로의 냉매는 대략 15℃-30℃의 범위 내로 유지되고, 가스 분배 또는 조절판의 냉각 통로의 냉매는 대략 15℃-80℃의 범위 내로 유지된다.
도면을 참조하면, 도 1은 가스 박스(gas box)(12), 마이크로웨이브 플라즈마 발생기 조립체(14), 웨이퍼(18) 등 반도체 기판이 가열되는 내부 캐비티(cavity) (17)를 구성하는 처리 챔버(16), 및 처리 챔버의 하부에 위치하여 웨이퍼(18)를 가열하는 복사 히터 조립체(20)를 포함하는 종래 기술의 포토레지스트 애셔(10)를 나타낸다. 열전대(熱電對) 등의 온도 프로브(probe)(24)가 웨이퍼(18)의 온도를 탐지하는 데에 사용된다. 진공 펌프(26)는 진공 상태를 필요로 하는 처리 공정에 대하여 처리 챔버(16)를 배기하는 데에 사용된다.
챔버 내의 가스의 광 방출 특성을 감시하여 처리 종료 시점의 결정에 도움을 주기 위하여 모노크로메이터(monochromator)(28)가 사용된다. 웨이퍼(18)는 입구/출구 통로(30)를 통하여 적절한 로드로크(load lock) 메커니즘(도면에 나타내지 않음)으로써 처리 챔버내에 인입되고 처리 챔버로부터 제거된다. 본 발명은 포토레지스트 애셔내에서 실시되는 것으로 나타나 있지만, 잔류물 제거 및 박리 공정 등,기타의 반도체 제조 장비에 사용될 수도 있다.
동작에 있어서, 필요로 하는 가스 혼합물은 유입 도관(34)을 통하여 가스 박스(12)로부터 플라즈마 튜브(32)내에 주입된다. 플라즈마 튜브(32)는 불소 화합물을 에칭 또는 열화(劣化)없이 수용하도록 알루미나(Al2O3) 또는 사파이어로 제조한다. 필요한 혼합물을 형성하는 가스는 별개의 공급 장치(도면에 나타내지 않음)에 저장되고 밸브(36) 및 배관(38)에 의해서 가스 박스(12)에서 혼합된다. 필요로 하는 가스 혼합물의 한 가지 예는 성형 가스(forming gas)(주로 적은 비율의 수소를 갖는 질소)와 산소이다. 특정 처리에 대하여 애싱 율(ashing rate)을 증가시키기 위하여 4불화 탄소(CF4) 등 불소 함유 가스를 가스 혼합물에 첨가할 수도 있다.
필요한 가스 혼합물은 마이크로웨이브 플라즈마 발생기 조립체(14)에 의해서 활성화되어서, 복사 히터 조립체(20)로써 가열될 때 처리 챔버(16) 내에서 웨이퍼 (18)상의 포토레지스트를 애싱하는 반응 플라즈마를 형성한다. 마그네트론(40)은 도파관(42)에 인가되는 마이크로웨이브 에너지를 발생한다. 마이크로웨이브 에너지는 플라즈마 튜브(32)를 둘러싸는 마이크로웨이브 엔클로저(44)의 개구(開口)(도면에 나타내지 않음)를 통하여 도파관으로부터 공급된다.
외측 석영 냉각 튜브(46)는 플라즈마 튜브(32)를 약간 떨어져서 둘러싸고 있다. 튜브(32)와 튜브(46)와의 사이의 갭에 가압 공기가 공급되어서 동작 중에 튜브(32)를 효과적으로 냉각한다. 마이크로웨이브 엔클로저(44)는 가상선(45)으로써 나타낸 부분으로 분할된다. 엔클로저(44)의 분할(segmentation)은 적절한 입력출력이 공급될 때 알루미나 또는 사파이어 플라즈마 튜브의 길이에 걸쳐서 마이크로웨이브 출력 분포를 균일하게 하고, 자체의 축선의 길이를 따라서 허용할 수 없게 큰 열 경사가 발생하는 것을 방지함으로써 플라즈마 튜브를 과열로부터 보호한다. 엔클로저(44)의 각각의 세그먼트에는 석영 튜브(46), 및 이것을 통과하는 알루미나 또는 사파이어 튜브(32)를 통과하는 마이크로웨이브 에너지가 별개로 공급된다.
플라즈마 튜브(32)내의 가스 혼합물은 활성화되어 플라즈마를 생성한다. 마이크로웨이브 엔클로저(44)의 단부(端部)에 마이크로웨이브 트랩(trap)(48 및 50)이 구성되어 마이크로웨이브 누설을 방지한다. 활성화된 플라즈마(통상적으로 약 150℃의 온도를 갖는)는 처리 챔버(16)의 상벽(52)의 개구(51)를 통하여 처리 챔버 (16)에 들어간다.
플라즈마 챔버(16)의 상벽(52)과 처리중인 웨이퍼(18)와의 사이에는 본 발명의 바람직한 제1실시예에 의한 창의적인 가스 분배(또는 조절)판(54)이 배치된다. 단일 부재의 조절판으로서 도시되었지만, 조절판은 상측 및 하측 조절판을 포함하는 2중의 층 구조로 된 조절판 조립체(154)(도 3 내지 도 7)의 형태를 가질 수도 있다고 간주하여야 한다. 양 실시예에서, 조절판(54)(도 1 내지 도 2)과 조절판 조립체(154)(도 3 내지 도 7)는 처리중인 웨이퍼(18)의 표면에 걸쳐서 반응 플라즈마를 균등하게 분배하고, 플라즈마내의 가스를 냉각시키는 수단을 제공하여 필요로 하는 처리 결과를 달성한다.
다시 도 1을 참조하면, 동작에 있어서, 반응 플라즈마는 조절판(54)을 통과하여 웨이퍼(18)상의 포토레지스트를 애싱한다. 복사 히터 조립체(20)는 반사기 (56)에 위치하는 복수의 텅스텐 할로겐 램프(58)를 포함하고, 반사기(56)는 램프에서 발생된 열을, 반사하여 처리 챔버(16)내의 석영 또는 세라믹 핀(68) 위에 배치된 웨이퍼(18)의 배면을 향하여 방향을 바꾸게 한다. 열전대 등, 하나 이상의 온도 센서(72)가 처리 챔버 측벽(53)의 내부에 장착되어서 벽 온도를 표시한다.
도 1의 포토레지스트 애셔(10)에 나타낸 조절판(54)은 도 2에 더욱 상세하게 나와 있고, 이것은 200밀리미터(mm) 처리 시스템에 포함하도록 설계된 것이다. 조절판(54)은 플랜지(78)에 둘러싸인, 개구(76)를 갖는 통상적으로 평면상의 가스 분배 중앙부(74)를 포함한다. 플랜지(78)는 중앙부를 둘러싸고 처리 챔버 측벽(53)과 상벽(52) 중간에 위치한다(도 1 참조). 밀봉재(79 및 81)는 각각 플랜지(78)와 측벽(53)과의 사이, 및 플랜지(78)와 상벽(52)과의 사이의 기밀(氣密) 접속을 제공한다. 밀봉재(79 및 81)는 플랜지(78)에 위치한 홈(83 및 85)에 각각 위치한다(도 2 참조). 플랜지(78)는 또한 상벽(52)과 측벽(53)에 장착하기 위한 장착 구멍(84)을 제공한다.
조절판(54)의 중앙의 개구를 갖춘 부분(74)에는 냉매 유입구(82) 및 유출구 (86)에 연결되는 내부 냉각 통로(80)가 구성된다. 냉각 통로(80)는 조절판(54)의 동작 온도를 감소시키고, 어떠한 개구(76)와도 교차하지 않는 형태로 자체의 중앙부(74) 주위로 연장된다. 바람직한 실시예에서는 냉매로서 물을 사용하지만, 높은 열 용량을 갖는 기타의 액체(예로서, 오일) 또는 가스(예로서, 헬륨 또는 질소)의 사용을 고려할 수 있다. 반응 가스가 개구(76)를 통과할 때, 냉각된 조절판은 열교환기로서 작용하여 반응 가스로부터 열을 제거함에 따라서, 가스의 온도를 감소시킨다. 조절판(54)은 또한, 예로서, 게이트(gate) 산화물의 유전(誘電) 강도를 약화시키는, 웨이퍼 소자 손상을 잠재적으로 일으킬 수 있는 유동적인 이온 오염을 최소화한다.
조절판은, 공지된 석영 조절판보다 조절판의 열 전달 특성을 상당히 향상시키는 단일 편(片)의 저합금(低合金) 양극 처리된 알루미늄(low-alloy anodized aluminum)(예로서, Alcoa type C-276)으로 구성하는 것이 바람직하다. 알루미늄을 사용하면 또한 냉각 통로에 직접 구멍을 뚫거나 가공할 수 있다. 이로 인하여 조절판은 반사기 가열 시스템의 불일치, 및 웨이퍼로부터의 기생(寄生) 가열에 대하여 덜 민감하게 되고, 대체로 균일한 온도에서 동작하게 된다.
알루미늄을 사용하면 또한, 온도 제어를 더욱 어렵게 하고 웨이퍼 소자 손상을 일으킬 수도 있는, 플라즈마 튜브로부터 발산하는 높은 비율의 자외선(UV) 에너지를 차단한다. 균일한 표면 온도에서의 동작과 UV 방사에의 노출의 최소화로 인하여 공지된 석영 조절판보다 웨이퍼 표면에 걸쳐서 반응 속도의 균일성이 상당히 개선된다. 또한, 일정한 조절판 온도를 유지하면, 처리 챔버에 연속적인 웨이퍼가 배치되고 복사 가열 시스템에 의해서 처리 온도로 가열될 때 조절판의 기생 가열로 인한 "제1웨이퍼 효과"가 제거된다.
도 3은 본 발명의 제2실시예를 나타내고, 여기서 조절판은, 300밀리미터(mm) 웨이퍼 처리 시스템에 포함되도록 설계된 조절판 조립체(154)의 형태를 갖는다. 도 3은 이 조절판 조립체(154)를 포함하는 300mm 포토레지스트 애셔 챔버 조립체(100)(관련되는 복사 히터 조립체 없이 도시됨)의 부분 절개, 사시도이다. 조절판 조립체(154)는 통상적으로 서로 평행으로 배치되고 서로 분리되어 있는 통상적으로 평면상의 상측 조절판(155)과 하측 조절판(157)을 포함한다. 조립체(154)는 300mm 처리 챔버(116)에 부착되어 도시되어 있다. 상측 및 하측 조절판(155 및 157)에는 각각 개구(175 및 176)가 형성되어 있다. 상측 조절판의 개구(175)는 하측 조절판의 개구(176)보다 약간 더 크다. 진공 펌프 또는 모노크로메이터에 대하여 처리 챔버 접속 포트(port)(128)가 형성된다.
본 발명의 제2실시예에서, 조절판 조립체(154)와 처리 챔버(116) 모두에 능동 냉각 메커니즘이 구성된다. 처리 챔버에 대해서는, 자체의 측벽(153)에 내부 냉각 채널(156)이 구성된다. 물 등의 냉매가 유입 및 유출하고, 냉각 채널 밖으로 유출하도록 냉매 유입구(158 및 160)가 구성되어 있다. 처리 챔버 측벽(153)은, 냉각 통로에 직접 구멍을 뚫거나 가공할 수 있게 함으로써, 측벽을 반사기 가열 시스템의 불일치에 대하여 덜 민감하게 하고, 대체로 균일한 온도에서 동작할 수 있게 하는 저합금 양극 처리된 알루미늄(예로서, Alcoa type C-276)으로 구성하는 것이 바람직하다.
하측 조절판(157)에는, 이하에서 추가로 설명하는 바와 같이 능동 냉각이 구성되어 있지만, 상측 조절판(155)에는 능동 냉각 메커니즘이 구성되어 있지 않다. 상측 조절판(155)은 부착 위치(159)에서 포스트(post)(161)에 의해 부착된, 견고한, 개구가 형성된 석영판만으로 구성되어 있다(도 4 및 도 6 참조). 사파이어가 피복된 것일 수도 있는, 상측 조절판은, 자체의 개구(175)를 통과하지 않는 뜨거운가스 상(狀)의 플라즈마 부분을 반경 방향 외측으로 방향을 전환시켜서, 처리중인 웨이퍼(18)의 반경 방향 내측 부분이 과열되는 것을 방지하고 반응 속도의 균일성을 증진하는 기능을 한다. 개구가 형성되지 않은 사파이어판(177)(도 5 및 도 6)은 상측 조절판(155)의 중앙부를 덮고 있다.
하측 조절판(157)으로써 구성된 능동 냉각 메커니즘은 도 4의 부분 절개 사시도에 더욱 상세하게 도시되어 있다. 하측 조절판(157)은 플랜지(178)에 둘러싸인, 개구(176)를 갖는 통상적으로 평면상의 가스 분배 중앙부(74)를 포함한다. 플랜지(178)는 장착 구멍(184)을 이용하여 처리 챔버(116)의 상벽(181)이 부착될 수도 있는 표면을 제공한다. 밀봉재(179)는 플랜지(178)와 측벽(153)과의 사이의 기밀(氣密) 접속을 제공한다(도 3). 밀봉재(179)는 플랜지(178)의 홈(183)에 위치한다(도 4).
조절판(157)의 중앙의 개구를 갖춘 부분(174)에는 냉매 유입구(182) 및 유출구(186)에 연결되는 내부 냉각 통로(180)가 구성된다(도 3). 도 4 및 도 6에 나타낸 바와 같이, 냉각 통로(180)는 어떠한 개구(176)와도 교차하지 않는 형태로 플랜지(178)로부터 중앙부(174)내로 또한 중앙부(174) 주위로 연장될 수도 있다. 하나의 바람직한 구성이 도 7에 나와 있다.
또한, 냉각 채널이 챔버 상벽내로 연장될 수도 있다. 이러한 구성 부분(즉, 조절판, 측벽 및 상벽)으로 된 개별적인 냉각 서브시스템은 동작 온도를 감소시키는 기능을 한다. 냉각 서브시스템은 단일 가스 또는 액체 냉매 순환 시스템을 공유할 수도 있고, 독립적인 온도 제어 및 독립적인 흐름 제어를 하도록 독립적인 순환시스템(가스 또는 액체)이 구성될 수도 있다. 또한, 처리 챔버 측벽과 상벽의 능동 냉각이 또한 제공되는 본 발명의 실시예에서, 이 챔버 표면을 15℃-30℃사이(이슬점 바로 위)에 유지함으로써, 웨이퍼는 충분히 냉각된 상태로 유지되어서, 예로서, 고밀도 이온 주입(HDII) 웨이퍼 애시 처리 동안에 포토레지스트 균열을 방지할 수 있다.
냉각 통로는 하측 조절판(157)의 표면에 걸쳐서 공간(空間) 온도 경사를 최소화하고 조절판의 표면 전체를 균일한 온도로 유지한다. 물(예로서, 15℃-80℃에 유지되는) 등의 냉매는, 공냉식 냉각기 조립체를 포함하는 탈이온수 재순환 시스템을 사용하여, 유입구(182)를 통하여 채널(180)에 유입되고 유출구(186)를 통하여 유출된다(도 5 및 도 7). 냉각기 조립체는 웨이퍼의 급속한 가열 동안에도 처리 챔버의 열발생 속도보다 더 큰 열 제거 용량을 갖는다.
바람직한 실시예에서 냉매로서 물을 사용하지만, 하측 조절판의 필요한 동작 온도에 따라서, 기타의 높은 열 용량을 갖는 액체 또는 가스를 사용할 수도 있다. 예로서, 하측 조절판은 조절판의 표면으로부터 처리 잔류물을 제거하기 위하여 250℃ 이상에서 동작될 수도 있다. 그렇지 않으면 이 잔류물은, 웨이퍼 처리 동안에 더 높은 온도에 주기적으로 노출되지 않으면, 하측 판의 표면상에 농축되어서 잔류한다. 낮은 동작 온도(예로서, 15℃-80℃)에서, 반응 가스가 개구(176)를 통과할 때, 하측 조절판(157)은 열 교환기로서 작용하여 반응 가스로부터 열을 제거함에 따라서, 가스의 온도를 감소시킨다.
하측 조절판(157)은, 공지된 석영 조절판보다 조절판의 열 전달 특성을 향상시키는 단일 편의 저합금 양극 처리된 알루미늄(예로서, Alcoa type C-276)으로 구성하는 것이 바람직하다. 알루미늄을 사용하면 또한 냉각 통로에 직접 구멍을 뚫거나 가공할 수 있다. 이로 인하여 조절판은 반사기 가열 시스템의 불일치, 및 웨이퍼로부터의 기생(寄生) 가열에 대하여 덜 민감하게 되고, 대체로 균일한 온도에서 동작하게 된다.
알루미늄을 사용하면 또한, 온도 제어를 더욱 어렵게 하고 웨이퍼 소자 손상을 일으킬 수도 있는, 플라즈마 튜브로부터 발산하는 높은 비율의 자외선(UV) 에너지를 차단한다. 균일한 표면 온도에서의 동작과 UV 방사에의 노출의 최소화로 인하여 공지된 석영 조절판보다 웨이퍼 표면에 걸쳐서 반응 속도의 균일성이 상당히 개선된다. 또한, 일정한 조절판 온도를 유지하면, 처리 챔버에 연속적인 웨이퍼가 배치되고 복사 가열 시스템에 의해서 처리 온도로 가열될 때 조절판의 기생 가열로 인한 "제1웨이퍼 효과"가 제거된다.
하측 조절판(157)에 걸치는 압력 강하는, 가스와 조절판 표면과의 사이의 열 전달 속도를 증가시키는 것에 추가하여, 조절판의 상측 표면에 걸쳐서 가스 흐름을 분배한다. 이러한 동일한 효과는 상측 석영판(155)과 협동하여, 게이트 산화물의 유전 강도에 손상을 주는 등의, 소자 손상을 잠재적으로 일으킬 수 있는 유동적인 이온 오염을 감소시킨다. 2중의 층 구조로 된 조절판 조립체(154)에서 석영 상측 판(155)과 알루미늄 하측 판(157)의 조합은, CF4등 부식성 원소 생성 가스를 사용할 때에도, 포토레지스트 제거에 사용된 처리 챔버에서 발견된 부식성 조건에서 사용하기에 적합한 것으로 확인되었다.
동작에 있어서, 시스템(10)(200mm)과 시스템(100)(300mm)은, 가스 온도가 통상적으로 80℃인, 최소 예상 처리 온도 이하로 감소된 조건하에서, 최대 마이크로웨이브 출력으로, 각각 수냉식 조절판(157)과 조절판 조립체(154)를 사용하여 동작되었다. 또한, 냉각된 하측 조절판의 가스 및 복사 에너지 냉각 효과로 인하여 처리 동안에 웨이퍼 표면에 걸쳐서 비교적 평탄한 온도 프로파일을 취득할 수 있어서, 처리 비균일성이 감소하게 되었다. 하측 조절판의 능동 냉각은 첫 번째로 처리된 웨이퍼에 의한 조절판의 열 부하를 또한 감소시켜서 웨이퍼마다의 처리 비균일성을 개선한다.
하나의 예에서, 분 당 0.4갤런(gpm)의 유속으로 하측 조절판(157)을 통하여 30℃의 물을 흐르게 하면서 270℃의 애싱 처리가 실행되었다. 웨이퍼에 걸쳐서 2.25%의 애싱 율의 비균일성을 갖는, 분 당 5.59미크론의 애싱 속도가 달성되었다. 종래의 비능동적으로 냉각된 석영 조절판으로써 웨이퍼에 걸쳐서 6.2%의 애싱 율의 비균일성을 갖는, 분 당 5.66미크론의 애싱 속도가 취득되었다. 이러한 시험 결과는 능동적으로 냉각된 조절판을 사용하면 처리 균일성 부문에서 애싱 율에 대한 최소의 영향을 받는 상당한 개선을 한다는 것을 나타낸다.
따라서, 플라즈마 처리 시스템에서의 반응 가스, 및 처리중인 웨이퍼를 냉각하는 방법과 시스템의 바람직한 실시예를 설명하였다. 그러나, 상기의 설명을 염두에 두고, 본 설명은 예로서만 이루어진 것이며, 본 발명은 여기에서 설명한 특정 실시예에 한정되지 않고 또한 이하의 청구범위 등에 의해서 정의된 발명의 범위로부터 벗어남이 없이 상기 설명에 대한 각종 재구성, 변형 및 대체가 실시될 수도 있다는 것을 이해하여야 한다.
애싱 처리 동안에 웨이퍼에 대한 손상을 방지하기 위하여 포토레지스트 애셔 등 웨이퍼 처리 시스템에서 사용되는 가스의 온도를 감소시키는 메커니즘이 제공된다. 그리고, 사용되는 가스 분배 또는 조절판에 냉각 수단을 포함시킴으로써, 저온 처리에서 필요로 하는 반응 가스의 온도를 감소시키고 "제1웨이퍼 효과"에 의한 2차 가열을 제거하여 웨이퍼마다의 처리 균일성을 향상시키며, 또한 가스 분배 또는 조절판의 표면에 걸쳐서 비교적 평탄한 온도 프로파일을 제공함으로써, 고온 및 저온 모두의 웨이퍼 내 처리 균일성을 향상시키는 메커니즘이 제공된다.

Claims (23)

  1. 플라즈마 처리 시스템에 있어서,
    (가) 플라즈마 발생기(14)와,
    (나) 상기 플라즈마 발생기(14)와 서로 통하는 내부 처리 캐비티(17)를 구비하고, 상기 발생기 내의 플라즈마가 상기 캐비티 내에 진입하여 그 내부에 위치하는 기판(18)의 표면과 반응하는 처리 챔버(16)로서, 상기 처리 챔버는 상기 캐비티 (17)를 최소한 부분적으로 형성하는 벽(53)을 포함하고, 상기 벽에는 자체의 동작 온도를 감소시키는 제1냉각 통로(156)가 구성된 처리 챔버(16)와,
    (다) 상기 제1냉각 통로(156)를 통하여 냉매가 순환할 수 있도록 상기 제1냉각 통로(156)에 연결된 냉매 유입구(158)와 냉매 유출구(160), 및
    (라) 기판(18)을 가열하는 복사 히터 조립체(20)를 포함하는 플라즈마 처리 시스템(10).
  2. 제1항에 있어서, 상기 냉각 통로(156)는 상기 벽(53)의 내부에 있는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  3. 제2항에 있어서, 상기 벽(53)은 저합금 양극처리된 알루미늄으로 구성되고, 상기 제1냉각 통로(156)는 상기 벽 내부에 가공되는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  4. 제2항에 있어서, 상기 냉매는 액체인 것을 특징으로 하는 플라즈마 처리 시스템(10).
  5. 제4항에 있어서, 상기 냉매는 물인 것을 특징으로 하는 플라즈마 처리 시스템(10).
  6. 제2항에 있어서, 상기 냉매는 가스인 것을 특징으로 하는 플라즈마 처리 시스템(10).
  7. 제6항에 있어서, 상기 냉매는 헬륨 또는 질소인 것을 특징으로 하는 플라즈마 처리 시스템(10).
  8. 제2항에 있어서, 상기 플라즈마 발생기(14)와 상기 처리 캐비티(17)와의 사이에 위치한 조절판(54)을 추가로 포함하고, 상기 조절판(54)은, (가) 플라즈마를 통과시키는 개구(76)와, (나) 냉매를 흐르게 하여 상기 조절판의 동작 온도를 감소시키는 제2냉각 통로(80), 및 (다) 냉매가 순환할 수 있도록 상기 제2냉각 통로(80)에 연결된 냉매 유입구(82)와 냉매 유출구(86)를 구비한 플라즈마 처리 시스템(10).
  9. 제8항에 있어서, 상기 조절판(54)은 플랜지(78)에 둘러싸인, 통상적으로 평면상의, 개구를 갖는 가스 분배 중앙부(74)를 포함하고, 상기 제2냉각 통로가 상기 플랜지로부터 상기 개구를 갖는 중앙부 내로 연장되는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  10. 제8항에 있어서, 상기 제1냉각 통로(156)와 상기 제2냉각 통로(80)는 단일의 냉매 순환 시스템을 공유할 수 있도록 서로 통하는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  11. 제8항에 있어서, 상기 제1냉각 통로(156)와 상기 제2냉각 통로(80)는 서로 통하지 않는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  12. 제11항에 있어서, 상기 제1냉각 통로(156)의 냉매는 대략 15℃-30℃의 범위 내로 유지되고, 상기 제2냉각 통로(80)의 냉매는 대략 15℃-80℃의 범위 내로 유지되는 것을 특징으로 하는 플라즈마 처리 시스템(10).
  13. 제8항에 있어서, 상기 조절판(54)은 저합금 양극처리된 알루미늄으로 구성되고, 상기 제2냉각 통로(80)는 내부가 가공된 것을 특징으로 하는 플라즈마 처리 시스템(10).
  14. 플라즈마 처리 시스템용 가스 분배판(54)으로서,
    (가) 가스를 통과시키는 개구(76)를 구비한 통상적으로 평면상의 중앙부(74)와,
    (나) 냉매를 흐르게 하여 상기 조절판의 동작 온도를 감소시키는 냉각 통로 (80), 및
    (다) 냉매가 순환할 수 있도록 상기 제2냉각 통로(80)에 연결된 냉매 유입구 (82)와 냉매 유출구(86)를 포함하는, 플라즈마 처리 시스템용 가스 분배판(54).
  15. 제14항에 있어서, 상기 중앙부(74)는 플랜지(78)로써 둘러싸이고, 상기 냉각 통로(80)가 상기 플랜지로부터 상기 개구를 갖는 중앙부 내로 연장되는 것을 특징으로 하는 가스 분배판(54).
  16. 제14항에 있어서, 상기 판(54)은 저합금 양극처리된 알루미늄으로 구성되고, 상기 냉각 통로(80)는 내부가 가공된 것을 특징으로 하는 가스 분배판(54).
  17. 제14항에 있어서, 상기 냉매는 액체인 것을 특징으로 하는 가스 분배판(54).
  18. 제17항에 있어서, 상기 냉매는 물인 것을 특징으로 하는 가스 분배판(54).
  19. 제14항에 있어서, 상기 냉매는 가스인 것을 특징으로 하는 가스 분배판(54).
  20. 제19항에 있어서, 상기 냉매는 헬륨 또는 질소인 것을 특징으로 하는 가스 분배판(54).
  21. 제14항에 있어서, 상기 조절판(54)에 부착되고 또한 이로부터 거리를 두고 떨어져 있는 통상적으로 평면상의 상측 조절판(155)을 추가로 포함하고, 상기 상측 조절판(155)에는 개구(175)가 형성된 가스 분배판(54).
  22. 제21항에 있어서, 상측 조절판(155)의 상기 개구(175)는 하측 조절판(157)의 상기 개구(176)보다 약간 더 큰 것을 특징으로 하는 가스 분배판(54).
  23. 제21항에 있어서, 상기 상측 조절판(155)은 석영으로 구성되는 것을 특징으로 하는 가스 분배판(54).
KR1020010021896A 2000-04-26 2001-04-24 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판 KR100587628B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/560,538 US6635117B1 (en) 2000-04-26 2000-04-26 Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US09/560,538 2000-04-26

Publications (2)

Publication Number Publication Date
KR20010098812A true KR20010098812A (ko) 2001-11-08
KR100587628B1 KR100587628B1 (ko) 2006-06-08

Family

ID=24238217

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010021896A KR100587628B1 (ko) 2000-04-26 2001-04-24 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판

Country Status (6)

Country Link
US (2) US6635117B1 (ko)
EP (2) EP1770753B1 (ko)
JP (1) JP4793528B2 (ko)
KR (1) KR100587628B1 (ko)
DE (2) DE60143717D1 (ko)
TW (1) TW490705B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100766440B1 (ko) * 2006-12-22 2007-10-15 엘지전자 주식회사 전자레인지의 히터장치
KR101011580B1 (ko) * 2002-06-05 2011-01-27 어플라이드 머티어리얼스, 인코포레이티드 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
KR101127714B1 (ko) * 2003-05-22 2012-03-23 액셀리스 테크놀로지스, 인크. 플라즈마 처리 장치 및 플라즈마 애싱 방법
KR20150126007A (ko) * 2013-03-05 2015-11-10 어플라이드 머티어리얼스, 인코포레이티드 열 결합된 석영 돔 히트 싱크
KR20220017968A (ko) * 2015-05-22 2022-02-14 램 리써치 코포레이션 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Families Citing this family (436)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6945054B1 (en) 2002-10-04 2005-09-20 Richard S. Norman Method and apparatus for cooling microelectronic complexes including multiple discrete functional modules
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US6976782B1 (en) 2003-11-24 2005-12-20 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
CN102610481B (zh) * 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP2006080347A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp プラズマ処理装置
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
JP4664119B2 (ja) 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
KR100738873B1 (ko) 2006-02-07 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
WO2008114363A1 (ja) * 2007-03-16 2008-09-25 Fujitsu Microelectronics Limited 半導体装置の製造装置、および半導体装置の製造方法
JP2008243937A (ja) 2007-03-26 2008-10-09 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101037188B1 (ko) 2008-12-17 2011-05-26 세메스 주식회사 플라즈마 처리 장치
JP5479867B2 (ja) 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
WO2010107837A2 (en) * 2009-03-16 2010-09-23 Alta Devices, Inc. Wafer carrier track
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US9324597B2 (en) 2010-04-30 2016-04-26 Applied Materials, Inc. Vertical inline CVD system
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
JP5373702B2 (ja) * 2010-06-07 2013-12-18 株式会社Sen イオンビームスキャン処理装置及びイオンビームスキャン処理方法
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US8875728B2 (en) * 2012-07-12 2014-11-04 Siliken Chemicals, S.L. Cooled gas distribution plate, thermal bridge breaking system, and related methods
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR20140038070A (ko) * 2012-09-20 2014-03-28 삼성코닝정밀소재 주식회사 가스 분사 장치 및 이에 사용되는 인젝터 파이프
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9322097B2 (en) 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN103276371B (zh) * 2013-03-26 2015-12-09 中晟光电设备(上海)有限公司 Cvd设备的进气装置、冷却装置及冷却方法
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101568363B1 (ko) 2014-08-27 2015-11-12 피에스케이 주식회사 기판 처리 장치 및 배플
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106356318B (zh) * 2015-07-16 2019-10-18 中微半导体设备(上海)股份有限公司 一种气体分布扩散板、等离子体处理器
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) * 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
KR102572740B1 (ko) * 2018-06-08 2023-08-29 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109267037A (zh) * 2018-11-21 2019-01-25 新疆大学 常压等离子体增强化学气相沉积方法及采用该方法的设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20220336194A1 (en) * 2019-09-17 2022-10-20 Tokyo Electron Limited Plasma processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102334531B1 (ko) * 2019-10-24 2021-12-06 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理***和热处理***的工件处理装置
CN113471046B (zh) 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理***和热处理***的工件处理装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
CN118382720A (zh) * 2021-10-29 2024-07-23 朗姆研究公司 控制喷头冷却的热传导性调节

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0393226A (ja) * 1989-09-05 1991-04-18 Iwatani Internatl Corp ウエハのドライエッチング装置でのウエハ冷却装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05136092A (ja) * 1991-11-12 1993-06-01 Sony Corp プラズマ装置およびこれを用いたドライエツチング方法
JPH05175160A (ja) * 1991-12-20 1993-07-13 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
JP2909364B2 (ja) * 1993-09-20 1999-06-23 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
JPH07115064A (ja) * 1993-08-25 1995-05-02 Tokyo Electron Ltd 成膜装置及び成膜方法並びに成膜装置の洗浄方法
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
WO1996013621A1 (en) * 1994-10-31 1996-05-09 Krogh Ole D An ecr plasma source
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
SG45121A1 (en) * 1995-10-28 1998-01-16 Inst Of Microelectronics Apparatus for dispensing fluid in an array pattern
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3227105B2 (ja) * 1997-03-24 2001-11-12 株式会社荏原製作所 真空排気システム
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3726477B2 (ja) * 1998-03-16 2005-12-14 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20030010453A1 (en) * 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101011580B1 (ko) * 2002-06-05 2011-01-27 어플라이드 머티어리얼스, 인코포레이티드 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
KR101127714B1 (ko) * 2003-05-22 2012-03-23 액셀리스 테크놀로지스, 인크. 플라즈마 처리 장치 및 플라즈마 애싱 방법
KR100766440B1 (ko) * 2006-12-22 2007-10-15 엘지전자 주식회사 전자레인지의 히터장치
KR20150126007A (ko) * 2013-03-05 2015-11-10 어플라이드 머티어리얼스, 인코포레이티드 열 결합된 석영 돔 히트 싱크
KR20220017968A (ko) * 2015-05-22 2022-02-14 램 리써치 코포레이션 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드

Also Published As

Publication number Publication date
DE60131695D1 (de) 2008-01-17
EP1770753A2 (en) 2007-04-04
DE60131695T2 (de) 2008-11-13
EP1770753B1 (en) 2010-12-22
EP1770753A3 (en) 2008-02-20
DE60143717D1 (de) 2011-02-03
EP1150330A3 (en) 2004-12-29
US6635117B1 (en) 2003-10-21
JP2002033311A (ja) 2002-01-31
KR100587628B1 (ko) 2006-06-08
JP4793528B2 (ja) 2011-10-12
EP1150330B1 (en) 2007-12-05
EP1150330A2 (en) 2001-10-31
US6782843B2 (en) 2004-08-31
US20030205328A1 (en) 2003-11-06
TW490705B (en) 2002-06-11

Similar Documents

Publication Publication Date Title
KR100587628B1 (ko) 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판
KR100587629B1 (ko) 기판 표면에 걸쳐서 층류의 가스 흐름을 제공하는 가스분배판 조립체
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
EP1741124B1 (en) Segmented baffle plate assembly for a plasma processing system
JP4868193B2 (ja) プラズマ処理装置、温度制御装置及びその制御方法
JP4995915B2 (ja) フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計
KR101170005B1 (ko) 온도 조절 기구 및 온도 조절 기구를 이용한 반도체 제조 장치
KR20080106041A (ko) 비금속 서셉터를 갖는 플라즈마 cvd 장치
JPH0373523A (ja) 半導体製造材料をエッチング処理する方法
JP2004186440A (ja) プラズマ処理装置及び方法
KR20220122700A (ko) 타깃팅된 열 제어 시스템들
US7384595B2 (en) Heat-treating apparatus and heat-treating method
KR200234113Y1 (ko) 반도체 웨이퍼의 냉각유니트
TWI790777B (zh) 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法
KR950014946B1 (ko) 마이크로파 플라즈마 다운스트림을 이용한 포토레지스트 애셔 시스템
JP2004023060A (ja) 基板処理装置
KR20060078928A (ko) 복수의 냉매 순환 라인이 설치된 웨이퍼 냉각 척 및 이를포함하는 플라즈마 에칭 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130603

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140514

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150512

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160513

Year of fee payment: 11