JP5268626B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP5268626B2
JP5268626B2 JP2008331822A JP2008331822A JP5268626B2 JP 5268626 B2 JP5268626 B2 JP 5268626B2 JP 2008331822 A JP2008331822 A JP 2008331822A JP 2008331822 A JP2008331822 A JP 2008331822A JP 5268626 B2 JP5268626 B2 JP 5268626B2
Authority
JP
Japan
Prior art keywords
sample
gas
processed
diameter
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008331822A
Other languages
English (en)
Other versions
JP2010153680A5 (ja
JP2010153680A (ja
Inventor
賢悦 横川
貴雅 一野
一幸 廣實
任光 金清
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2008331822A priority Critical patent/JP5268626B2/ja
Priority to KR1020090006817A priority patent/KR101039087B1/ko
Priority to US12/392,237 priority patent/US20100163187A1/en
Publication of JP2010153680A publication Critical patent/JP2010153680A/ja
Publication of JP2010153680A5 publication Critical patent/JP2010153680A5/ja
Application granted granted Critical
Publication of JP5268626B2 publication Critical patent/JP5268626B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

本発明は、半導体デバイスを製造するプラズマ処理装置にかかり、特に、レジスト材料等で形成されたマスクパタン形状どおりにシリコンやシリコン酸化膜等の半導体材料をエッチングするドライエッチング技術に関する。
ドライエッチングは、真空排気手段を有する真空容器内に原料ガスを導入し、該原料ガスを電磁波によりプラズマ化して被加工試料にさらし、被加工試料表面のマスク部以外をエッチングすることで所望の形状を得る半導体微細加工方法である。被加工試料面内での加工均一性にはプラズマの分布,被加工試料面内の温度分布,供給ガスの組成および流量分布等が影響する。
特に平行平板型のプラズマ装置では、被加工試料の対面に配置されるシャワープレートから原料ガスが供給され、かつ被加工試料とシャワープレート間の距離も比較的短いことからシャワープレートから供給されるガス供給分布が、加工速度や加工形状等に影響する。
この特性を活用して、シャワープレートの中心部と周辺部で独立にガス組成や流量を制御することで加工形状等の被加工面内均一性を向上させているプラズマ処理装置が、例えば、特許文献1により提案されている。
図7に従来のシャワープレートを示す。
通常、シャワープレートガス供給面5上には複数のガス噴出し孔2を全て均等に配置し、かつ基本的に微細孔1個当たりから噴出するガスの組成や流量は同様であり被加工試料の単位面積あたりのガス供給状態が均等になるよう設計されている。
また、被加工試料面内の中心部と周辺部で大まかにガスの供給量を制御し、反応生成物等の影響を相殺して加工形状の均一化を実現している。
特許文献1に示されるガス供給分布構造においては、中心領域と周辺領域の2領域間では孔1個当たりから噴出するガス組成や流量は異なるが、それぞれの領域内の孔では同様な組成および流量のガスが噴出する構造となっている。
特開2006−41088号公報
シャワープレートに形成されるガス供給孔は、基本的に均等に配置されているため、被加工試料の外周部でガスの供給量が中心付近に比べ相対的に少なくなる傾向にある。
特に、狭ギャップ型の装置では、シャワープレートと被加工試料間の距離が短いため、このガス供給量の不均一に伴う被加工試料外周部での形状不均一が問題となる場合がある。
図3に、ウエハ(被加工試料)直径をD(300mm),ウエハからシャワープレートまでの距離をLとした時、アスペクト比(D/L)と相対ガス分子到達量との関係を示す。これは、シャワープレートの各ガス噴出し孔から均等に噴出したガス分子が等方拡散した場合を仮定し、また、ウエハに対面するガス噴出し孔がウエハ直径と同一径でかつ単位面積あたりの孔数が均一な場合を仮定して、対面するウエハに到達するガス分子の相対量を一次元で計算した結果である。
図3に示すように、ウエハ面に到達するガス分子の相対量はアスペクト比が大きくなるとウエハ外周部で不足気味となることが分かる。つまり、アスペクト比1以上、即ちウエハ直径がφ300mmの場合、ウエハとシャワープレートの距離が300mm以下の場合からウエハ端部でのガス供給量の相対的な不足が生じることが判った。実質的には、アスペクト比が2以上となると、供給量の差を許容できなくなることが判った。
図3で示した課題の解決方法として、シャワープレートに形成するガス噴出し孔の領域を被加工試料直径に対して拡大する方法が考えられる。
図4に、ガス噴出し領域径と相対ガス分子到達量との関係を示す。
これは、ウエハ直径を300mm、シャワープレートには均等にガス噴出し孔が配置されており、ウエハとシャワープレート間の距離Lを24mm(アスペクト比D/L=12.5)とした場合について計算した結果である。
図4に示すように、ガス噴出し孔領域の径を拡大する方法において、十分なガス供給均一性を得るためには、実質的に、ウエハ直径Dの約1.5倍以上、つまり、ガス噴出し孔領域径をφ450mm以上とする必要があることが判った。
つまり、ガス噴出し領域径の拡大では、シャワープレートの大型化に伴う装置の大型化を招くと共に、シャワープレートは一般的に消耗部品として定期的に交換される部品であるため、大型化による消耗品コストの増大が問題となり、現実的な解決策とはならない。
本発明の目的は、被加工試料の外周部で生じるシャワープレートからのガス供給不足を解決し、被加工試料での加工精度の面内均一性を向上させるプラズマ処理装置を提供することにある。
特に、シャワープレート直径の拡大を最小限に抑制し、被加工試料面内へのガス供給均一性を改善することで加工特性の被加工試料面内均一性の向上と消耗品コストの低減を両立するプラズマ処理装置を提供することにある。
上記課題を解決するために、本発明のプラズマ処理装置は、真空容器と、前記真空容器内に設けられ被加工試料を載置する試料台と、前記試料台に対向し前記被加工試料直径よりも大きな直径のガス供給面を有するガス供給手段とを有し、前記被加工試料の表面処理を行うプラズマ処理装置において、前記ガス供給手段のガス供給面の前記被加工試料に面する領域には複数の同一直径のガス噴出し孔が配置されており、前記ガス噴出し孔は、前記被加工試料直径よりも内側である内側領域内においてその孔数密度が全体で均等に配置され、前記被加工試料直径の1倍から1.1倍の範囲であって前記内側領域に隣接した外側領域内において前記内側領域内における前記ガス噴出し孔の孔数密度の1.5倍から4倍の範囲内の孔数密度で配置されたことを特徴とする。

また、真空容器と、前記真空容器内に設けられ被加工試料を載置する試料台と、前記試料台に対向し前記被加工試料直径よりも大きな直径のガス供給面を有するガス供給手段とを有し、前記被加工試料の表面処理を行うプラズマ処理装置において、前記ガス供給手段のガス供給面の前記被加工試料に面する領域には複数の同一直径のガス噴出し孔が配置されており、前記被加工試料直径の1倍から1.1倍の範囲内に前記被加工試料直径よりも内側にあるガス噴出し孔直径の1.1倍から1.5倍の範囲内の直径の値で複数の前記ガス噴出し孔を配置した特徴とする。

本発明によれば、装置の大型化や交換部品であるシャワープレートの大型化を伴わずに被加工試料全面で均等なガス供給分布が得られ、被加工試料の加工速度および加工形状の均一化が達成できる。
以下、本発明の実施の形態を用いて説明する。
本発明の第一の実施例を図1及び図2を用いて説明する。
図1は、本発明の一実施例になるプラズマ処理装置の断面図を示す。プラズマ処理装置は、真空容器24内に被加工試料7を載置する静電吸着機能付の電極15(試料台)と試料台に対向して設けられたシャワープレート1(ガス供給手段)を有している。また、プレート8および分散プレート11を組み込んだ導体製のアンテナ12に放電用高周波電源13から200MHzの高周波電力を供給し、シャワープレート1から供給したガスを放電空間14でプラズマ化する。また被加工試料7には静電吸着機能付の電極15を介して、高周波電源16から4MHzの高周波電圧が印加されており、被加工試料7表面にプラズマからイオンを加速して入射する構造となっている。また、アンテナ12には、放電用の200MHzの高周波電力に重畳して独立に高周波電源17から4MHzの高周波電圧が印加されており、シャワープレート1表面にプラズマから入射するイオンのエネルギーをプラズマ生成および被加工試料のバイアス状態とは独立に制御している。また、アンテナ12および静電吸着機能付の電極15は、絶縁性の液体冷却循環機能21および22によりそれぞれ独立に温度制御されている。
シャワープレート1はシリコンで形成されている。シャワープレートの上段には、シャワープレートと同様な位置でかつシャワープレート1に形成されているガス噴出し孔2より若干大きい孔が形成されたプレート8が配置されている。さらにその上段にはガス供給部9からのガスを分散させるガス分散層10を形成する分散プレート11が配置されている。ガス供給部9は、被加工試料7の内側領域用と外側領域用にそれぞれ独立にもうけられており、流量およびガス組成を被加工試料7の内外で独立に制御できる構造となっている。また該内側領域と外側領域は、それぞれのガス噴出し孔2の形成領域面積がほぼ等しくなる位置を境界としている。なお、本実施例では、内側領域と外側領域の2つの領域に分けた装置で説明するが、領域を分けなくても良いし、3つ以上に分けても良い。
図1では、直径300mmのシリコンウエハを被加工試料とした装置構成であり、シャワープレート1に形成されるガス噴出し孔の中心は、φ314mmの範囲内に形成されている。この314mmの内、内側のφ200mm領域が内側領域、それより外側が外側領域となっている。ガス分散層10も内外で独立しており、それぞれの領域で均一にガスが分散する構造となっている。
図2は、シャワープレート1の表面図で、ガス噴出し孔2の配置を示している。ガス噴出し孔2の直径は0.5mm、シャワープレート1のガス噴出し孔2が形成されている領域の厚さは10mmである。シャワープレートガス供給面5上に形成されるガス噴出し孔2の直径は全て等しい。シャワープレート中心3から等しい間隔(10mmピッチ)で同心円状にガス噴出し孔が形成されている。また各円周上のガス噴出し孔の数は、中心から外周付近までは、円周にほぼ比例する数の孔が形成されている。よって中心から外周付近までは、シャワープレートの単位面積当たりのガス噴出し孔数がほぼ等しい配置となっている。シャワープレートガス供給面5の直径は被加工試料7の直径よりも大きくしている。
図2の構成では、外側領域の外周部の総ガス噴出し孔数は内側領域の約2倍となっている。よって、外側領域に内側領域の約2倍の流量を流すことで、内側および外側領域両方のガス噴出し孔1個当たりから噴出するガス流量は等しくなる。
以上の構成から、被加工試料7の内側および外側のそれぞれでは各ガス噴出し孔2から噴出するガスは、流量およびガス組成がほぼ等しく、被加工試料7表面に供給されるガス状態(流量および組成)分布はガス噴出し孔の密度で決まる構成となっている。なお、本実施例では、ガス噴出し孔1個当たりから噴出するガス流量を等しくした装置で説明するが、例えば、反応生成物起因によるデポ分布を補正する目的で、例えば、酸素流量を内側領域と外側領域で変える場合もあり、必ずしも、ガス噴出し孔1個当たりから噴出するガス流量を等しくする必要はない。
本実施例では、対向する被加工試料7の端部に相当する位置、つまり、ガス噴出し孔の最外周二周分の位置の円周上の単位長さに対する孔数密度を他の円周上の孔数密度に比べ約二倍としている。その他の位置に形成されたガス噴出し孔同士のピッチが10mmであるのに対し、最外周二周分の位置にあるガス噴出し孔同士のピッチは7mmとなっている。
この結果、被加工試料端部に位置するガス噴出し孔の孔数密度は他の領域に比べ約2.85倍(円周方向の密度(2倍)×径方向の密度(10mm/7mm))多くなっている。
即ち、被加工試料7の内側領域では、ガス噴出し孔2が均等に等しい密度で配置されているため均一なガス供給が行われるが、外側領域では、被加工試料7の端部に位置するガス噴出し孔密度が高いため他の領域より多いガスが被加工試料端部に供給されることになる。
図5に、本発明のシャワープレートと従来のシャワープレートにおけるウエハ端部での相対ガス分子到達量の計算結果を示す。
図5では、ウエハ直径Dがφ300mm、ウエハとシャワープレート間の距離Lが24mm(アスペクト比D/L=12.5)とした。
図5に示すように、本発明のシャワープレートでは、ウエハ端部付近でのガス供給量不足が補われウエハ全面で均等なガス供給ができることが確認できる。一方、従来のシャワープレートでは、ウエハ端部付近でガス供給量が中心部に比べ相対的に少なくなっている。これは、シャワープレートから供給されたガスがウエハ周辺から排気され際、円周長の長いウエハ端部では、中心部に比べ排気速度が速くなることが原因と考えられる。また、中心領域では、外周部から噴出したガスも等方拡散により到達するが、ガス噴出し孔の最外周に位置する領域は、それより外側からのガス供給がないことが原因と考えられる。
これにより、本発明のシャワープレートを用いれば、均等なガス供給が可能となり、エッチング特性の均一化に有効であることが判る。
特に、狭ギャップの対向電極構造で、エッチング特性がガスの圧力よりも供給されるガス流量に大きく依存するエッチングメカニズム(フロロカーボン系ガスによるシリコン酸化膜エッチング等)では、エッチング速度やエッチング形状のウエハ面内での差を抑制できる。
図6に、本発明のシャワープレートと従来のシャワープレートにおける、TEOS膜のエッチングレート分布を示す。
本発明のシャワープレートを用いた場合は、内側領域及び外側領域の全てのガス噴出し孔一個当たりから噴出するガス供給量を等しくするため、ガス噴出し孔数比(約2倍)に従って、外側領域のガス流量を内側領域に比べ約2倍の流量(内側流量はAr=500sccm,C48=15sccm,O2=15sccm,外側流量はAr=1000sccm,C48=30sccm,O2=30sccm)とした。
一方、従来のシャワープレートを用いた場合は、内側領域と外側領域でほぼガス噴出し孔数が等しいため、同様なガス流量を供給(内側及び外側流量はAr/C48/O2の混合ガスで、Ar=500sccm,C48=15sccm,O2=15sccm)している。
図6に示すように、従来のシャワープレートを用いた場合では、ウエハ端部のエッチング速度が低下しており、エッチングレート均一性が8%程度であったが、本発明のシャワープレートを用いた場合は、ウエハ中心領域のエッチング速度には影響を与えず、ウエハ端部のエッチング速度は増加しており、エッチングレート均一性は3%程度と改善されていた。
本発明は、エッチング特性に合わせてガス噴出し孔密度を変えることで、加工対象やプロセス条件にとって最適なガス供給分布を選択することが可能である。
次に、本発明において、ガス噴出し孔の孔数密度の最適化と孔数密度を増加させる領域の最適化について説明する。
図8に、シャワープレートのφ280付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図9に、シャワープレートのφ290付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図10に、シャワープレートのφ300付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図11に、シャワープレートのφ320付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図12に、シャワープレートのφ330付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図13に、シャワープレートのφ340付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図14に、シャワープレートのφ360付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量の計算結果を示す。
図8,図9に示すように、ウエハ直径よりも内側の領域で、ガス噴出し孔密度を増加させウエハ端部のガス供給量を増加させると、内側のガス供給量が増加するものの、若干、改善されることが判った。
一方、図10〜図14に示すように、ウエハ直径またはそれよりも外側の領域で、ガス噴出し孔密度を増加させウエハ端部のガス供給量を増加させると、ウエハ領域内で均一なガス供給分布を得られることが判った。
しかし、図13,図14に示すように、φ340mm以上の領域に追加する場合には、その追加位置のガス噴出し孔密度の必要増加倍数が4倍以上となるため、ガス供給量も増加させる必要がある。よって、ガス消費量の増加や装置の排気能力に余分な負担をかけることになる。
このことから、図10〜図12に示すように、φ300からφ330mm程度、即ち、ウエハ直径の1倍から1.1倍程度の範囲でのガス噴出し孔数密度増加が望ましい。
また、ガス噴出し孔密度の増加は、加工対象やプロセス条件により変わるが、1.5倍から4倍の範囲で増加させると、エッチング特性の均一性を最適化すると共に、ガスの消費量を抑えることができる。
本発明の第2の実施例を図15を用いて説明する。
図15は、本発明の実施例2となるシャワープレート1の表面図である。
本実施例では、対向するウエハ端部に相当する位置のガス噴出し孔27を、他の部分に位置する孔径に対して1.3倍(中心部の孔径を0.5mmとすると外周部の孔径は0.65mm)とし、孔数密度は均等とした。実施例1では、同一径のガス噴出し孔4の孔数密度でウエハ端部へのガス供給量を調整したが、実施例2では、孔径で調整することとした。
シャワープレートのガス噴出し孔をガスが通過する際のコンダクタンスは孔径の3〜4乗に比例して増加する(分子流の場合は3乗、粘性流の場合は4乗)。実際には、分子流と粘性流の中間的な値(中間流は3.5乗)になる。
よって、同じ孔数密度でも孔径を拡大することで孔数密度を増加させたのと同様の効果が得られる。
実施例2では、孔数密度は等しく外周部の孔径を他の領域の1.3倍とすることで、外周部のガス供給量を約2.85倍に向上することができる。
実施例1と同様に孔径の拡大量は加工対象やプロセス条件により変えることができる。ガス噴出し孔密度を1.5倍から4倍の範囲に増加、即ち、ガス供給量を1.5倍から4倍に増加させるためには、孔径を1.1倍(1.5の(1/3.5)乗=1.123)から1.5倍(4の(1/3.5)乗=1.486)の範囲とすることで、エッチング特性の均一性を最適化することができる。
また、ガス噴出し孔径を拡大する領域は、実施例1同様にウエハ直径の1倍から1.1倍程度の範囲が好適となる。
本発明は、半導体装置の製造装置、特にリソグラフィー技術によって描かれたパタンをマスクに半導体材料のエッチング処理を行うプラズマエッチング装置に関する。本発明により、被加工試料であるシリコンウエハ端部での加工特性,特に加工速度の均一性および加工形状の均一性を向上させることが可能となる。以上の本発明の効果により、シリコンウエハ端部での良品取得率が高まり、エッチング装置の歩留まり向上が図れる。
本発明を適用したプラズマ処理装置の断面図である。 本発明の第1の実施例にかかるシャワープレートの概略図である。 ウエハ直径Dと、ウエハとシャワープレート間距離Lの比(D/L)によるウエハ表面での相対ガス分子到達量分布の説明図である。 ウエハ直径に対するガス噴出し領域径の効果を示す図である。 本発明における効果を説明する図である。 本発明における効果を説明する図である。 従来のシャワープレートの概略図である。 シャワープレートのφ280付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ290付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ300付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ320付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ330付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ340付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 シャワープレートのφ360付近にガス噴出し孔密度を増加させた場合のウエハ表面での相対ガス分子到達量を示す図である。 本発明の第2の実施例にかかるシャワープレートの概略図である。
符号の説明
1 シャワープレート
2 ガス噴出し孔
3 シャワープレート中心
4,27 シャワープレート外周部位置のガス噴出し孔
5 シャワープレートガス供給面
6 シャワープレート固定用ネジ孔部
7 被加工試料
8 プレート
9 ガス供給部
10 ガス分散層
11 分散プレート
12 アンテナ
13 放電用高周波電源
14 放電空間
15 静電吸着機能付の電極
16,17 高周波電源
18,19,20 自動整合器
21,22 絶縁性の液体冷却循環機能
23 シリコン製フォーカスリング
24 真空容器
25 絶縁材
26 アース板

Claims (4)

  1. 真空容器と、前記真空容器内に設けられ被加工試料を載置する試料台と、前記試料台に対向し前記被加工試料直径よりも大きな直径のガス供給面を有するガス供給手段とを有し、前記被加工試料の表面処理を行うプラズマ処理装置において、
    前記ガス供給手段のガス供給面の前記被加工試料に対向する領域には複数の同一直径のガス噴出し孔が配置されており、
    前記ガス噴出し孔は、前記被加工試料直径よりも内側である内側領域内においてその孔数密度が全体で均等に配置され、前記被加工試料直径の1倍から1.1倍の範囲であって前記内側領域に隣接した外側領域内において前記内側領域内における前記ガス噴出し孔の孔数密度の1.5倍から4倍の範囲内の孔数密度で配置されたことを特徴とするプラズマ処理装置。
  2. 真空容器と、前記真空容器内に設けられ被加工試料を載置する試料台と、前記試料台に対向し前記被加工試料直径よりも大きな直径のガス供給面を有するガス供給手段とを有し、前記被加工試料の表面処理を行うプラズマ処理装置において、
    前記ガス供給手段のガス供給面の前記被加工試料に面する領域には複数の同一直径のガス噴出し孔が配置されており、
    前記ガス噴出し孔は、前記被加工試料直径の1倍から1.1倍の範囲の外側領域内において、前記被加工試料直径よりも内側であって前記外側領域に隣接した内側領域内にける同じ値にされたガス噴出し孔直径の1.1倍から1.5倍の範囲内の直径の値で複数配置されたことを特徴とするプラズマ処理装置。
  3. 請求項1または2に記載のプラズマ処理装置において、
    前記ガス供給面と前記試料台の前記試料が載置される面との間の距離が24mmされたことを特徴とするプラズマ処理装置。
  4. 請求項1乃至3のいずれかに記載のプラズマ処理装置において、
    前記被加工試料直径をD、前記被加工試料から前記ガス供給面までの距離をLとした時、アスペクト比(D/L)が2以上であることを特徴とするプラズマ処理装置。
JP2008331822A 2008-12-26 2008-12-26 プラズマ処理装置 Expired - Fee Related JP5268626B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008331822A JP5268626B2 (ja) 2008-12-26 2008-12-26 プラズマ処理装置
KR1020090006817A KR101039087B1 (ko) 2008-12-26 2009-01-29 플라즈마처리장치
US12/392,237 US20100163187A1 (en) 2008-12-26 2009-02-25 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008331822A JP5268626B2 (ja) 2008-12-26 2008-12-26 プラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2010153680A JP2010153680A (ja) 2010-07-08
JP2010153680A5 JP2010153680A5 (ja) 2012-02-16
JP5268626B2 true JP5268626B2 (ja) 2013-08-21

Family

ID=42283459

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008331822A Expired - Fee Related JP5268626B2 (ja) 2008-12-26 2008-12-26 プラズマ処理装置

Country Status (3)

Country Link
US (1) US20100163187A1 (ja)
JP (1) JP5268626B2 (ja)
KR (1) KR101039087B1 (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101530949B1 (ko) * 2013-11-15 2015-06-25 하나머티리얼즈(주) 플라즈마 챔버용 냉각판
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104681402B (zh) * 2015-03-16 2018-03-16 京东方科技集团股份有限公司 基板加热装置和基板加热方法
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017162901A (ja) * 2016-03-08 2017-09-14 株式会社ディスコ ウエーハの分割方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
KR20020080014A (ko) * 2001-04-10 2002-10-23 주식회사 에이티씨 플라즈마 처리 장치
JP4502639B2 (ja) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
JP2008235611A (ja) * 2007-03-21 2008-10-02 Tohoku Univ プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
KR20100076848A (ko) 2010-07-06
KR101039087B1 (ko) 2011-06-07
JP2010153680A (ja) 2010-07-08
US20100163187A1 (en) 2010-07-01

Similar Documents

Publication Publication Date Title
JP5268626B2 (ja) プラズマ処理装置
US11482418B2 (en) Substrate processing method and apparatus
JP5371466B2 (ja) プラズマ処理方法
TWI670783B (zh) 增進製程均勻性的方法及系統
JP5457037B2 (ja) 基板縁部への不活性ガスの注入
US20090218317A1 (en) Method to control uniformity using tri-zone showerhead
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US20090057269A1 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
TWI430395B (zh) 電漿反應器腔室中具有晶圓邊緣氣體注入之陰極襯墊
US20110203735A1 (en) Gas injection system for etching profile control
JP6050944B2 (ja) プラズマエッチング方法及びプラズマ処理装置
KR20120094980A (ko) 플라즈마 처리장치
JP2014082354A (ja) プラズマ処理装置
US11309165B2 (en) Gas showerhead, manufacturing method, and plasma apparatus including the gas showerhead
JP2002217171A (ja) エッチング装置
JP3913244B2 (ja) 基板処理方法
CN111095498B (zh) 载置台、基板处理装置以及边缘环
JP2018121051A (ja) プラズマ処理装置
JP2000294538A (ja) 真空処理装置
WO2015016980A1 (en) Gas diffuser hole design for improving edge uniformity
JP2006120872A (ja) ガス拡散プレート
KR101197020B1 (ko) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
JP2006344701A (ja) エッチング装置およびエッチング方法
JP4642358B2 (ja) ウエハ載置用電極
JP2006128446A (ja) プラズマcvd方法及び装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111222

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111222

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130507

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees