KR20180034798A - 유전막 형성 방법 및 반도체 장치의 제조 방법 - Google Patents

유전막 형성 방법 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20180034798A
KR20180034798A KR1020160124464A KR20160124464A KR20180034798A KR 20180034798 A KR20180034798 A KR 20180034798A KR 1020160124464 A KR1020160124464 A KR 1020160124464A KR 20160124464 A KR20160124464 A KR 20160124464A KR 20180034798 A KR20180034798 A KR 20180034798A
Authority
KR
South Korea
Prior art keywords
forming
film
silicon nitride
dielectric layer
silicon
Prior art date
Application number
KR1020160124464A
Other languages
English (en)
Inventor
정원웅
조윤정
황선혜
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160124464A priority Critical patent/KR20180034798A/ko
Priority to TW106121237A priority patent/TWI716609B/zh
Priority to US15/639,672 priority patent/US9991112B2/en
Priority to CN201710757944.7A priority patent/CN107871654B/zh
Priority to JP2017180106A priority patent/JP7058962B2/ja
Publication of KR20180034798A publication Critical patent/KR20180034798A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

유전막 형성 방법이 제공된다. 유전막 형성 방법은, 챔버 내에 기판을 제공하고, 상기 기판 상에 ALD(Atomic Layer Deposition) 방법을 이용하여 실리콘 질화막을 형성하는 것을 포함하되, 상기 실리콘 질화막을 형성하는 것은, 상기 챔버 내에 HCDZ(Hexachlorodisilazane)을 포함하는 실리콘 전구체를 포함하는 제1 가스 및 질소 성분을 포함하는 제2 가스를 제공하는 것을 포함한다.

Description

유전막 형성 방법 및 반도체 장치의 제조 방법{Method for forming dielectric layer and Method for fabricating semiconductor device}
본 발명은 유전막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치가 고집적화됨에 따라 반도체 소자를 제조하는 것이 매우 어려워지고 있다. 특히, 반도체 장치의 제조에 이용되는 박막, 예컨대 실리콘 질화막 등을 기판 상에 스텝 커버리지(step coverage)를 갖도록 형성하는 것이 매우 어려워지고 있다.
한편, BTBAS(Bis(Tertiary-Butylamine)Silane) 등과 같은 유기계 실리콘 전구체를 이용한 ALD(Atomic Layer Deposition) 방법을 통하여 실리콘 질화막을 형성하는 경우 비록 스텝 커버리지가 향상될 수는 있으나, 유기계 실리콘 전구체에 포함된 C, N 성분 등에 의해 형성된 실리콘 질화막의 막질이 열화될 수 있다.
본 발명이 해결하고자 하는 과제는, WER(wet etch rate)이 상대적으로 감소된 ALD 방법을 이용하여 실리콘 질화막을 형성함으로써 공정 효율을 향상시킨 유전막 형성 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는, WER(wet etch rate)이 상대적으로 감소된 ALD 방법을 이용하여 실리콘 질화막을 형성함으로써 공정 효율을 향상시킨 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명이 해결하려는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 유전막 형성 방법의 일 실시예는, 챔버 내에 기판을 제공하고, 상기 기판 상에 ALD(Atomic Layer Deposition) 방법을 이용하여 실리콘 질화막을 형성하는 것을 포함하되, 상기 실리콘 질화막을 형성하는 것은, 상기 챔버 내에 HCDZ(Hexachlorodisilazane)을 포함하는 실리콘 전구체를 포함하는 제1 가스 및 질소 성분을 포함하는 제2 가스를 제공하는 것을 포함한다.
상기 과제를 해결하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법의 일 실시예는, 기판 상에 단차가 형성된 구조체를 형성하고, 상기 구조체 상에 ALD(Atomic Layer Deposition) 방법을 이용하여 유전막 구조체를 형성하는 것을 포함하되, 상기 유전막 구조체를 형성하는 것은, 실리콘 질화물을 포함하는 제1 유전막을 형성하는 것을 포함하고, 상기 제1 유전막을 형성하는 것은, 하나의 챔버 내에서, 인시츄(in-situ)로, HCDZ(Hexachlorodisilazane)을 포함하는 실리콘 전구체를 포함하는 제1 가스를 제공하는 것을 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성 방법을 나타낸 타이밍도이다.
도 2 및 도 3은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성과 관련된 실험예들을 설명하기 위한 도면들이다.
도 4 내지 도 6은 본 발명의 기술적 사상에 따른 일 실시예에 따른 반도체 제조 방법을 설명하기 위한 공정 중간 단계 도면들이다.
도 7 내지 도 9는 본 발명의 기술적 사상에 따른 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 공정 중간 단계 도면들이다.
도 10 내지 도 13은 본 발명의 기술적 사상에 따른 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 공정 중간 단계 도면들이다.
도 14 및 도 15는 본 발명의 기술적 사상에 따른 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 공정 중간 단계 도면들이다.
다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다.
이하에서, 도 1을 참조하여 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성 방법에 대해 설명한다.
도 1은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성 방법을 나타낸 타이밍도이다.
본 발명의 기술적 사상에 따른 실리콘 질화막 형성은 ALD 방법을 이용하여 인시츄로 형성된다. ALD(Atomic Layer Deposition) 방법은 실리콘 전구체를 포함하는 제1 가스를 공급하는 제1 단계, 반응하지 않은 제1 가스를 제1 퍼지 가스를 이용하여 제거하는 제2 단계, 질화 가스를 포함하는 제2 가스를 공급하여 원자층 수준의 실리콘 질화막을 형성하는 제3 단계, 반응하지 않은 제2 가스를 제2 퍼지 가스를 이용하여 제거하는 제4 단계를 포함한다.
구체적으로, 도 1을 참조하면, 우선, 반응 챔버 내에 실리콘 질화막이 형성될 대상체(구체적으로, 기판 상에 형성된 구조체)를 위치시킨다. 이 경우, 기판 상에 형성된 구조체의 폭에 대한 높이의 비율인 종횡비는 10 이상일 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이어서, 적정 온도 및 압력을 조절한 후, 실리콘 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 대상체 상에 실리콘 전구체가 흡착된다.
여기서, 실리콘 전구체는 C, N 성분을 포함하는 유기계 실리콘 전구체와는 달리, C, N 성분을 포함하지 않는 화합물일 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, 다른 몇몇 실시예에서, 실리콘 전구체는 C 성분을 포함할 수 있다.
실리콘 전구체는 예를 들어, HCDZ(Hexachlorodisilazane)(화학식 1)를 포함할 수 있다.
<화학식 1>
Figure pat00001
HCDZ를 실리콘 전구체로 사용하는 경우, HCDS(hexachlorodisilane)를 실리콘 전구체로 사용하는 것보다 WER(wet etch rate)이 감소될 수 있다. 여기에서, WER은 DHF(Diluted HF) 즉, DI water를 이용하여 희석된 불산(HF)에 대한 식각률을 의미한다.
즉, HCDZ를 실리콘 전구체로 사용하여 생성된 실리콘 질화막은 HCDS를 실리콘 전구체로 사용하여 생성된 실리콘 질화막보다 식각물질에 대한 식각률이 상대적으로 작다.
이로 인해, HCDZ를 실리콘 전구체로 사용하여 생성된 실리콘 질화막은 상대적으로 작은 두께로 HCDS를 실리콘 전구체로 사용하여 생성된 실리콘 질화막과 동일한 막질 특성을 가질 수 있다.
결과적으로, 본 발명의 기술적 사상에 따른 HCDZ를 실리콘 전구체로 사용하여 실리콘 질화막을 형성하는 경우, 기존의 HCDS를 실리콘 전구체로 사용하여 실리콘 질화막을 형성하는 것보다 공정 시간이 상대적으로 감소하여 공정 효율을 향상시킬 수 있다.
이어서, 챔버 내부로 제1 퍼지 가스, 예를 들어, N2, He 또는 Ar 가스를 공급하여, 챔버 내에 잔류하는(또는 미반응) 실리콘 소스 전구체를 제거한다. 그러면 대상체 상에 흡착된 실리콘 전구체가 원자층 수준으로 얇게 형성된다.
이어서, 챔버 내에 질소 성분을 포함하는 질화 가스를 공급하여 실리콘 질화막을 형성한다. 여기서, 질화 가스는 질소(N2), 암모니아(NH3) 및 일산화질소(NO) 중 적어도 하나를 포함할 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이어서, 챔버 내부로 제2 퍼지 가스, 예를 들어 N2, He 또는 Ar 가스를 공급하여 챔버 내에 잔류하는 질화 가스를 제거한다. 그러면 1 사이클의 실리콘 질화막 형성 공정이 완성되어, 대상체 상에 원자층 수준의 실리콘 질화막이 형성된다.
이후 상기 공정을 반복 수행함으로써, 대상체 상에 적절한 두께의 실리콘 질화막을 형성할 수 있다.
이어서, 실리콘 질화막의 막질을 향상시키기 위하여 대상체 상에 적절한 두께로 형성된 실리콘 질화막을 열처리를 한다. 여기서, 열처리는 예를 들어, 다른 CVD(Chemical Vapor Deposition) 질화막과 같은 증착온도로 850℃ ~ 1050℃ 에서 수행하여 막질을 향상시킬 수 있다. 다만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이에 의해 형성된 실리콘 질화막은 CVD 방법 등에 의해 형성된 실리콘 질화막에 비해 막질이 향상될 수 있다. 본 발명의 기술적 사상에 따라 형성된 실리콘 질화막은 스텝 커버리지가 좋기 때문에, 단차를 갖는 구조체 또는 종횡비(aspect ratio)가 큰 구조체 상에 보이드 없이 컨포말하게 형성될 수 있다.
본 발명에 관한 보다 상세한 내용은 다음의 구체적인 실험예들을 통하여 설명하며, 여기에 기재되지 않은 내용은 이 기술 분야에서 숙련된 자이면 충분히 기술적으로 유추할 수 있는 것이므로 설명을 생략한다.
이하에서, 도 2 및 도 3을 참조하여 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성과 관련된 실험예를 설명한다.
도 2 및 도 3은 본 발명의 기술적 사상에 따른 몇몇 실시예에 따른 실리콘 질화막의 형성과 관련된 실험예들을 설명하기 위한 도면들이다.
실험예 - HCDZ와 HCDS의 공정 온도에 따른 WER 측정
하기와 같은 실험예 및 비교예에 대해서 실험을 실시하였다.
실험예에서는 HCDZ를 사용하는 ALD 방법을 이용하여 실리콘 질화막을 형성하였다. 반면에, 비교예에서는 HCDS를 사용하는 ALD 방법을 이용하여 실리콘 질화막을 형성하였다.
도 2를 참조하면, 실험예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDZ를 이용하여 실리콘 질화막을 형성하였고, 비교예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDS를 이용하여 실리콘 질화막을 형성하였다.
그래프 a1, a2 및 a3는 실험예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDZ를 이용하여 실리콘 질화막을 형성할 때의 WER(wet etch rate)을 나타낸다. 또한, 그래프 b1, b2 및 b3는 비교예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDS를 이용하여 실리콘 질화막을 형성할 때의 WER을 나타낸다. 도 2에 도시된 WER은 DI water를 이용하여 200:1의 비율로 희석된 불산(HF)에 대한 식각률을 의미한다.
그래프 a1 및 b1을 참조하면, 400℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 WER이 낮은 것을 알 수 있다.
또한, 그래프 a2 및 b2를 참조하면, 450℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 WER이 낮은 것을 알 수 있다.
또한, 그래프 a3 및 b3을 참조하면, 500℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 WER이 낮은 것을 알 수 있다.
구체적으로, 500℃에서 HCDS를 이용하는 경우, WER은 4.5nm/min 이상이고, 도 2에서 보는 바와 같이, 대략 4.9nm/min 정도의 WER을 갖는다. 하지만, 500℃에서 HCDZ를 이용하는 경우, WER은 4.5nm/min 미만이고, 도 2에서 보는 바와 같이, 대략 4.2nm/min 정도의 WER을 갖는 것을 알 수 있다.
결과적으로, 실험예에 따라 HCDZ를 이용하여 실리콘 질화막을 형성하는 경우, 4.5nm/min 미만의 WER을 갖는 것을 알 수 있다. 또한, HCDS를 이용하는 것과 달리, HCDZ를 이용함으로써 상대적으로 WER이 감소되고, 이로 인해, 상대적으로 작은 두께로 HCDS를 실리콘 전구체로 이용하여 생성된 실리콘 질화막과 동일한 막질 특성을 가질 수 있다.
실험예 - HCDZ와 HCDS의 공정 온도에 따른 GPC 측정
하기와 같은 실험예 및 비교예에 대해서 실험을 실시하였다.
실험예에서는 HCDZ를 사용하는 ALD 방법을 이용하여 실리콘 질화막을 형성하였다. 반면에, 비교예에서는 HCDS를 사용하는 ALD 방법을 이용하여 실리콘 질화막을 형성하였다.
도 3을 참조하면, 실험예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDZ를 이용하여 실리콘 질화막을 형성하였고, 비교예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDS를 이용하여 실리콘 질화막을 형성하였다.
그래프 a4, a5 및 a6은 실험예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDZ를 이용하여 실리콘 질화막을 형성할 때의 GPC(growth per cycle)를 나타낸다. 또한, 그래프 b4, b5 및 b6은 비교예에 따라 공정 온도 400℃, 450℃ 및 500℃ 각각에서 HCDS를 이용하여 실리콘 질화막을 형성할 때의 GPC를 나타낸다.
그래프 a4 및 b4를 참조하면, 400℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 GPC가 낮은 것을 알 수 있다.
또한, 그래프 a5 및 b5를 참조하면, 450℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 GPC가 낮은 것을 알 수 있다.
다만, 그래프 a6 및 b6을 참조하면, 500℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것과 실험예에 따라 HCDZ를 이용하는 것이 GPC가 실질적으로 동일한 것을 알 수 있다.
결과적으로, 도 2 및 도 3을 참조하면, 500℃에서 실리콘 질화막을 형성하는 경우, 비교예에 따라 HCDS를 이용하는 것과 실험예에 따라 HCDZ를 이용하는 것이 GPC가 실질적으로 동일하고, 비교예에 따라 HCDS를 이용하는 것보다 실험예에 따라 HCDZ를 이용하는 것이 WER이 낮은 것을 알 수 있다.
즉, HCDS를 이용하는 것과 달리, HCDZ를 이용함으로써, 동일한 공정 시간 동안, 막질 특성이 우수한 실리콘 질화막을 형성할 수 있는 것을 알 수 있다.
달리 말하면, HCDS를 이용하는 것과 달리, HCDZ를 이용함으로써, 동일한 막질 특성을 갖는 실리콘 질화막을 형성하기 위한 공정 시간이 상대적으로 감소됨으로써 공정 효율이 향상될 수 있는 것을 알 수 있다.
도 4 내지 도 6을 참조하여 본 발명의 기술적 사상에 따른 일 실시예에 따른 반도체 제조 방법을 설명한다.
도 4 내지 도 6은 본 발명의 기술적 사상에 따른 일 실시예에 따른 반도체 제조 방법을 설명하기 위한 도면들이다. 구체적으로, 도 4 내지 도 6은 플래시 메모리 장치의 제조 방법과 관련된 공정 중간 단계 도면들이다.
도 4를 참조하면, 기판(110) 상에 터널 절연막(130) 및 전하 저장막(140)을 순차적으로 형성한다. 터널 절연막(130)은 전하의 터널링에 대한 에너지 장벽을 제공하며, 예를 들어 실리콘 산화물, 실리콘 질화물 또는 고유전율 물질(high-k material)을 포함할 수 있다.
여기서, 고유전 물질은 하프늄 산화물, 알루미늄 산화물, 하프늄알루미늄 산화물, 지르코늄 산화물과 같은 금속 산화물 등을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 터널 유전막(130)은 유전 상수(dielectric constatnt) 뿐만 아니라 에너지 장벽(energy bandgap) 성질을 고려하여 다양한 물질들로 형성될 수 있다.
전하 저장막(140)은 전하를 저장하는 역할을 한다. 이러한 전하 저장막은, 예를 들어, 플래시 메모리 장치가 플로팅 게이트형인 경우에는 폴리 실리콘막과 같은 도전성 물질로 형성될 수 있으며, 플래시 메모리 장치가 전하 트랩형인 경우에는 실리콘 질화물, 하프늄 산화물, 지르코늄 산화물, 탄탈륨 산화물, 하프늄 질화 산화물, 하프늄 실리콘 산화물, 텅스텐 도프드 알루미늄 산화물, 나노닷 등으로 형성될 수 있다.
여기서, 터널 절연막(130) 및 전하 저장막(140)을 형성하는 것은 예를 들어, 기판(110) 상에 프리(pre) 터널 절연막, 프리 전하 저장막 및 마스크막을 순차적으로 형성하고, 프리 터널 절연막, 프리 전하 저장막 및 마스크막을 패터닝하여 소자 분리막(120)을 형성한 뒤, 마스크막을 제거하는 것을 포함할 수 있다. 하지만, 본 발명의 기술적 사상이 이에 제한하는 것은 아니며, 터널 절연막(130) 및 전하 저장막(140)을 형성하는 것은 다양한 다른 방법에 의하여 형성할 수 있음을 본 발명이 속하는 기술 분야의 당업자는 이해할 수 있을 것이다.
도 5 및 도 6을 참조하면, 전하 저장막(140) 상에 실리콘 산화물로 형성된 제1 유전막(151), 실리콘 산화물과 상이한 물질로 형성된 제2 유전막(152), 실리콘 산화물로 형성된 제3 유전막(153)을 포함하는 유전막 구조체(150)를 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성한다. 여기서, 유전막 구조체(150)는 플래시 메모리 장치가 플로팅 게이트형인 경우에는 게이트간 유전막일 수 있으며, 플래시 메모리 장치가 전하 트랩형인 경우에는 블록킹 유전막일 수 있다.
이하에서, 제2 유전막(152)을 실리콘 질화막으로서 유전막 구조체(150)를 인시츄(in-situ)로 형성하는 경우를 예시적으로 설명한다.
우선, 챔버 내에 전하 저장막(140)이 형성된 단차를 갖는 반도체 기판(110)을 위치시킨다. 이어서, 실리콘 산화물을 포함하는 제1 유전막(151)을 형성한다.
이어서, 적정 온도 및 압력을 조절한 후, 실리콘 소스 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 제1 유전막(151) 상에 실리콘 전구체가 흡착된다. 여기서, 실리콘 소스 전구체는 상술한 바와 같이 예를 들어, HCDZ를 이용할 수 있다.
이어서, 상술한 바와 같이, 제1 퍼지 가스, 제2 가스 및 제2 퍼지 가스를 차례로 공급하여 제1 유전막(151) 상에 실리콘 질화물을 포함하는 원자층 수준의 제2 유전막(152)을 형성한다.
상기 과정을 반복 수행함으로써 제1 유전막(151) 상에 적정 두께의 실리콘 질화막으로 이루어진 제2 유전막(152)을 형성한다.
이어서, 제2 유전막(152) 상에 실리콘 산화물을 포함하는 제3 유전막(153)을 형성한다.
이에 의해 형성된 유전막 구조체(150)는 스텝 커버리지가 좋기 때문에, 종횡비가 큰 예를 들어, 종횡비가 10 이상인 전하 저장막(140) 사이의 스페이스에 보이드 없이 컨포말하게 형성할 수 있다. 또한, 제1 내지 제3 유전막(151, 152, 153)을 인시츄로 형성하므로, 공정 시간이 줄어드는 등 공정이 간단해질 수 있다.
이어서, 유전막 구조체(150) 상에 컨트롤 게이트(160)를 형성한다. 컨트롤 게이트(160)는 예를 들어, 폴리실리콘으로 형성되거나, 금속 전극 물질, 예를 들어 TaN, TiN, WN 및 W을 포함하는 그룹에서 선택된 하나 또는 그 조합으로 형성될 수 있다.
도 7 내지 도 9를 참조하여 본 발명의 기술적 사상에 따른 다른 실시예에 따른 반도체 제조 방법을 설명한다.
도 7 내지 도 9는 본 발명의 기술적 사상에 따른 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 도면들이다. 구체적으로, 도 7 내지 도 9는 DRAM 셀 반도체 장치의 제조 방법과 관련된 공정 중간 단계 도면들이다.
도 7을 참조하면, DRAM 셀 영역의 레이아웃 도면이 도시되어 있다. 기판의 활성영역(210)을 게이트(230)가 수평방향으로 지나가고, GBL(global bit line, 240)이 수직방향으로 지나간다. 기판의 활성영역(210) 이외의 부분은 소자 분리영역(220)이다.
도 8 및 도 9를 참조하면, 메모리 셀 영역이 도시되어 있다. 반도체 기판의 활성영역(210) 상에 비트라인이 되는 GBL(240)을 형성한다. 이어서, GBL(240)을 형성 후에, GBL(240)의 측벽에 실리콘 산화물을 포함하는 제1 유전막(251)을 형성한다. 이 경우 제1 유전막(251)은 스페이서 기능을 수행할 수 있다.
이어서, 제1 유전막(251) 상에 실리콘 질화물을 포함하는 제2 유전막(252)을 형성한다. 이 경우, 제2 유전막(252)은 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성될 수 있다.
구체적으로, 제2 유전막(252)을 형성하는 것은 적정 온도 및 압력을 조절한 후, 실리콘 소스 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 제1 유전막(251) 상에 실리콘 전구체가 흡착된다. 여기서, 실리콘 소스 전구체는 상술한 바와 같이 예를 들어, HCDZ를 이용할 수 있다.
이어서, 상술한 바와 같이, 제1 퍼지 가스, 제2 가스 및 제2 퍼지 가스를 차례로 공급하여 제1 유전막(251) 상에 실리콘 질화물을 포함하는 원자층 수준의 제2 유전막(252)을 형성한다. 이 경우, 제2 가스와 실리콘 전구체의 반응은 Thermal 및 Plasma 로 여기 시켜 반응시킬 수 있다.
상기 과정을 반복 수행함으로써 제1 유전막(251) 상에 적정 두께의 실리콘 질화막으로 이루어진 제2 유전막(252)을 형성한다.
이어서, 제2 유전막(252) 상에 실리콘 산화물을 포함하는 제3 유전막(253)을 형성한다.
셀 영역에는 불순물층 형성이 필요 없기 때문에 셀 영역을 덮는 감광액 패턴(도시하지 않음)을 형성한다. 감광액 패턴(도시하지 않음)을 제거하고 제3 유전막(253)을 제거한 후 제2 유전막(252)을 이방성 식각하여 질화막 스페이서를 만들고 기판의 활성영역(210)과 접하는 제2 유전막(252)을 제거 한다.
도 10 내지 도 13을 참조하여 본 발명의 기술적 사상에 따른 또 다른 실시예에 따른 반도체 제조 방법을 설명한다.
도 10 내지 도 13은 본 발명의 기술적 사상에 따른 또 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 도면들이다. 구체적으로, 도 10 내지 도 13은 적층 나노시트 트랜지스터 구조를 갖는 반도체 장치의 제조 방법과 관련된 공정 중간 단계 도면들이다.
도 10을 참조하면, 기판(310) 상에 희생층(321) 및 반도체층(322)이 교대로 적층된 적층 구조체(320)를 형성한다.
적층 구조체(320) 중 기판(310)과 접하는 희생층(321)은 예를 들어, 웨이퍼 본딩(wafer bonding) 방식 등을 통해, 기판(310)에 접합된 층일 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
기판(310)과 접하는 희생층(321) 상에 반도체층(322)과 희생층(321)을 교대로 형성할 수 있다. 희생층(321)과 반도체층(322)은 예를 들어, 에피택셜 성장(epitaxial growth) 방법을 이용하여 형성될 수 있지만, 본 발명이 기술적 사상이 이에 제한되는 것은 아니다. 적층 구조체(320)의 최상층은 예를 들어, 희생층(321)일 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
희생층(321)과 반도체층(322)은 서로 다른 물질을 포함할 수 있다. 희생층(321)과 반도체층(322)은 서로 간에 다른 식각 선택비를 갖는 물질을 포함할 수 있다. 희생층(321)은 예를 들어, SiGe 또는 Ge 중 하나를 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 반도체층(322)은 예를 들어, Si 또는 III-V족 화합물 반도체 중 하나를 포함할 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이어서, 적층 구조체(320) 상에, 제1 방향(X)으로 연장되는 제1 마스크 패턴(도 11의 341)을 형성한다.
제1 마스크 패턴(도 11의 341)은 예를 들어, 실리콘 산화막, 실리콘 질화막, 실리콘 산화 질화막 중 적어도 하나를 포함하는 물질로 형성될 수 있다. 제1 마스크 패턴(341)이 실리콘 질화막을 포함하는 경우, 제1 마스크 패턴(341)은 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성될 수 있다.
구체적으로, 제1 마스크 패턴(341)을 형성하는 것은 적정 온도 및 압력을 조절한 후, 실리콘 소스 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 적층 구조체(320) 상에 실리콘 전구체가 흡착된다. 여기서, 실리콘 소스 전구체는 상술한 바와 같이 예를 들어, HCDZ를 이용할 수 있다.
이어서, 상술한 바와 같이, 제1 퍼지 가스, 제2 가스 및 제2 퍼지 가스를 차례로 공급하여 적층 구조체(320) 상에 실리콘 질화물을 포함하는 원자층 수준의 제1 마스크 패턴(341)을 형성한다.
상기 과정을 반복 수행함으로써 적층 구조체(320) 상에 적정 두께의 실리콘 질화막으로 이루어진 제1 마스크 패턴(341)을 형성한다.
도 11을 참조하면, 제1 마스크 패턴(341)을 마스크로 이용하여, 적층 구조체(320)를 식각하여, 반도체 패턴 구조체(330)를 형성한다. 예를 들어, 적층 구조체(320)를 기판(310)의 상면이 노출될 때까지 식각함으로써, 반도체 패턴 구조체(330)가 형성될 수 있다.
반도체 패턴 구조체(330)는 제1 방향(X)으로 길게 연장될 수 있다. 또한 반도체 패턴 구조체(330)는 기판(310) 상에 교대로 적층된 복수의 희생층 및 복수의 반도체층을 포함할 수 있다.
즉, 반도체 패턴 구조체(330)는 기판(310) 상에 형성된 제1 희생층(331)과, 제1 희생층(331) 상에 형성된 제1 반도체층(334)과, 제1 반도체층(334) 상에 형성된 제2 희생층(332)과, 제2 희생층(332) 상에 형성된 제2 반도체층(335)과, 제2 반도체층(335) 상에 형성된 제3 희생층(333)을 포함할 수 있다.
또한, 적층 구조체(330)를 식각 할 때, 기판(310)의 일부도 식각하여 액티브 영역(AR)을 형성할 수 있다. 또한, 기판(310)과 제1 마스크 패턴(341)을 덮도록 층간 절연막을 형성한 후, 제1 마스크 패턴(341)의 상면이 노출될 때까지 평탄화 공정을 수행함으로써, 도 11의 층간 절연막(351)이 형성될 수 있다.
이어서, 반도체 패턴 구조체(330) 상에 위치하는 제1 마스크 패턴(341)을 제거할 수 있다.
도 12를 참조하면, 제2 마스크 패턴(342)을 이용하여 식각 공정을 진행하여, 반도체 패턴 구조체(330)과 교차하여 제1 방향(X) 및 제2 방향(Z)과 수직인 제3 방향으로 연장되는 게이트 절연막(353) 및 희생 게이트(360)를 형성할 수 있다.
제2 마스크 패턴(342)은 예를 들어, 실리콘 산화막, 실리콘 질화막, 실리콘 산화 질화막 중 적어도 하나를 포함하는 물질로 형성될 수 있다. 제2 마스크 패턴(342)이 실리콘 질화막을 포함하는 경우, 제2 마스크 패턴(342)은 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성될 수 있다.
이를 통해 희생 게이트(360)는 반도체 패턴 구조체(330) 상에 형성될 수 있다. 또한, 게이트 절연막(353)은 각각 절연막(352)의 측벽 및 상면과 필드 절연막(354)의 상면을 따라 형성될 수 있다.
여기에서, 반도체 패턴 구조체(330)를 덮도록 절연막(352)을 형성할 수 있으며, 절연막(352)은 반도체 패턴 구조체(330)와 게이트 절연막(353) 사이에 형성될 수 있다. 여기에서 절연막(352)은 예를 들어, 산화막일 수 있고, 보다 구체적으로 SiO2를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
게이트 절연막(353)은 실리콘 산화막일 수 있고, 희생 게이트(360)는 폴리 실리콘 또는 비정질 실리콘 중 하나일 수 있지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 13을 참조하면, 희생 게이트(360)의 측벽에 게이트 스페이서(370)와, 더미 게이트 스페이서(380)를 형성한다.
구체적으로, 희생 게이트(360)와 반도체 패턴 구조체(330)를 덮는 스페이서막을 기판(310) 상에 형성한다. 스페이서막이 실리콘 질화물을 포함하는 경우, 스페이서막은 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성될 수 있다.
구체적으로, 스페이서막을 형성하는 것은 적정 온도 및 압력을 조절한 후, 실리콘 소스 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 희생 게이트(360)와 반도체 패턴 구조체(330) 상에 실리콘 전구체가 흡착된다. 여기서, 실리콘 소스 전구체는 상술한 바와 같이 예를 들어, HCDZ를 이용할 수 있다.
이어서, 상술한 바와 같이, 제1 퍼지 가스, 제2 가스 및 제2 퍼지 가스를 차례로 공급하여 희생 게이트(360)와 반도체 패턴 구조체(330) 상에 실리콘 질화물을 포함하는 원자층 수준의 스페이서막을 형성한다.
상기 과정을 반복 수행함으로써 희생 게이트(360)와 반도체 패턴 구조체(330) 상에 적정 두께의 실리콘 질화막으로 이루어진 스페이서막을 형성한다.
이어서, 스페이서막을 에치백(etch-back)하여, 희생 게이트(360)의 측벽에 게이트 스페이서(370)와 더미 게이트 스페이서(380)를 형성할 수 있다.
도 14 및 도 15를 참조하여 본 발명의 기술적 사상에 따른 또 다른 실시예에 따른 반도체 제조 방법을 설명한다.
도 14 및 도 15는 본 발명의 기술적 사상에 따른 또 다른 실시예에 따른 반도체 제조 방법을 설명하기 위한 도면들이다. 구체적으로, 도 14 및 도 15는 컨택 내에 스페이서가 형성된 반도체 장치의 제조 방법과 관련된 공정 중간 단계 도면들이다.
도 14를 참조하면, 제1 게이트 패턴과 제2 게이트 패턴은 기판(410) 상에, 서로 이격되어 형성될 수 있다. 여기에서 제1 게이트 패턴과 제2 게이트 패턴은 실질적으로 동일한 구성을 포함한다.
제1 및 제2 게이트 패턴 각각은 게이트 스페이서(450), 절연막 패턴(420), 게이트 전극 패턴(430), 캡핑 패턴(440)을 포함할 수 있다.
절연막 패턴(420)은 인터페이스막(421)과 게이트 절연막(422)을 포함할 수 있다.
인터페이스막(421)은 기판(410)과 게이트 절연막(422) 사이의 불량 계면을 방지하는 역할을 할 수 있다.
인터페이스막(421)은 유전율(k)이 9 이하인 저유전 물질층, 예를 들면, 실리콘 산화막(k는 약 4) 또는 실리콘 산질화막(산소 원자 및 질소 원자 함량에 따라 k는 약 4~8)을 포함할 수 있다.
인터페이스막(421)은, 예를 들어, 화학적 산화 방법, 자외선 산화(UV oxidation) 방법 또는 듀얼 플라즈마 산화(Dual Plasma oxidation) 방법 등을 이용하여 형성될 수 있다.
게이트 절연막(422)은 인터페이스막(421) 상에 형성될 수 있다. 다만, 인터페이스막(421)이 존재하지 않는 경우에, 게이트 절연막(422)은 기판(410) 상에 형성될 수 있다.
게이트 절연막(422)은 고유전율(high-k)을 갖는 물질을 포함할 수 있다. 게이트 절연막(422)은, 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 또는 납 아연 니오브산염(lead zinc niobate) 중에서 적어도 하나를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
한편, 게이트 절연막(422)은 형성하고자 하는 소자의 종류에 따라 적절한 두께로 형성될 수 있다. 예를 들어, 게이트 절연막(422)이 HfO2인 경우에, 게이트 절연막(422)은 약 50Å 이하의(약 5Å 내지 50Å)의 두께로 형성될 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
게이트 전극 패턴(430)은 일함수 조절막(431)과 게이트 메탈(432)을 포함할 수 있다.
일함수 조절막(431)은 게이트 절연막(422) 상에 형성될 수 있다. 일함수 조절막(431)은 게이트 절연막(422)과 접촉되어 형성될 수 있다. 일함수 조절막(431)은 일함수 조절을 위해 이용된다.
일함수 조절막(431)은, 예를 들어, 메탈 질화물을 포함할 수 있다. 일함수 조절막(431)은 반도체 장치의 타입에 따라 다른 물질을 포함할 수 있다. 예를 들어, p형 일함수 조절막은, 예를 들어, TiN, WN, TaN, Ru 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 또한, n형 일함수 조절막은, 예를 들어, Ti, Ag, Al, TiAl, TiAlN, TiAlC, TaC, TaCN, TaSiN, Mn, Zr 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
또한, p형 일함수 조절막은, TiN으로 이루어진 단일막, 또는 TiN 하부막과 TaN 상부막으로 이루어진 이중막 등으로 이루어질 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
게이트 메탈(432)은 일함수 조절막(431) 상에 형성될 수 있다. 게이트 메탈(432)은, 도시된 것과 같이, 일함수 조절막(431)과 접촉하여 형성될 수 있다. 즉, 게이트 메탈(432)은 일함수 조절막(431)에 의해 생성된 공간을 채우도록 형성될 수 있다. 게이트 메탈(432)은 도전성을 갖는 물질, 예를 들어, W 또는 Al을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
캡핑 패턴(440)은 게이트 메탈(432) 상에 형성될 수 있다. 캡핑 패턴(440)은, 도시된 것과 같이 게이트 메탈(432)과 접촉하여 형성될 수 있다. 캡핑 패턴(440)은, 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 탄질화물(SiCN), 실리콘 탄화 산질화물(SiOCN) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
층간 절연막(460)은, 기판(410) 상에 형성되고, 제1 게이트 패턴과 제2 게이트 패턴을 감싸도록 형성될 수 있다. 층간 절연막(460)은, 예를 들어, 저유전율 물질, 산화막, 질화막 및 산질화막 중 적어도 하나를 포함할 수 있다. 저유전율 물질은 예를 들어, FOX(Flowable Oxide), TOSZ(Tonen SilaZen), USG(Undoped Silica Glass), BSG(Borosilica Glass), PSG(PhosphoSilaca Glass), BPSG(BoroPhosphoSilica Glass), PETEOS(Plasma Enhanced Tetra Ethyl Ortho Silicate), FSG(Fluoride Silicate Glass), CDO(Carbon Doped silicon Oxide), Xerogel, Aerogel, Amorphous Fluorinated Carbon, OSG(Organo Silicate Glass), Parylene, BCB(bis-benzocyclobutenes), SiLK, polyimide, porous polymeric material 또는 이들의 조합을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제1 및 제2 게이트 패턴이 기판(410) 상에 형성된 후, 제1 및 제2 게이트 패턴을 덮는 층간 절연막(460)을 형성한다.
이어서, 제1 게이트 패턴과 제2 게이트 패턴 사이의 기판(410)을 노출하도록 층간 절연막(460) 내에 트렌치(T)를 형성한다. 층간 절연막(460) 내에 트렌치(T)를 형성하는 것은, 예를 들어, 건식 식각 공정, 습식 식각 공정 또는 이들의 조합으로 층간 절연막(460)의 일부를 제거하여 완성할 수 있다.
도 15를 참조하면, 트렌치(T) 내의 측벽에 스페이서 물질(470)을 컨포말하게 형성한다. 스페이서 물질(470)이 실리콘 질화막을 포함하는 경우, 스페이서 물질(470)은 상술한 본 발명의 기술적 사상에 따른 ALD 방법을 이용하여 형성될 수 있다.
구체적으로, 트렌치(T) 내의 측벽에 스페이서 물질(470)을 형성하는 것은 적정 온도 및 압력을 조절한 후, 실리콘 소스 전구체를 포함하는 제1 가스를 챔버 내에 공급한다. 그러면, 트렌치(T) 상에 실리콘 전구체가 흡착된다. 여기서, 실리콘 소스 전구체는 상술한 바와 같이 예를 들어, HCDZ를 이용할 수 있다.
이어서, 상술한 바와 같이, 제1 퍼지 가스, 제2 가스 및 제2 퍼지 가스를 차례로 공급하여 트렌치(T) 상에 실리콘 질화물을 포함하는 원자층 수준의 스페이서 물질(470) 컨포말하게 형성한다.
상기 과정을 반복 수행함으로써 트렌치(T) 상에 적정 두께의 실리콘 질화막으로 이루어진 컨택 스페이서를 형성한다.
본 발명의 기술적 사상에 따른 유전막 형성 방법 및 반도체 장치의 제조 방법들은, HCDZ를 포함하는 실리콘 전구체를 이용하여 ALD 방법으로 유전막을 형성함으로써, 기존의 다른 실리콘 전구체를 사용하는 것과 비교하여 우수한 스텝 커버리지를 구현할 수 있다. 이로 인해, 단차를 갖는 구조체 또는 종횡비(aspect ratio)가 큰 구조체 상에 실리콘 질화막을 보이드 없이 컨포말하게 형성할 수 있다.
또한, 앞에서 실험예와 비교예를 통해 상술한 바와 같이, 기존의 다른 실리콘 전구체를 사용하는 것과 비교하여 상대적으로 WER이 향상되고, 이로 인해, 상대적으로 작은 두께로 기존의 다른 실리콘 전구체를 사용하는 것과 실질적으로 동일한 막질 특성을 가질 수 있다.
결과적으로, 본 발명의 기술적 사상에 따른 HCDZ를 실리콘 전구체로 사용하여 실리콘 질화막을 형성하는 경우, 기존의 실리콘 전구체를 사용하여 실리콘 질화막을 형성하는 것보다 공정 시간이 상대적으로 감소하여 공정 효율을 향상시킬 수 있다.
이상에서 본 발명의 기술적 사상에 따른 ALD 방법을 이용한 유전막 형성 방법 및 반도체 제조 방법들을 예시적으로 설명하였지만, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 즉, ALD 방법을 이용하여 실리콘 질화물을 포함하는 유전막을 컨포말하게 형성하는 다른 유전막 형성 방법 및 반도체 제조 방법들에 본 발명의 기술적 사상에 따른 ALD 방법이 사용될 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 기술적 사상에 따른 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
110: 기판 120: 소자 분리막
130: 터널 절연막 140: 전하 저장막
151: 제1 유전막 152: 제2 유전막
153: 제3 유전막 160: 컨트롤 게이트

Claims (10)

  1. 챔버 내에 기판을 제공하고,
    상기 기판 상에 ALD(Atomic Layer Deposition) 방법을 이용하여 실리콘 질화막을 형성하는 것을 포함하되,
    상기 실리콘 질화막을 형성하는 것은,
    상기 챔버 내에 HCDZ(Hexachlorodisilazane)을 포함하는 실리콘 전구체를 포함하는 제1 가스 및 질소 성분을 포함하는 제2 가스를 제공하는 것을 포함하는 유전막 형성 방법.
  2. 제 1항에 있어서,
    상기 실리콘 질화막을 형성하는 것은,
    상기 기판 상에 게이트를 형성하고,
    상기 게이트의 측벽에 상기 실리콘 질화막을 형성하는 것을 더 포함하는 유전막 형성 방법.
  3. 제 1항에 있어서,
    상기 실리콘 질화막은 500℃에서 WER(wet etch rate)이 4.5nm/min 보다 작은 유전막 형성 방법.
  4. 기판 상에 단차가 형성된 구조체를 형성하고,
    상기 구조체 상에 ALD(Atomic Layer Deposition) 방법을 이용하여 유전막 구조체를 형성하는 것을 포함하되,
    상기 유전막 구조체를 형성하는 것은,
    실리콘 질화물을 포함하는 제1 유전막을 형성하는 것을 포함하고,
    상기 제1 유전막을 형성하는 것은,
    하나의 챔버 내에서, 인시츄(in-situ)로, HCDZ(Hexachlorodisilazane)을 포함하는 실리콘 전구체를 포함하는 제1 가스를 제공하는 것을 포함하는 반도체 장치의 제조 방법.
  5. 제 4항에 있어서,
    상기 제1 유전막을 형성하는 것은,
    상기 챔버 내에 HCDZ(Hexachlorodisilazane)을 포함하는 상기 제1 가스를 제공하고,
    상기 챔버 내에 제1 퍼지 가스를 제공하여 미반응 상기 제1 가스를 제거하고,
    상기 챔버 내에 질소 가스를 포함하는 제2 가스를 제공하고,
    상기 챔버 내에 제2 퍼지 가스를 제공하여 미반응 상기 제2 가스를 제거하는 것을 포함하는 반도체 장치의 제조 방법.
  6. 제 4항에 있어서,
    상기 유전막 구조체를 형성하는 것은,
    상기 기판과 상기 제1 유전막 사이에 실리콘 산화물을 포함하는 제2 유전막을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  7. 제 6항에 있어서,
    상기 유전막 구조체를 형성하는 것은,
    상기 기판 상에 GBL(global bit line)을 형성하고,
    상기 GBL 상에 상기 제2 유전막을 형성하고,
    상기 제2 유전막 상에 상기 제1 유전막을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  8. 제 6항에 있어서,
    상기 유전막 구조체를 형성하는 것은,
    상기 기판 상에 전하 저장막을 형성하고,
    상기 전하 저장막 상에 상기 제2 유전막을 형성하고,
    상기 제2 유전막 상에 상기 제1 유전막을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  9. 제 4항에 있어서,
    상기 제1 유전막을 형성하는 것은,
    상기 기판 상에 제1 및 제2 게이트 패턴을 형성하고,
    상기 제1 및 제2 게이트 패턴을 감싸는 절연막 패턴을 형성하고,
    상기 제1 및 제2 게이트 패턴 사이의 상기 절연막 패턴 내에 트렌치를 형성하고,
    상기 트렌치 내의 측벽에 상기 제1 유전막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  10. 제 4항에 있어서,
    상기 제1 유전막을 마스크층으로 하여 상기 구조체를 식각하는 반도체 장치의 제조 방법.
KR1020160124464A 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법 KR20180034798A (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020160124464A KR20180034798A (ko) 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법
TW106121237A TWI716609B (zh) 2016-09-28 2017-06-26 形成介電膜的方法以及製造半導體裝置的方法
US15/639,672 US9991112B2 (en) 2016-09-28 2017-06-30 Method for forming dielectric film and method for fabricating semiconductor device
CN201710757944.7A CN107871654B (zh) 2016-09-28 2017-08-29 形成介电膜的方法及制作半导体装置的方法
JP2017180106A JP7058962B2 (ja) 2016-09-28 2017-09-20 誘電膜の形成方法及び半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160124464A KR20180034798A (ko) 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법

Publications (1)

Publication Number Publication Date
KR20180034798A true KR20180034798A (ko) 2018-04-05

Family

ID=61686587

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160124464A KR20180034798A (ko) 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (1) US9991112B2 (ko)
JP (1) JP7058962B2 (ko)
KR (1) KR20180034798A (ko)
CN (1) CN107871654B (ko)
TW (1) TWI716609B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018063907A1 (en) * 2016-09-28 2018-04-05 Dow Corning Corporation Chlorodisilazanes
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10147875B1 (en) * 2017-08-31 2018-12-04 Micron Technology, Inc. Semiconductor devices and electronic systems having memory structures
CN109659361B (zh) * 2017-10-12 2022-03-04 电力集成公司 用于异质结器件的栅极堆叠体
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102473659B1 (ko) 2018-08-08 2022-12-02 삼성전자주식회사 반도체 소자
SG11202108234QA (en) * 2019-02-01 2021-08-30 Versum Materials Us Llc Compositions and methods using same for silicon containing films
US10833191B2 (en) 2019-03-05 2020-11-10 International Business Machines Corporation Integrating nanosheet transistors, on-chip embedded memory, and extended-gate transistors on the same substrate
CN110400832A (zh) * 2019-06-12 2019-11-01 北海惠科光电技术有限公司 阵列基板的制备方法及阵列基板
CN112242299A (zh) * 2019-07-18 2021-01-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20210296306A1 (en) 2020-03-18 2021-09-23 Mavagail Technology, LLC Esd protection for integrated circuit devices
CN113496952B (zh) * 2020-04-08 2023-06-09 长鑫存储技术有限公司 半导体结构及其制作方法
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540803A (en) * 1983-11-28 1985-09-10 Dow Corning Corporation Hydrosilazane polymers from [R3 Si]2 NH and HSiCl3
US4868014A (en) 1986-01-14 1989-09-19 Canon Kabushiki Kaisha Method for forming thin film multi-layer structure member
DE4107108A1 (de) * 1991-03-06 1992-09-10 Bayer Ag Siliciumbornitridkeramik und vorlaeuferverbindungen, verfahren zu deren herstellung sowie deren verwendung
DE4241287A1 (de) * 1992-12-08 1994-06-09 Bayer Ag Siliciumaluminiumnitridkeramik und Vorläuferverbindungen, Verfahren zu ihrer Herstellung sowie deren Verwendung
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5436083A (en) * 1994-04-01 1995-07-25 Dow Corning Corporation Protective electronic coatings using filled polysilazanes
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) * 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
JP2011014838A (ja) 2009-07-06 2011-01-20 Toshiba Corp 不揮発性半導体記憶装置
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
JP2013077805A (ja) 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013046157A1 (en) 2011-09-27 2013-04-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions
JP2013187223A (ja) 2012-03-06 2013-09-19 Elpida Memory Inc 半導体装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5842750B2 (ja) 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
JP6529927B2 (ja) 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
CN107871654B (zh) 2023-08-29
CN107871654A (zh) 2018-04-03
TWI716609B (zh) 2021-01-21
JP2018056561A (ja) 2018-04-05
JP7058962B2 (ja) 2022-04-25
US9991112B2 (en) 2018-06-05
US20180090313A1 (en) 2018-03-29
TW201824357A (zh) 2018-07-01

Similar Documents

Publication Publication Date Title
US9991112B2 (en) Method for forming dielectric film and method for fabricating semiconductor device
US9812463B2 (en) Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
TWI759392B (zh) 製造半導體裝置的方法及形成介電層的方法
TW202015106A (zh) 半導體裝置及其形成方法
KR20190024536A (ko) 랩 어라운드 접촉 플러그 및 그 제조 방법
US11903209B2 (en) Vertical semiconductor device and method for fabricating the same
TWI694524B (zh) 半導體結構及其形成方法
US20230261080A1 (en) Multi-Layer Inner Spacers and Methods Forming the Same
CN115172273A (zh) 形成半导体器件的方法
TW202310176A (zh) 製造半導體裝置的方法
TW201834211A (zh) 三維記憶體元件及其製造方法
TWI813200B (zh) 積體電路結構及其形成方法
KR102557598B1 (ko) 나노시트 전계 효과 트랜지스터 디바이스 및 형성 방법
TWI785775B (zh) 一種半導體元件及其製造方法
TWI811781B (zh) 半導體裝置的形成方法
US20240021706A1 (en) Reducing k values of dielectric films through anneal
TW202310074A (zh) 半導體裝置
TW202422708A (zh) 半導體裝置及其形成方法
TW202336839A (zh) 積體電路結構與其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal