TWI716609B - 形成介電膜的方法以及製造半導體裝置的方法 - Google Patents

形成介電膜的方法以及製造半導體裝置的方法 Download PDF

Info

Publication number
TWI716609B
TWI716609B TW106121237A TW106121237A TWI716609B TW I716609 B TWI716609 B TW I716609B TW 106121237 A TW106121237 A TW 106121237A TW 106121237 A TW106121237 A TW 106121237A TW I716609 B TWI716609 B TW I716609B
Authority
TW
Taiwan
Prior art keywords
film
dielectric film
forming
silicon nitride
substrate
Prior art date
Application number
TW106121237A
Other languages
English (en)
Other versions
TW201824357A (zh
Inventor
鄭元雄
曺侖廷
黃宣惠
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201824357A publication Critical patent/TW201824357A/zh
Application granted granted Critical
Publication of TWI716609B publication Critical patent/TWI716609B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種形成介電膜的方法包括:在腔室中提供基底;以及使用原子層沉積(ALD)方法在所述基底上形成氮化矽膜,在所述原子層沉積方法中,將第一氣體及第二氣體引入到所述腔室中,第一氣體包含含有六氯二矽氮烷(HCDZ)的矽前體,第二氣體含有氮成分。

Description

形成介電膜的方法以及製造半導體裝置的方法
本發明是有關於一種形成介電膜的方法以及一種使用所述方法製作半導體裝置的方法。
隨著半導體裝置的積集度增大,製造半導體元件變得非常困難。具體來說,在基底上將半導體裝置的薄膜(例如,氮化矽膜)形成為具有良好的階梯覆蓋率變得非常困難。
同時,當通過使用例如雙叔丁基胺基矽烷(Bis(Tertiary-Butylamine)Silane,BTBAS)等有機矽前體的原子層沉積(atomic layer deposition,ALD)方法形成氮化矽膜時,儘管階梯覆蓋率可得到改善,然而有機矽前體中所含有的C成分及N成分可能會對氮化矽膜的品質產生不利影響。
根據本發明概念的實例,提供一種形成介電膜的方法,所述方法包括:在腔室中提供基底;以及通過原子層沉積(ALD) 在所述基底上形成氮化矽膜,其中所述通過原子層沉積形成所述氮化矽膜包括:將包含六氯二矽氮烷(hexachlorodisilazane,HCDZ)的第一氣體作為矽前體引入到所述腔室中並將含有氮的第二氣體引入到所述腔室中。
根據本發明概念,提供一種製作半導體裝置的方法,所述方法包括:在沉積腔室中提供基底,所述基底上形成有界定階梯的結構;以及通過原子層沉積(ALD)在所述階梯上原位地形成介電膜結構,所述介電膜結構包含由氮化矽形成的第一介電膜,其中所述形成由氮化矽形成的所述第一介電膜包括將六氯二矽氮烷(HCDZ)作為矽前體引入到所述沉積腔室中。
根據本發明概念的實例,也提供一種製作半導體裝置的方法,所述方法包括:將結構支撐在原子層沉積設備的沉積腔室中;以及執行原子層沉積(ALD)製程的多個循環,所述循環中的每一個包括:向所述沉積腔室中引入包含六氯二矽氮烷(HCDZ)的第一氣體作為介電膜的矽前體,以及向所述沉積腔室中引入包含氮的氮化氣體作為所述介電膜的氮前體。此處,所述六氯二矽氮烷的至少部分(some)在所述結構的表面上被吸附、且所述第二氣體的至少部分與在所述結構的所述表面上被吸附的所述六氯二矽氮烷反應以在所述表面上形成原子級的氮層。由此,在所述結構的所述表面上形成包含所期望厚度的氮化物的介電膜。
110:基底
120:元件隔離膜
130:穿隧絕緣膜
140:電荷存儲膜
150:介電膜結構
151、251:第一介電膜
152、252:第二介電膜
153、253:第三介電膜
160:控制閘極
210、AR:主動區
220:元件隔離區
230:閘極
240:全域位線
310、410:基底
320:層疊結構
321:犧牲層
322:半導體層
330:半導體圖案結構
331:第一犧牲層
332:第二犧牲層
333:第三犧牲層
334:第一半導體層
335:第二半導體層
341:第一罩幕圖案
342:第二罩幕圖案
351、460:層間絕緣膜
352:絕緣膜
353、422:閘極絕緣膜
354:場絕緣膜
360:犧牲閘極
370、450:閘極間隙壁
380:虛設閘極間隙壁
420:絕緣膜圖案
421:介面膜
430:閘極電極圖案
431:功函數調整膜
432:閘極金屬
440:頂蓋圖案
470:間隙壁材料
a1、a2、a3、a4、a5、a6、b1、b2、b3、b4、b5、b6:柱狀圖
S10、S20、S30、S40、S50、S60:步驟
T:溝渠
X:第一方向
Z:第二方向
通過閱讀以下參照附圖作出的對本發明概念的實例的詳細說明,本發明概念的以上及其他實例及特徵將變得更顯而易見。
圖1是說明根據本發明概念的一些實例的形成氮化矽膜的方法的時序圖。
圖2及圖3是用於解釋與根據本發明概念的一些實例的氮化矽膜的形成有關的實驗例的柱狀圖。
圖4、圖5、及圖6是在製造半導體裝置的過程期間半導體裝置的剖視圖,且說明根據本發明概念的製作半導體的方法的實例的各階段。
圖7是在製造半導體裝置的過程期間半導體裝置的平面圖,且圖8及圖9是在製造半導體裝置的過程期間半導體裝置的剖視圖,且圖7、圖8及圖9說明根據本發明概念的製作半導體的方法的另一實例的各階段。
圖10、圖11、圖12、及圖13是在製造半導體裝置的過程期間半導體裝置的剖視圖,且說明根據本發明概念的製作半導體的方法的再一實例的各階段。
圖14及圖15是在製造半導體裝置的過程期間半導體裝置的剖視圖,且說明根據本發明概念的製作半導體的方法的再一實例的各階段。
圖16是根據本發明概念的製作半導體裝置的方法的流程圖。
除非另外指明,否則本文所用的全部用語(包括技術及科學用語)均具有本發明所屬領域中的普通技術人員可通常理解的意義。此外,除非對所述用語清楚地進行具體定義,否則並不旨在以過於理想化的方式對所述用語加以解釋。
在下文中,將參照圖1所示時序圖來闡述根據本發明概念的形成氮化矽膜的方法的實例。
氮化矽膜是使用根據本發明概念的這個實例的原子層沉積方法來原位地形成。原子層沉積(ALD)方法包括:第一步,供應含有矽前體的第一氣體;第二步,使用第一吹洗氣體移除未反應的第一氣體;第三步,通過供應含有氮化氣體的第二氣體形成原子層級(atomic layer level)的氮化矽膜;以及第四步,使用第二吹洗氣體移除未反應的第二氣體。
具體來說,參照圖1,首先,使要被塗布氮化矽膜的目標(具體來說,在基底上界定開口或階梯的結構)位於反應腔室內。在這種情形中,所述結構的長寬比(由所述結構界定的開口的高度對寬度的比率)可等於或大於10。然而,本發明概念並非僅限於此。
接下來,在對溫度及壓力進行合適的調整之後,將含有矽前體的第一氣體供應到腔室中。接著,所述矽前體被吸附到所述結構上。
此處,不同於傳統的有機矽前體,所述矽前體可為不含 有C及N的化合物。然而,本發明概念並非僅限於此。也就是說,在一些其他實例中,矽前體可含有C。
矽前體包含六氯二矽氮烷(hexachlorodisilazane)(化學式1)。
Figure 106121237-A0305-02-0007-1
當使用六氯二矽氮烷作為矽前體時,相比於使用六氯乙矽烷(hexachlorodisilane,HCDS)作為矽前體的情形,濕蝕刻速率(WER)可較低。此處,濕蝕刻速率意指膜在被稀釋的氫氟酸(hydrofluoric acid,HF)(即,氫氟酸(HF)與去離子水(Deionization water,DI water)的溶液)中的蝕刻速率。也就是說,相較於使用六氯乙矽烷作為矽前體而生成的氮化矽膜來說,使用六氯二矽氮烷作為矽前體而生成的氮化矽膜具有相對低的蝕刻速率。
因此,使用六氯二矽氮烷作為矽前體而生成的氮化矽膜可具有與使用具有相對小厚度的六氯乙矽烷作為矽前體而生成的氮化矽膜相同的品質。
結果,當根據本發明概念使用六氯二矽氮烷作為矽前體而形成氮化矽膜時,相比於使用現有的六氯乙矽烷作為矽前體形成氮化矽膜的情形,製程時間相對短,從而使得提高製程效率成 為可能。
接著,向腔室中供應第一吹洗氣體(例如,N2、He或Ar)以移除餘留在腔室中的(或未反應的)矽源前體。接著,矽前體以原子層級的形式被所述結構吸附。
接下來,向腔室中供應含有氮成分的氮化氣體以形成氮化矽膜。此處,氮化氣體可含有氮氣(N2)、氨氣(NH3)、及一氧化氮(NO)中的至少一種。然而,本發明概念並非僅限於此。
接著,向腔室中供應第二吹洗氣體(例如,N2、He、或Ar)以移除餘留在腔室中的氮化氣體。此時,氮化矽膜形成製程的一個循環便已完成,且因此在目標上形成原子層級的氮化矽膜。
接下來,通過重複上述製程,可在目標上形成具有期望的或合適的厚度的氮化矽膜。
接下來,為提高氮化矽膜的膜品質,使氮化矽膜經受熱處理。此處,可在例如850℃至1050℃(即,與通過化學氣相沉積(chemical vapor deposition,CVD)形成氮化物膜時的溫度大約相同的溫度)下執行熱處理。然而,本發明概念並非僅限於此。
與由化學氣相沉積形成的氮化矽膜相比,這樣形成的氮化矽膜可具有提高的膜品質。由於根據本發明概念形成的氮化矽膜具有優異的階梯覆蓋率,因此所述氮化矽膜可共形地形成在具有階梯的結構或具有大的長寬比的結構上而不具有空隙。
將通過以下闡述的具體實驗例來進一步公開本發明概念,但是為簡明起見,將不再對所屬領域中的技術人員所易於理 解及領會的某些細節予以贅述。
圖2及圖3示出根據本發明概念形成氮化矽膜的結果以及通過與本發明概念的技術不同的技術來形成比較例的氮化矽膜的結果。
實驗例-根據六氯二矽氮烷及六氯乙矽烷的製程溫度進行的濕蝕刻測量
以如下方式進行實驗,其結果在圖2及圖3中示出。
氮化矽膜是通過使用六氯二矽氮烷的原子層沉積方法形成。同時,比較例的氮化矽膜是通過使用六氯乙矽烷的相似原子層沉積方法形成。
更具體來說,參照圖2,實驗例的氮化矽膜是在為400℃、450℃、及500℃的每一個製程溫度下通過利用六氯二矽氮烷的原子層沉積形成,而比較例的氮化矽膜是在為400℃、450℃、及500℃的每一個製程溫度下通過利用六氯乙矽烷的原子層沉積形成。
柱狀圖(柱)a1、a2、及a3示出在為400℃、450℃、及500℃的每一個製程溫度下使用六氯乙矽烷形成比較例的氮化矽膜時的濕蝕刻速率(WER)。此外,柱狀圖(柱)b1、b2及b3示出在為400℃、450℃、及500℃的每一個製程溫度下使用六氯二矽氮烷形成實驗例的氮化矽膜時的濕蝕刻速率。圖2所示的濕蝕刻速率是膜在以200:1的比率經過去離子水稀釋的氫氟酸(HF)中的蝕刻速率。
參照圖2所示的曲線圖中的柱狀圖a1及b1,濕蝕刻速率 在其中使用六氯二矽氮烷在400℃下形成氮化矽膜的情形中(實驗例)比在其中使用六氯乙矽烷的情形中(比較例)低。
此外,參照柱狀圖a2及b2,濕蝕刻速率在其中使用六氯二矽氮烷在450℃下形成氮化矽膜的情形中(實驗例)比使用六氯乙矽烷時(比較例)低。
此外,參照柱狀圖a3及b3,濕蝕刻速率在其中使用六氯二矽氮烷在500℃下形成氮化矽膜的情形中(實驗例)比使用六氯乙矽烷時(比較例)低。
具體來說,如圖2中所示,當在500℃下使用六氯乙矽烷時,濕蝕刻速率大於4.5nm/min,且近似為4.9nm/min。另一方面,當在500℃下使用六氯二矽氮烷時,濕蝕刻速率小於4.5nm/min,且近似為4.2nm/min。
因此,這些實驗表明氮化矽膜可根據本發明概念使用六氯二矽氮烷形成以使得濕蝕刻速率小於4.5nm/min。另外,在所有情形中,在相同的製程(溫度)條件下,使用六氯二矽氮烷時的濕蝕刻速率均比使用六氯乙矽烷時的濕蝕刻速率低。因此,與利用六氯乙矽烷作為矽前體而生成的氮化矽膜相比,可形成厚度相對小、而品質相同的氮化矽膜。
實驗例-根據六氯二矽氮烷及六氯乙矽烷的製程溫度進行的每循環生長速率(growth per cycle,GPC)測量
以如下方式進行實驗,所得結果在圖3中示出。
關於實驗例,氮化矽膜是由利用六氯二矽氮烷的原子層 沉積形成。同時,對於比較例來說,氮化矽膜是由利用六氯乙矽烷的原子層沉積形成。
更具體來說,參照圖3,氮化矽膜是在為400℃、450℃、及500℃的每一個製程溫度下利用六氯二矽氮烷形成(實驗例),且氮化矽膜是在為400℃、450℃、及500℃的每一個製程溫度下利用六氯乙矽烷形成(比較例)。
柱狀圖a4、a5、及a6示出在為400℃、450℃、及500℃的每一個製程溫度下利用六氯乙矽烷形成氮化矽膜時(比較例)的每循環生長速率(GPC)。此外,柱狀圖b4、b5及b6示出在為400℃、450℃、及500℃的每一個製程溫度下利用六氯二矽氮烷形成氮化矽膜時(實驗例)的每循環生長速率。
柱狀圖a4及b4表明,每循環生長速率在利用六氯二矽氮烷在400℃下形成氮化矽膜時的情形中比在使用六氯乙矽烷的情形中低。
此外,柱狀圖a5及b5表明,每循環生長速率在利用六氯二矽氮烷在450℃下形成氮化矽膜的情形中比在使用六氯乙矽烷的情形中低。
然而,參照柱狀圖a6及b6,每循環生長速率在利用六氯乙矽烷在500℃下形成氮化矽膜的情形中與在利用六氯二矽氮烷在500℃下形成氮化矽膜的情形中實質上相同。
總之,參照圖2及圖3,當在500℃下形成氮化矽膜時,不論使用的是六氯乙矽烷還是六氯二矽氮烷,每循環生長速率均 實質上相同,但是在利用六氯二矽氮烷的情形中,濕蝕刻速率較低。也就是說,實驗結果表明,通過利用六氯二矽氮烷,在與使用六氯乙矽烷來形成氮化矽膜時所耗費的製程時間相同的製程時間期間,可形成具有優異的膜品質特性的氮化矽膜。換句話說,根據本發明概念可減少形成具有特定高的膜品質及階梯覆蓋率的氮化矽膜所用的製程時間。因此,可通過實踐本發明概念來提高製程效率。
現將參照圖4至圖6闡述根據本發明概念的製作半導體裝置(例如,快閃記憶體裝置)的方法的實例。
參照圖4,在基底110上依序形成穿隧絕緣膜130及電荷存儲膜140。穿隧絕緣膜130提供防止電荷穿遂的能量障壁,且例如可包含氧化矽、氮化矽、或高介電常數材料(high dielectric constant material,high-k material)。
具有高介電材料的實例可為金屬氧化物,例如氧化鉿、氧化鋁、氧化鉿鋁、及氧化鋯,但本發明概念並非僅限於此。而是,考慮到裝置對能量帶隙的要求以及介電常數,穿隧絕緣膜130可由各種材料形成。
電荷存儲膜140用於存儲電荷。當快閃記憶體裝置是浮動閘極型時,這種電荷存儲膜可例如由導電材料(例如多晶矽膜)形成。當快閃記憶體裝置是電荷捕獲型時,電荷存儲膜可由氮化矽、氧化鉿、氧化鋯、氧化鉭、氮氧化鉿、氧化鉿矽、摻雜有鎢的氧化鋁、奈米點(nanodot)等形成。
此處,形成穿隧絕緣膜130及電荷存儲膜140可包括在基底110上依序形成預穿隧絕緣膜(pre-tunnel insulating film)、預電荷存儲膜(pre-charge storage film)、及罩幕。接著使用所述罩幕作為蝕刻罩幕來將基底110的上部部分、預穿隧絕緣膜、預電荷存儲膜圖案化,且移除所述罩幕。接著,在基底110上形成元件隔離膜120。然而,本發明概念並非僅限於此,也就是說,穿隧絕緣膜130及電荷存儲膜140可通過各種其他方法來形成。
參照圖5及圖6,根據上述本發明概念使用原子層沉積方法在電荷存儲膜140上形成介電膜結構150,介電膜結構150包括由氧化矽形成的第一介電膜151、由與氧化矽不同的材料形成的第二介電膜152、及由氧化矽形成的第三介電膜153。此處,介電膜結構150在快閃記憶體裝置是浮動閘極型時可為閘極間介電膜,且介電膜結構150在快閃記憶體裝置是電荷捕獲型時可為阻擋介電膜。
在下文中,將闡述原位地形成介電膜結構150的情形作為實例,其中第二介電膜152是氮化矽膜。
首先,將半導體基底110支撐在沉積設備的反應腔室中,半導體基底110具有形成有電荷存儲膜140的階梯(返回參照圖1的說明)。接著,形成包含氧化矽的第一介電膜151。
接下來,在對沉積設備進行調整以在反應腔室內提供合適的溫度及壓力之後,向所述腔室內供應含有矽源前體的第一氣體。接著,矽前體被吸附到第一介電膜151上。如上所述,使用 六氯二矽氮烷作為矽源前體。
接下來,也如上所述,依序供應第一吹洗氣體、第二氣體及第二吹洗氣體以在第一介電膜151上形成原子層級的氮化矽膜。
通過重複以上步驟,在第一介電膜151上形成具有期望厚度的第二介電膜152(即,氮化矽膜)。
接下來,在第二介電膜152上形成包含氧化矽的第三介電膜153。
由於這樣形成的介電膜結構150具有優異的階梯覆蓋率,因此即使在電荷存儲膜140的各區段之間的開口具有例如為10或大於10的大的長寬比時,仍可共形地形成所述介電膜結構而不會在所述開口中具有空隙。此外,由於第一介電膜151、第二介電膜152、及第三介電膜153是原位地形成,因此製程時間可被最小化且總體製造製程可得到簡化。
接著,在介電膜結構150上形成控制閘極160。控制閘極160可例如由多晶矽形成、或者可例如由選自由TaN、TiN、WN、及W組成的群組的至少一種金屬電極材料形成。
將參照圖7至圖9闡述根據本發明概念的製作半導體的另一個實例的方法。圖7至圖9說明製作動態隨機存取記憶體單元半導體裝置的方法。
圖7說明動態隨機存取記憶體單元區的佈局圖。閘極230在第一(水平)方向上穿過基底的主動區210,且全域位線(global bit line,GBL)240在與第一(水平)方向不同(例如,垂直於第一(水平)方向)的第二(水平)方向上穿過主動區210。基底的除了主動區210之外的其他部分是元件隔離區220。
參照圖8及圖9來說明記憶體單元區。在半導體基底的主動區210上形成有用作位線的全域位線240。接下來,在形成全域位線240之後,在全域位線240的側壁上形成含有氧化矽的第一介電膜251。在這種情形中,第一介電膜251可執行間隙壁的功能。
接著,在第一介電膜251上形成包含氮化矽的第二介電膜252。在這種情形中,可使用上述本發明概念的原子層沉積方法來形成第二介電膜252。
具體來說,當形成第二介電膜252時,在調整沉積設備以提供合適的溫度及壓力之後,向腔室內供應含有矽源前體的第一氣體。接著,矽前體被吸附到第一介電膜251上。如上所述,使用六氯二矽氮烷作為矽源前體。
接下來,如上所述,向沉積腔室內依序供應第一吹洗氣體、第二氣體及第二吹洗氣體,且在第一介電膜251上形成原子層級的氮化矽膜。在這種情形中,可採用熱方式且通過電漿激發(plasma excitation)來執行第二氣體與矽前體之間的反應。
通過重複以上步驟,在第一介電膜251上將第二介電膜252(即,氮化矽膜)形成為期望厚度。
接下來,在第二介電膜252上形成包含氧化矽的第三介 電膜253。
由於不需要在單元區中形成雜質層,因此會形成覆蓋單元區的光阻圖案(圖中未示出)。在移除光阻圖案(圖中未示出)且移除第三介電膜253之後,對第二介電膜252進行各向異性蝕刻以形成氮化物膜間隙壁,且移除與基底的主動區210相鄰的第二介電膜252。
將參照圖10至圖13闡述根據本發明概念的製作半導體的再一個實例的方法。圖10至圖13說明製作具有層疊奈米薄片電晶體結構(laminated nanosheet transistor structure)的半導體裝置的方法。
參照圖10,在基底310之上形成其中交替地設置有犧牲層321及半導體層322的層疊(多層式)結構320。
在層疊(多層式)結構320中,與基底310相鄰的犧牲層321例如可為通過晶圓結合方法(wafer bonding method)等結合到基底310的層,但本發明概念並非僅限於此。
在與基底310相鄰的犧牲層321上可交替地形成有半導體層322及犧牲層321。犧牲層321及半導體層322可使用例如磊晶生長方法形成,但本發明概念並非僅限於此。層疊結構320的最上部層可為犧牲層321,但本發明概念並非僅限於此。
犧牲層321及半導體層322可含有彼此不同的材料。犧牲層321及半導體層322可含有相對於彼此具有蝕刻選擇性的材料。犧牲層321可含有例如SiGe或Ge,但本發明概念並非僅限 於此。半導體層322可含有例如Si或III-V族化合物半導體,但本發明概念並非僅限於此。
接下來,在層疊結構320上形成在第一方向X上延伸的第一罩幕圖案(圖11中的第一罩幕圖案341)。
第一罩幕圖案(圖11中的第一罩幕圖案341)可由例如氧化矽膜、氮化矽膜、及氮氧化矽膜中的至少一種形成。當第一罩幕圖案341含有氮化矽膜時,可使用本發明概念的原子層沉積方法形成第一罩幕圖案341。
具體來說,當形成第一罩幕圖案341時,在調整沉積設備以在沉積腔室中提供合適的溫度及壓力之後,向腔室內供應含有矽源前體的第一氣體。接著,矽前體被吸附到層疊結構320上。如上所述,使用六氯二矽氮烷作為矽源前體。
接下來,如上所述,向沉積腔室內依序供應第一吹洗氣體、第二氣體及第二吹洗氣體,且在層疊結構320上形成原子級的氮化矽層。
通過重複上述步驟,在層疊結構320上形成包含具有期望厚度的氮化矽膜的第一罩幕圖案341。
參照圖11,通過利用第一罩幕圖案341作為蝕刻罩幕蝕刻層疊結構320來形成半導體圖案結構330。舉例來說,通過對層疊結構320進行蝕刻直至暴露出基底310的上表面,可形成半導體圖案結構330。
半導體圖案結構330可在第一(水平)方向X上縱向延 伸。此外,半導體圖案結構330可包括多個犧牲層及多個半導體層,所述多個犧牲層及所述多個半導體層以層疊在基底310上的形式交替設置在第二(垂直)方向Z上。
也就是說,半導體圖案結構330可包括形成在基底310上的第一犧牲層331、形成在第一犧牲層331上的第一半導體層334、形成在第一半導體層334上的第二犧牲層332、形成在第二犧牲層332上的第二半導體層335、及形成在第二半導體層335上的第三犧牲層333。
此外,在對層疊結構320進行蝕刻時,可對基底310進行局部蝕刻以形成主動區AR。接著,可形成層間絕緣膜來覆蓋基底310及第一罩幕圖案341。可將所得結構平坦化,直至暴露出第一罩幕圖案341的上表面。因此,可形成層間絕緣膜351。
接下來,可移除位於半導體圖案結構330上的第一罩幕圖案341。
參照圖12,通過使用第二罩幕圖案342作為蝕刻罩幕執行蝕刻製程,可形成閘極絕緣膜353及犧牲閘極360,閘極絕緣膜353及犧牲閘極360與半導體圖案結構330交叉且在與第一方向X及第二方向Z垂直的第三(水平)方向上延伸。
第二罩幕圖案342可例如由氧化矽膜、氮化矽膜、及氮氧化矽膜中的至少一種形成。當第二罩幕圖案342含有氮化矽膜時,第二罩幕圖案342可使用本發明概念的原子層沉積方法形成。
因此,犧牲閘極360可形成在半導體圖案結構330上。 此外,閘極絕緣膜353可分別沿絕緣膜352的側壁及上表面以及場絕緣膜354的上表面形成。
此處,可形成絕緣膜352來覆蓋半導體圖案結構330,且絕緣膜352可被形成為夾置在半導體圖案結構330與閘極絕緣膜353之間。此處,絕緣膜352可為例如氧化物膜,且更具體來說,可含有SiO2,但本發明概念並非僅限於此。
閘極絕緣膜353可為氧化矽膜,且犧牲閘極360可為多晶矽或非晶矽,但本發明概念並非僅限於此。
參照圖13,在犧牲閘極360的側壁上形成閘極間隙壁370及虛設閘極間隙壁380。
具體來說,在基底310上形成覆蓋犧牲閘極360及半導體圖案結構330的間隙壁膜。當間隙壁膜含有氮化矽時,所述間隙壁膜可使用本發明概念的原子層沉積方法形成。
具體來說,當形成間隙壁膜時,在調整原子層沉積設備以在沉積腔室中提供合適的溫度及壓力之後,向腔室中供應含有矽源前體的第一氣體。接著,矽前體被吸附到犧牲閘極360及半導體圖案結構330上。此處,如上所述,使用六氯二矽氮烷作為矽源前體。
接下來,如上所述,向沉積腔室內依序供應第一吹洗氣體、第二氣體及第二吹洗氣體,且在犧牲閘極360及半導體圖案結構330上形成原子級的氮化矽層。
通過重複以上步驟,在犧牲閘極360及半導體圖案結構 330上形成具有期望厚度的由氮化矽膜形成的間隙壁膜。
接下來,通過執行間隙壁膜的回蝕,可在犧牲閘極360的側壁上形成閘極間隙壁370及虛設閘極間隙壁380。
將參照圖14及圖15闡述根據本發明概念的製作再一實例性半導體裝置的方法。圖14及圖15說明製作其中對裝置的觸點形成襯墊(liner)的半導體裝置的方法。
參照圖14,第一閘極圖案與第二閘極圖案可在基底410上被形成為彼此間隔開。此處,第一閘極圖案與第二閘極圖案具有實質上相同的構型。
第一閘極圖案及第二閘極圖案中的每一個可包括閘極間隙壁450、絕緣膜圖案420、閘極電極圖案430及頂蓋圖案440。
絕緣膜圖案420可包括介面膜421、及閘極絕緣膜422。
介面膜421可用於防止基底410與閘極絕緣膜422之間出現缺陷介面(defective interface)。
介面膜421可包括介電常數(k)為9或小於9的低k介電材料層,例如氧化矽膜(k約為4)或氮氧化矽膜(k根據膜中的氧氣及氮氣的含量而約為4至8)。
介面膜421可利用例如紫外線氧化(ultraviolet oxidation,UV oxidation)方法、雙重電漿氧化方法等化學氧化方法形成。
閘極絕緣膜422可形成在介面膜421上。然而,在其中閘極絕緣膜422直接形成在基底410上的情形中,介面膜421是 可選的。
閘極絕緣膜422可包含具有高介電常數(高k)的材料。閘極絕緣膜422可因此包含以下中的至少一種:氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭(lead scandium tantalum oxide)、及鈮酸鉛鋅,但本發明概念並非僅限於此。
此外,閘極絕緣膜422可根據要形成的元件的類型而被形成為特定厚度。舉例來說,當閘極絕緣膜422是HfO2時,閘極絕緣膜422可被形成為約50Å或小於50Å(約5Å至50Å)的厚度,但本發明概念並非僅限於此。
閘極電極圖案430可包括功函數調整膜431及閘極金屬432。
功函數調整膜431可形成在閘極絕緣膜422上。功函數調整膜431可被形成為與閘極絕緣膜422接觸。功函數調整膜431用於調整功函數。
功函數調整膜431可含有例如金屬氮化物。另外,功函數調整膜431可根據所製造的半導體裝置的類型而含有其他材料。舉例來說,p型功函數調整膜可包含TiN、WN、TaN、及Ru中的至少一種,但本發明概念並非僅限於此。此外,n型功函數調整膜可包含Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaC、TaCN、TaSiN、Mn、及Zr中的至少一種,但本發明概念並非僅限於此。
此外,p型功函數調整膜可為由TiN形成的單個膜或者由TiN下部膜與TaN上部膜製成的雙層膜,但本發明概念並非僅限於此。
可在功函數調整膜431上形成閘極金屬432。如圖中所示,閘極金屬432可被形成為與功函數調整膜431接觸。也就是說,閘極金屬432可被形成為填充功函數調整膜431內的空間。閘極金屬432可包含導電材料(例如,W或Al),但本發明概念並非僅限於此。
頂蓋圖案440可形成在閘極金屬432上。如圖中所示,頂蓋圖案440可被形成為與閘極金屬432接觸。頂蓋圖案440可包含氮化矽(SiN)、氮氧化矽(SiON)、氧化矽(SiO2)、碳氮化矽(SiCN)、及碳氮氧化矽(SiOCN)中的至少一種。
在基底410上形成層間絕緣膜460,且層間絕緣膜460可被形成為覆蓋第一閘極圖案及第二閘極圖案。層間絕緣膜460可包含低介電常數材料、氧化物膜、氮化物膜、及氮氧化物膜中的至少一種。低介電常數材料的實例包括可流動的氧化物(Flowable Oxide,FOX)、東燃SilaZen(Tonen SilaZen,TOSZ)、未經摻雜的二氧化矽玻璃(Undoped Silica Glass,USG)、硼二氧化矽玻璃(Borosilica Glass,BSG)、磷二氧化矽玻璃(PhosphoSilica Glass,PSG)、硼磷二氧化矽玻璃(BoroPhosphoSilica Glass,BPSG)、電漿增強正矽酸四乙酯(Plasma Enhanced Tetra Ethyl Ortho Silicate,PETEOS)、氟矽酸鹽玻璃(Fluoride Silicate Glass, FSG)、摻雜有碳的氧化矽(Carbon Doped silicon Oxide,CDO)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳(Amorphous Fluorinated Carbon)、有機矽酸鹽玻璃(Organo Silicate Glass,OSG)、聚對二甲苯(parylene)、雙苯丙環丁烯(bis-benzocyclobutenes,BCB)、SiLK、聚醯亞胺、多孔聚合物材料及其組合,但本發明概念並非僅限於此。
在基底410上形成第一閘極圖案及第二閘極圖案之後,形成覆蓋第一閘極圖案及第二閘極圖案的層間絕緣膜460。
接著,在層間絕緣膜460中形成溝渠T以暴露出第一閘極圖案與第二閘極圖案之間的基底410。可通過使用乾式蝕刻製程、濕式蝕刻製程、或乾式蝕刻製程與濕式蝕刻製程的組合移除層間絕緣膜460的一部分來在層間絕緣膜460中形成溝渠T。
參照圖15,將間隙壁材料470共形地形成在溝渠T的側面上。當間隙壁材料470包含氮化矽膜時,可使用本發明概念的原子層沉積方法形成間隙壁材料470。
具體來說,當在溝渠T的側面上形成間隙壁材料470時,在調整原子層沉積設備以在沉積腔室中提供合適的溫度及壓力之後,向腔室內供應含有矽源前體的第一氣體。接著,矽前體被吸附到界定溝渠T的表面上。此處,如上所述,使用六氯二矽氮烷作為矽源前體。
接下來,如上所述,向腔室內依序供應第一吹洗氣體、第二氣體及第二吹洗氣體,且沿溝渠T的側面及底部共形地形成 原子級的氮化矽層。
通過重複以上步驟,在溝渠T中形成由具有期望厚度的氮化矽膜製成的接觸間隙壁(contact spacer)。
圖16說明根據本發明概念的製作半導體裝置的方法的實例。圖16所示方法的部分(原子層沉積製程)可與圖1中所示且參照圖1所述的部分相同。
首先,將上面要通過原子層沉積(ALD)形成介電膜的目標載入到沉積設備的反應腔室中(步驟S10)。因此,沉積設備可被視為原子層沉積設備。所述目標可為上面已形成有某些結構的基底。所述結構可在其中界定開口,例如溝渠。在溝渠的情形中,所述結構因此具有“階梯”,在所述階梯中,階梯的階梯面(tread)界定開口的底部且階梯的豎立部分(riser)界定開口的側面。在任一情形中,所述開口可具有至少為10的長寬比(例如,開口的底部的高度對寬度的比率為10:1或大於10:1)。然而,所述目標不需要界定開口,且可對任意一個表面或任意一些表面塗布包含矽的氮化物的介電膜。
可對原子層沉積設備進行控制以調節沉積腔室中的製程條件(例如,溫度及壓力)。
接著,將含有原子層沉積製程的第一前體的氣體注入到沉積腔室中(步驟S20)。在這個實例中,所述前體是矽且所述氣體是六氯二矽氮烷(hexachlorodisilazane)。因此,在這個實例中,所述氣體不含有大量的碳或氮。
接著,對沉積腔室中的包含所有未與目標的表面進行反應的(即,未被上面要形成介電膜的表面吸附的)六氯二矽氮烷的氣體進行吹洗(步驟S30)。為此,可將第一吹洗(惰性)氣體引入到沉積腔室中。
接著,將與六氯二矽氮烷進行反應的氮化氣體注入到沉積腔室中(步驟S40)。由此,在目標表面上形成原子級的包含矽的氮化物層,隨後進行沉積腔室的另一次吹洗(步驟S50)。所述吹洗(步驟S50)可通過向沉積腔室中注入吹洗氣體來完成,且這種吹洗氣體可與在第一次吹洗(步驟S30)期間使用的吹洗氣體相同。
上述步驟S20、S30、S40、及S50可一同構成原子層沉積製程的一個循環。因此,判斷(步驟S60)所得介電膜(例如,氮化矽膜)是否已被形成為期望厚度。如果沒有形成期望厚度,則執行原子層沉積製程的另一個循環。如果形成期望厚度,則可將目標從沉積腔室移除及/或可在介電膜上形成其他層。
通過利用根據本發明概念的使用六氯二矽氮烷作為膜的矽前體的原子層沉積方法來形成介電膜,可實現良好的階梯覆蓋率。因此,可共形地形成氮化矽膜而不會在界定具有大的長寬比的階梯或開口(例如,溝渠等)的結構上具有空隙。
此外,如已通過實驗表明,濕蝕刻速率相對地得到提高,且因此,可形成具有與相同材料的現有介電膜實質上相同的品質、但使用不同的矽前體形成的具有相對小的厚度的介電膜。因 此,製程時間被最小化且因此可提高總體製造製程的效率。
儘管已參照本發明概念的實例特別示出並闡述了本發明概念,然而這些實例應僅被視為說明性意義而非具有限制意義。也就是說,所屬領域中的普通技術人員應理解,在不背離由以上權利要求所界定的本發明概念的精神及範圍的條件下,可對所公開的實例作出形式及細節上的各種改變。

Claims (10)

  1. 一種形成介電膜的方法,所述方法包括:在腔室中提供基底;以及通過原子層沉積在所述基底上形成氮化矽膜,其中所述通過原子層沉積形成所述氮化矽膜包括:將包含六氯二矽氮烷的第一氣體作為矽前體引入到所述腔室中並將含有氮的第二氣體引入到所述腔室中。
  2. 如申請專利範圍第1項所述的方法,進一步包括在所述基底上形成閘極,且其中所述氮化矽膜形成在所述閘極的側表面上。
  3. 如申請專利範圍第1項所述的方法,所述氮化矽膜在500℃下具有小於4.5nm/min的濕蝕刻速率。
  4. 一種製作半導體裝置的方法,所述方法包括:在沉積腔室中提供基底,所述基底上形成有界定階梯的結構;以及通過原子層沉積在所述階梯上原位地形成介電膜結構,所述介電膜結構包含由氮化矽形成的第一介電膜,其中所述形成由氮化矽形成的所述第一介電膜包括將六氯二矽氮烷作為矽前體引入到所述沉積腔室中。
  5. 如申請專利範圍第4項所述的方法,所述形成由氮化矽形成的所述第一介電膜進一步包括: 在將所述六氯二矽氮烷引入到所述沉積腔室中之後,將第一吹洗氣體引入到所述腔室中,以從所述腔室移除未與界定所述階梯的所述結構反應的第一氣體,將含有氮氣的第二氣體引入到所述腔室中,且將第二吹洗氣體引入到所述腔室中,以移除界定所述階梯的所述結構的表面上未與所述第一氣體反應的第二氣體。
  6. 如申請專利範圍第4項所述的方法,所述形成所述介電膜結構進一步包括:在形成所述第一介電膜之前在所述基底上形成由氧化矽形成的第二介電膜,使得由氧化矽形成的所述第二介電膜夾置在所述基底與所述第一介電膜之間。
  7. 如申請專利範圍第6項所述的方法,所述形成所述介電膜結構進一步包括:在所述基底上形成全域位線,以及在所述全域位線上形成由氧化矽形成的所述第二介電膜。
  8. 如申請專利範圍第6項所述的方法,所述形成所述介電膜結構進一步包括:在所述基底上形成電荷存儲膜,以及在所述電荷存儲膜上形成由氧化矽形成的所述第二介電膜。
  9. 如申請專利範圍第4項所述的方法,進一步包括:在所述基底上形成第一閘極圖案及第二閘極圖案; 形成包圍所述第一閘極圖案及所述第二閘極圖案的絕緣膜;以及在所述絕緣膜中在所述第一閘極圖案與所述第二閘極圖案之間形成溝渠,以及其中所述第一介電膜形成在所述溝渠中、所述絕緣膜的界定所述溝渠的側面的表面上。
  10. 如申請專利範圍第4項所述的方法,所述介電膜結構是使用所述第一介電膜作為罩幕來蝕刻。
TW106121237A 2016-09-28 2017-06-26 形成介電膜的方法以及製造半導體裝置的方法 TWI716609B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??10-2016-0124464 2016-09-28
KR10-2016-0124464 2016-09-28
KR1020160124464A KR20180034798A (ko) 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
TW201824357A TW201824357A (zh) 2018-07-01
TWI716609B true TWI716609B (zh) 2021-01-21

Family

ID=61686587

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121237A TWI716609B (zh) 2016-09-28 2017-06-26 形成介電膜的方法以及製造半導體裝置的方法

Country Status (5)

Country Link
US (1) US9991112B2 (zh)
JP (1) JP7058962B2 (zh)
KR (1) KR20180034798A (zh)
CN (1) CN107871654B (zh)
TW (1) TWI716609B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6794533B2 (ja) * 2016-09-28 2020-12-02 ダウ シリコーンズ コーポレーション クロロジシラザン
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10147875B1 (en) * 2017-08-31 2018-12-04 Micron Technology, Inc. Semiconductor devices and electronic systems having memory structures
CN109659361B (zh) * 2017-10-12 2022-03-04 电力集成公司 用于异质结器件的栅极堆叠体
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102473659B1 (ko) 2018-08-08 2022-12-02 삼성전자주식회사 반도체 소자
TWI750577B (zh) * 2019-02-01 2021-12-21 美商慧盛材料美國責任有限公司 用於含矽膜的組合物及使用其的方法
US10833191B2 (en) 2019-03-05 2020-11-10 International Business Machines Corporation Integrating nanosheet transistors, on-chip embedded memory, and extended-gate transistors on the same substrate
CN110400832A (zh) * 2019-06-12 2019-11-01 北海惠科光电技术有限公司 阵列基板的制备方法及阵列基板
CN112242299A (zh) * 2019-07-18 2021-01-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices
CN113496952B (zh) * 2020-04-08 2023-06-09 长鑫存储技术有限公司 半导体结构及其制作方法
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540803A (en) * 1983-11-28 1985-09-10 Dow Corning Corporation Hydrosilazane polymers from [R3 Si]2 NH and HSiCl3
US4868014A (en) 1986-01-14 1989-09-19 Canon Kabushiki Kaisha Method for forming thin film multi-layer structure member
DE4107108A1 (de) * 1991-03-06 1992-09-10 Bayer Ag Siliciumbornitridkeramik und vorlaeuferverbindungen, verfahren zu deren herstellung sowie deren verwendung
DE4241287A1 (de) * 1992-12-08 1994-06-09 Bayer Ag Siliciumaluminiumnitridkeramik und Vorläuferverbindungen, Verfahren zu ihrer Herstellung sowie deren Verwendung
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5436083A (en) * 1994-04-01 1995-07-25 Dow Corning Corporation Protective electronic coatings using filled polysilazanes
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) * 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
JP2011014838A (ja) 2009-07-06 2011-01-20 Toshiba Corp 不揮発性半導体記憶装置
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
JP2013077805A (ja) 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
JP2013187223A (ja) 2012-03-06 2013-09-19 Elpida Memory Inc 半導体装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5842750B2 (ja) 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
JP6529927B2 (ja) 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making

Also Published As

Publication number Publication date
JP2018056561A (ja) 2018-04-05
US20180090313A1 (en) 2018-03-29
CN107871654B (zh) 2023-08-29
CN107871654A (zh) 2018-04-03
TW201824357A (zh) 2018-07-01
JP7058962B2 (ja) 2022-04-25
KR20180034798A (ko) 2018-04-05
US9991112B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
TWI716609B (zh) 形成介電膜的方法以及製造半導體裝置的方法
KR102336203B1 (ko) 질소-함유 로우-k 게이트 스페이서의 형성
TWI759392B (zh) 製造半導體裝置的方法及形成介電層的方法
KR102287552B1 (ko) 게이트 라스트 프로세스에서의 선택적 하이 k 형성
US10727064B2 (en) Post UV cure for gapfill improvement
US11903209B2 (en) Vertical semiconductor device and method for fabricating the same
US20230261080A1 (en) Multi-Layer Inner Spacers and Methods Forming the Same
US20230077541A1 (en) Selective High-K Formation in Gate-Last Process
TWI785775B (zh) 一種半導體元件及其製造方法
TWI813200B (zh) 積體電路結構及其形成方法
US20240170563A1 (en) Dielectric Layer for Nanosheet Protection and Method of Forming the Same
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
TWI780885B (zh) 形成電晶體的方法
US20240071767A1 (en) Volume-less Fluorine Incorporation Method
TW202422708A (zh) 半導體裝置及其形成方法
TW202310074A (zh) 半導體裝置
TW202425071A (zh) 半導體裝置的製造方法
TW202320229A (zh) 半導體結構的形成方法