JP2641385B2 - 膜形成方法 - Google Patents

膜形成方法

Info

Publication number
JP2641385B2
JP2641385B2 JP5238565A JP23856593A JP2641385B2 JP 2641385 B2 JP2641385 B2 JP 2641385B2 JP 5238565 A JP5238565 A JP 5238565A JP 23856593 A JP23856593 A JP 23856593A JP 2641385 B2 JP2641385 B2 JP 2641385B2
Authority
JP
Japan
Prior art keywords
film
compound
monosilane
sin
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP5238565A
Other languages
English (en)
Other versions
JPH07106256A (ja
Inventor
辰哉 佐藤
篤 田畑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP5238565A priority Critical patent/JP2641385B2/ja
Priority to EP94115055A priority patent/EP0661386A1/en
Publication of JPH07106256A publication Critical patent/JPH07106256A/ja
Priority to US08/507,718 priority patent/US5508067A/en
Priority to US08/600,084 priority patent/US5591494A/en
Application granted granted Critical
Publication of JP2641385B2 publication Critical patent/JP2641385B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、窒化シリコン膜(シリ
コンナイトライド膜:以後、SIN膜と呼ぶ)や窒酸化
シリコン膜(シリコンオキシナイトライド膜:以後、S
ION膜と呼ぶ)の形成方法に係り、特に半導体集積回
路における最終保護膜、層間絶縁膜、およびエッチング
時のマスクなどの目的で使用されるSIN膜またはSI
ON膜の形成方法に関するものである。
【0002】
【従来の技術】半導体集積回路などの半導体装置におい
て、配線の絶縁や装置の保護などの目的で使用される良
好な最終保護膜として絶縁膜として、SIN膜やSIO
N膜がその耐湿性により多用されている。従来から、こ
うしたSIN膜やSION膜の最終保護膜は、モノシラ
ンを出発原料として、プラズマ励起化学気相成長(以
後、PECVDと呼ぶ)法により堆積させて形成する方
法が知られている。
【0003】また、近年、出発原料としてヘキサメチル
ジシラザン(以下、HMDSと略す)などの有機シラン
材料を採用して、PECVD法によるSIN膜やSIO
N膜の形成が行われ始めている。
【0004】また、AlもしくはAl合金等からなる配
線間の層間絶縁膜または最終保護膜(パッシベーション
膜)等の形成に際しては、Alの融点(約600℃)に
は至らない450℃以下といった低温にて該絶縁膜ない
し保護膜等を形成することが必要である。したがって、
比較的低温で成膜が可能なPECVD法による配線間の
層間絶縁膜または最終保護膜(パッシベーション膜)等
の形成は今後ますます利用される傾向にある。
【0005】
【発明が解決しようとする課題】しかし、上記のモノシ
ランを出発原料として採用して、PECVD法により堆
積されたSIN膜では、SiとNとの組成が化学当量比
(0.75)とはならず多量の水素を含み、Si−Hお
よびN−H結合が存在することが知られている。また、
モノシランを出発原料として採用して、PECVD法に
より堆積されたSI0N膜でも、多量の水素を含み、S
i−H、N−H結合等が存在する。このため、酸溶液を
用いて形状加工を行う蝕刻(ウェットエッチング)を施
した場合、半導体基板の温度を600〜700℃といっ
た高温の状態で熱分解反応を用いて形成した膜に比べて
エッチング速度が100倍以上大きい。したがって、モ
ノシランを出発原料としたPECVD法によるSIN膜
やSION膜を高いエッチング選択性が要求されるエッ
チング時のマスク等となる膜として使用できない、とい
う問題点があった。
【0006】また、上記の有機シランを出発原料として
採用して、PECVD法により堆積されたSIN膜やS
ION膜は高いエッチング選択性を有する。しかし、炭
素の含有量が高い、段差被覆形状が悪いなどの特性にお
いて、モノシランを出発原料として採用してPECVD
法により堆積された膜に劣る、という問題点があった。
更に、有機シランを出発原料としたPECVD法では低
温で成膜が可能であるが、有機樹脂が形成されるので、
半導体装置の最終保護膜等としてのSIN膜やSION
膜としては適当ではない、という問題点もあった。
【0007】本発明は、上記の問題点を解消するために
なされたものであり、低温下で、エッチング速度の選択
が可能であり、低含有炭素量かつ良好な段差被覆性を有
する、加工犠牲膜としも使用可能なSIN膜あるいはS
ION膜を形成する薄膜形成方法を提供することを目的
とする。
【0008】
【課題を解決するための手段】本発明の薄膜形成方法
は、基板を収容する反応容器内において、(a)モノシ
ラン系化合物と(CHSiNHSi(CH
および(CHSiN(CHから選ばれた少
なくとも1種類の有機シラン系化合物と窒素化合物とか
らなる混合ガスに高周波電力を印加してプラズマを発生
させるステップと、(b)このプラズマ放電エネルギに
より混合ガスを励起させて反応生成物を前記基板上にS
IN膜を堆積させるステップと、からなることを特徴と
する。また、上記の混合ガスは更に窒素酸化物を含み、
SION膜を堆積させる、ことを特徴としてもよい。
【0009】ここで、モノシラン系化合物はSiH
Si、Si、およびSiClから選
ばれた少なくとも1種類の化合物である、ことを特徴と
してもよい。また、窒素酸化化合物は、NOおよびNO
から選ばれた少なくとも1種類の化合物である、こと
を特徴としてもよい。
【0010】
【作用】本発明の薄膜形成方法によれば、まず、反応容
器内にモノシラン系化合物からなるガス、(CH
SiNHSi(CHおよび(CHSiN
(CHから選ばれた少なくとも1種類の有機シラ
ン系化合物からなるガス、および窒素酸化物からなるガ
スを導入する。次に、反応容器内の混合ガスに高周波電
力を印加してプラズマを発生させ、このプラズマ放電エ
ネルギにより混合ガスを励起し、化学結合を分解し、原
子または分子のラジカルとして、これらの活性粒子に基
づく反応生成物を基板上に堆積させる。
【0011】SIN膜あるいはSION膜の成膜にあた
って、Siの供給源をモノシラン系化合物および有機シ
ラン系化合物の双方としたので、モノシラン系化合物あ
るいは有機シラン系化合物の一方のみをSiの供給源と
した場合に比べて、最終保護膜またはエッチング時のマ
スク等としての短所を低減した膜が、PECVD法とい
う低温プロセスで形成できる。
【0012】本発明において「モノシラン系化合物」と
は、Sin 2n+2の化学構造式で表される化合物であ
り、Hの一部がClに置換された化合物も含む。本発明
におけるモノシラン系化合物としては、n=1〜3のも
のが好ましい。
【0013】
【0014】なお、本発明において、モノシラン系化合
物と有機シラン系化合物との流量比(有機シラン系化合
物濃度[mol濃度]/(有機シラン系化合物濃度[m
ol濃度]+モノシラン系化合物濃度[mol濃度])
で定義される)は、5%〜50%であることが好まし
い。
【0015】本発明において「窒素化合物」とは、N原
子を含む化合物(単体のN2 を含む)であって、O原子
を含まないものをいう。本発明における窒素化合物とし
ては、N2 またはNH3 が好ましい。これらの窒素化合
物にキャリアガスとしての機能を果たさせることも可能
である。
【0016】本発明においては、上記のモノシラン系化
合物、有機シラン系化合物、窒素化合物または窒素酸化
化合物を反応容器内に導入するために、必要に応じて、
キャリアガスを使用してもよい。このキャリアガスとし
ては、例えば、Ar、He等の不活性ガスを好ましく用
いることができる。
【0017】本発明においては、上記した以外の反応条
件としては、例えば、以下のような条件を好ましく使用
することができる。
【0018】 圧力: 10-1[Torr]〜 10[Torr] 高周波の周波数: 200[kHz] 〜13.56[MHz] 高周波電力: 200[W] 〜 1000[W] 基板温度: 300[℃] 〜 400[℃]
【0019】
【実施例】以下、添付図面を参照して、本発明の実施例
を説明する。
【0020】図1は、本実施例の膜形成方法による、基
板上へのSIN膜の形成の説明図である。図1(a)は
SIN膜の形成前の基板5の構成を示し、図1(b)は
基板5の表面上にSIN膜51が形成された様子を示
す。
【0021】このSIN膜51の形成は、以下のように
して実施される。
【0022】まず、基板5をPECVD装置の中に配置
する。図2は、本実施例で使用したPECVD装置の概
略構成図である。図示のように、このPECVD装置
は、外気から密封された反応室1を実現するための絶縁
性である反応容器2内に対向電極3、4が収容されてい
る。一方の電極4は、アース電位に保持されるととも
に、対向面に薄膜形成用の半導体基板5が載置され、他
方の電極3にはプラズマ発生用の高周波発振源8、10
から出力された高周波電力がインピーダンスマッチング
回路9、11を介して印加されるようになっている。ま
た、電極3の上側から反応室1へ配管6を介して反応ガ
スが導入される。この反応ガスは、配管61を経由した
モノシラン系化合物および窒素化合物の混合ガスと、液
体収納器21に収納されたヘキサメチルジシラザン(H
MDS)を流量制御器22を介した後、配管62を経由
したHMDSとから構成される。また、反応ガスの不用
分を反応容器2の排気口から排気する構造となってい
る。また、電極4側には温度制御用のヒータ7が設けら
れている。
【0023】次に、配管61からSiH4 、NH3 およ
びN2 を導入するとともに、配管62からHMDSを導
入した。こうして、配管6を介して、反応室1内に5T
orr、分圧比(HMDS,SiH4 ,NH3 ,N2
=3:1:6:3、流量=1300SCCMの条件で反
応ガスを導入した。このとき、基板の温度はヒータ7に
よって300℃〜450℃に制御し、高周波発振源8、
10から高周波電力を0.02W/cm2 で印加した。
反応室1内では高周波電力の印加により、プラズマを発
生し、このプラズマ放電エネルギにより混合ガスが励起
され、化学結合を分解し、原子または分子のラジカルと
して、これらの活性粒子に基づく反応生成物を基板5上
に堆積されて、SIN膜51が基板上に形成された。
【0024】こうして形成されたSIN膜の特性を調べ
た結果、屈折率が2.00以上(測定法は、エプリソメ
トリを使用)、膜ストレスが圧縮に対して−2×109
以上(測定法は、レーザ干渉法を使用)と、熱分解法に
よるSIN膜と同等であった。また、「6:1BOE」
と称されるフッ酸緩衝液(HF:NH4 F=6:1)で
エッチング速度が5オングストローム/min以下であ
った。また、段差被覆性は、モノシランを出発原料とし
たPECVD法によるSIN膜と同等であり、ピンホー
ルの発生もなかった(測定法は、王水液侵法を使用)。
また、炭素含有量は、3%以下であり、モノシラン系化
合物を混合しない場合の1/2.7程度であった(赤外
吸収スペクトル分析により、C−H結合を示す吸光スペ
クトル強度の和から算出)。
【0025】発明者は、モノシラン系化合物と有機シラ
ン系化合物との流量比による、ウェットエッチング速度
の変化に着目し測定を実施した。図3は、上記のSIN
膜の形成と同様の条件で、HMDSとSiH4 との総流
量は変えずに、これらの流量比(HMDS[mol]/
(HMDS[mol]+SiH4 [mol]))を変化
させて、6:1BOEによるウェットエッチング速度を
測定した結果のグラフである。図示のように、流量比が
0%から約20%までの間では、流量比の増加とともに
ウェットエッチング速度は減少し、流量比=約20%で
ウェットエッチング速度=5オングストローム/min
以下となった後は、流量比を増加してもウェットエッチ
ング速度の有意な変化はみられなかった。
【0026】また、発明者は、流量比による炭素含有量
の変化を赤外吸収スペクトル分析により測定した。図4
は、流量比=0%、23%、46%、100%における
吸光強度の波数分布の測定結果のグラフである。図示の
ように、C−H結合を示すスペクトルは、流量比が大き
い程、ピークがはっきりし、炭素含有量が増加している
ことが確認できた。これらのピークの値から炭素含有量
を定量的に求めることができる。
【0027】また、発明者は、流量比が増加するほど段
差被覆性が劣化することを確認した(測定は、走査型電
子顕微鏡を使用)。この段差被覆性は、SIN膜の形成
温度、電力量などの条件で変動するが、HMDSを混合
しない場合の段差被覆性と比較した場合、上記のSIN
膜の形成条件では、流量比が10%〜50%程度であれ
ば、有意な差が無いことが確認された。
【0028】本発明は、上記の実施例に限定されるもの
ではなく、変形が可能である。
【0029】例えば、上記実施例では、SiN膜の成膜
にあたって、モノシラン系化合物としてSiH4 を用い
たが、Si2 6 、Si3 8 、若しくはSiCl2
2 、または、これらのモノシラン系化合物の混合物を使
用しても同様な成膜が可能である。また、有機シラン系
化合物として(CH3 3 SiNHSi(CH3 3
用いたが、(CH3 2 SiN(CH3 2 、または、
これらの有機シラン系化合物の混合物を使用しても同様
な成膜が可能である。また、窒素化合物としてNH3
用いたが、N2 、または、これらの窒素化合物の混合物
を使用しても同様な成膜が可能である。
【0030】また、上記実施例ではSiN膜の成膜につ
いて示したが、上記実施例の混合ガスに更にNOまたは
NO2 などの窒素酸化物を加えれば、エッチング速度の
選択が可能であり、低含有炭素量かつ良好な段差被覆性
を有するSION膜の成膜ができる。このSION膜の
成膜にあたって、モノシラン系化合物、有機シラン化合
物、および窒素化合物の選択は、SIN膜の成膜の場合
と同様の変形が可能である。
【0031】本発明の膜形成方法に使用可能な反応装置
については、基板を収容する反応容器と、モノシラン系
化合物、有機シラン系化合物、および窒素化合物とを含
む混合ガス、または、この混合ガスに更に窒素酸化物を
加えた混合ガスをこの反応容器に導入可能な導入系と、
この混合ガスに高周波を印加する電極とを有するPEC
VD装置である限り特に制限されない。例えば、上記実
施例では、プラズマ電極構造が平行平板型の装置を用い
たが、コイル放電型などのプラズマ電極構造を備えるP
ECVD装置を使用しても、同様の成膜が可能である。
【0032】
【発明の効果】以上、詳細に説明した通り、本発明の膜
形成方法によれば、モノシラン系化合物と(CH
SiNHSi(CHおよび(CHSiN
(CHから選ばれた少なくとも1種類の有機シラ
ン系化合物と窒素化合物とからなる混合ガス、または更
に窒素酸化物を加えた混合ガスを用いて、プラズマCV
DによりSiN膜またはSiON膜を形成するので、
(1)モノシラン系化合物と窒素化合物とからなる混合
ガス、または更に窒素酸化物を加えた混合ガスを用い
た、プラズマCVDによるSiN膜またはSiON膜で
の高エッチング速度、および、(2)有機シラン系化合
物と窒素化合物とからなる混合ガス、または更に窒素酸
化物を加えた混合ガスを用いた、プラズマCVDによる
SiN膜またはSiON膜での段差被覆性の悪さおよび
多量の炭素樹脂の生成を克服して、低温下で、エッチン
グ速度の選択が可能であり、低含有炭素量かつ良好な段
差被覆性を有するSIN膜あるいはSION膜を形成す
ることができる。
【0033】したがって、本発明の膜形成方法によって
形成されたSIN膜またはSION膜を用いると、良好
な段差被覆性、低レベルの炭素含有量、および、低エッ
チング速度などの特性により、半導体装置の保護効果を
高めることができる。また、半導体素子などの薄膜形状
加工時のマスクなどの加工犠牲膜として使用すると、良
好な段差被覆形状と高いエッチング選択性とにより、微
細加工が可能となる。
【0034】
【図面の簡単な説明】
【図1】本発明の実施例によるSIN膜の形成の説明図
(断面図)である。
【図2】実施例で使用のPECVD装置の概略構成図で
ある。
【図3】有機シラン化合物とモノシラン化合物との流量
比に対するウェットエッチング速度の依存性を示すグラ
フである。
【図4】HMDSとモノシランとの流量比に対する赤外
吸収スペクトル分布の依存性を示すグラフである。
【符号の説明】
1…反応室、2…反応容器、3,4…電極、5…基板、
51…SIN膜、6,61,62…配管、7…ヒータ、
8,10…高周波電源、9,11…インピーダンスマッ
チング回路、21…液体収納器、22…流量制御器。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 田畑 篤 千葉県成田市新泉14−3野毛平工業団地 内 アプライド マテリアルズ ジャパ ン 株式会社内 (56)参考文献 特開 平3−36269(JP,A) 1993年秋季 第54回応用物理学会学術 講演会講演予稿集 P.692

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】 基板を収容する反応容器内において、モ
    ノシラン系化合物、(CH SiNHSi(C
    および(CH SiN(CH から選
    ばれた少なくとも1種類の有機シラン系化合物および窒
    素化合物からなる混合ガスに高周波電力を印加してプラ
    ズマを発生させるステップと、 このプラズマ放電エネルギにより混合ガスを励起させて
    反応生成物を前記基板上に堆積させるステップと、 を備えることを特徴とする膜形成方法。
  2. 【請求項2】 前記混合ガスは更に窒素酸化物を含む、
    ことを特徴とする請求項1記載の膜形成方法。
  3. 【請求項3】 前記モノシラン系化合物は、SiH
    Si、Si、およびSiClから選
    ばれた少なくとも1種類の化合物である、ことを特徴と
    する請求項1記載の膜形成方法。
  4. 【請求項4】 前記窒素化合物は、NHおよびN
    ら選ばれた少なくとも1種類の化合物である、ことを特
    徴とする請求項1記載の膜形成方法。
  5. 【請求項5】 前記窒素酸化物は、NOおよびNO
    ら選ばれた少なくとも1種類の化合物である、ことを特
    徴とする請求項2記載の膜形成方法。
JP5238565A 1993-09-24 1993-09-24 膜形成方法 Expired - Fee Related JP2641385B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP5238565A JP2641385B2 (ja) 1993-09-24 1993-09-24 膜形成方法
EP94115055A EP0661386A1 (en) 1993-09-24 1994-09-23 A film deposition method
US08/507,718 US5508067A (en) 1993-09-24 1995-07-26 Deposition of silicon nitride by plasma-enchanced chemical vapor deposition
US08/600,084 US5591494A (en) 1993-09-24 1996-02-12 Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5238565A JP2641385B2 (ja) 1993-09-24 1993-09-24 膜形成方法

Publications (2)

Publication Number Publication Date
JPH07106256A JPH07106256A (ja) 1995-04-21
JP2641385B2 true JP2641385B2 (ja) 1997-08-13

Family

ID=17032118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5238565A Expired - Fee Related JP2641385B2 (ja) 1993-09-24 1993-09-24 膜形成方法

Country Status (3)

Country Link
US (2) US5508067A (ja)
EP (1) EP0661386A1 (ja)
JP (1) JP2641385B2 (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3412037B2 (ja) * 1996-03-12 2003-06-03 株式会社デンソー 微細加工方法
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5976623A (en) * 1996-12-03 1999-11-02 Lucent Technologies Inc. Process for making composite films
US6756324B1 (en) * 1997-03-25 2004-06-29 International Business Machines Corporation Low temperature processes for making electronic device structures
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6806154B1 (en) 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP4066292B2 (ja) * 1999-06-09 2008-03-26 株式会社小糸製作所 自動車用プラスチック部品の保護膜形成方法
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6576980B1 (en) * 1999-11-30 2003-06-10 Agere Systems, Inc. Surface treatment anneal of hydrogenated silicon-oxy-carbide dielectric layer
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6605526B1 (en) 2000-03-16 2003-08-12 International Business Machines Corporation Wirebond passivation pad connection using heated capillary
US6486015B1 (en) * 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP4048112B2 (ja) 2000-08-18 2008-02-13 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
KR20020044209A (ko) * 2000-12-05 2002-06-15 윤종용 PE-SiON 박막 제조방법
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP4758938B2 (ja) * 2001-08-30 2011-08-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US20040018750A1 (en) * 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
CN100352761C (zh) * 2002-09-09 2007-12-05 张芬红 制备纳米氮化硅粉体的气相合成装置
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
AU2003303136A1 (en) * 2002-12-20 2004-07-14 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) * 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
JP4119791B2 (ja) * 2003-05-30 2008-07-16 サムコ株式会社 カソードカップリング型プラズマcvd装置を用いた炭素含有シリコン系膜の製造方法
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4628696B2 (ja) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 プラズマcvd装置
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046502A1 (en) * 2004-08-27 2006-03-02 Ngo Minh V Deposition of hard-mask with minimized hillocks and bubbles
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
JP2006120974A (ja) * 2004-10-25 2006-05-11 Toray Eng Co Ltd プラズマcvd装置
TWI249251B (en) * 2004-11-22 2006-02-11 Au Optronics Corp Fabrication method of thin film transistor
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
KR100731745B1 (ko) * 2005-06-22 2007-06-22 삼성에스디아이 주식회사 유기전계발광표시장치 및 그 제조방법
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7511360B2 (en) * 2005-12-14 2009-03-31 Freescale Semiconductor, Inc. Semiconductor device having stressors and method for forming
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
CN101165862B (zh) * 2006-10-16 2011-04-20 联华电子股份有限公司 高压应力薄膜与应变硅金属氧化物半导体晶体管及其制法
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
US20080268589A1 (en) * 2007-04-30 2008-10-30 Texas Instruments Incorporated Shallow trench divot control post
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5107128B2 (ja) 2008-04-23 2012-12-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN107578983A (zh) 2013-03-15 2018-01-12 应用材料公司 用于脉冲式光激发沉积与蚀刻的装置与方法
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
ES2727717T3 (es) 2013-11-08 2019-10-18 Nestle Sa Envase recubierto, uso del mismo y procedimiento para su fabricación
KR102136790B1 (ko) 2013-11-15 2020-07-23 삼성디스플레이 주식회사 플렉서블 디스플레이 장치와, 이의 제조 방법
WO2015079938A1 (ja) * 2013-11-28 2015-06-04 Sppテクノロジーズ株式会社 窒化シリコン膜及びその製造方法、並びにその製造装置
CN104409338A (zh) * 2014-11-14 2015-03-11 英利集团有限公司 氮化硅膜和太阳能电池片的制作方法及太阳能电池片
EP3093309B1 (en) 2015-05-11 2018-03-21 Coating Plasma Industrie Process for depositing a gas barrier coating on a polymer film or polymer container, and polymer film or polymer container with coated with such a gas barrier
US9576791B2 (en) * 2015-06-01 2017-02-21 GM Global Technology Operations LLC Semiconductor devices including semiconductor structures and methods of fabricating the same
EP3428959B1 (en) * 2016-03-11 2023-03-01 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film, and silicon nitride film
KR102241936B1 (ko) * 2016-03-17 2021-04-20 주식회사 원익아이피에스 실리콘산질화막의 증착방법
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE275264C (ja) *
US4395438A (en) * 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
JPS61117841A (ja) * 1984-11-14 1986-06-05 Hitachi Ltd シリコン窒化膜の形成方法
US4693827A (en) * 1986-03-20 1987-09-15 Zimpro Inc. Process for protection of biological nitrification systems
US4943450A (en) * 1987-01-20 1990-07-24 Gte Laboratories Incorporated Method for depositing nitride-based composite coatings by CVD
ES2006119A6 (es) * 1988-03-24 1989-04-01 Union Explosivos Rio Tinto Procedimiento de obtencion de nitruro de silicio.
US5043224A (en) * 1988-05-12 1991-08-27 Lehigh University Chemically enhanced thermal oxidation and nitridation of silicon and products thereof
DD275264A1 (de) * 1988-08-30 1990-01-17 Akad Wissenschaften Cvd-verfahren zur abscheidung von siliciumnitridschichten unterschiedlicher modifikationen
US4934450A (en) * 1989-05-01 1990-06-19 Dice Michael J Device for collecting oil samples
DE69026756T2 (de) * 1989-06-22 1996-11-07 Watkins Johnson Co Methode und Anordnung zur Ablagerung einer Siliciumdioxydschicht
JP2848985B2 (ja) * 1991-08-14 1999-01-20 三菱マテリアル株式会社 缶入り飲料
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1993年秋季 第54回応用物理学会学術講演会講演予稿集 P.692

Also Published As

Publication number Publication date
JPH07106256A (ja) 1995-04-21
EP0661386A1 (en) 1995-07-05
US5508067A (en) 1996-04-16
US5591494A (en) 1997-01-07

Similar Documents

Publication Publication Date Title
JP2641385B2 (ja) 膜形成方法
Vogt et al. Plasma-deposited passivation layers for moisture and water protection
KR970002437B1 (ko) 반도체장치의 제조방법
JPH02236282A (ja) 有機珪素化合物と三弗化窒素を用いた珪素含有被膜の製法
JP3178375B2 (ja) 絶縁膜の形成方法
JPH0734439B2 (ja) 窒化ケイ素膜を含有する装置の製造方法
KR20010062710A (ko) 성막방법 및 반도체 장치
JP3532830B2 (ja) 半導体装置及びその製造方法
JPH05279838A (ja) 窒化ケイ素層生成プロセス及び半導体デバイス
US5045346A (en) Method of depositing fluorinated silicon nitride
EP0481706B1 (en) Method of producing CVD silicon oxynitride film
JPH10163195A (ja) 成膜前処理方法及び半導体装置の製造方法
JPH0817174B2 (ja) 絶縁膜の改質方法
US20060105581A1 (en) Glycol doping agents in carbon doped oxide films
JPH07201738A (ja) 薄膜形成前処理方法および薄膜形成方法
JPH09275103A (ja) 成膜方法
JPH07161705A (ja) 半導体装置の多層配線層間絶縁膜の形成方法
US6432839B2 (en) Film forming method and manufacturing method of semiconductor device
EP3428959B1 (en) Method for producing silicon nitride film, and silicon nitride film
JPH09223693A (ja) シリコン化合物系絶縁膜の成膜方法
JPS60190564A (ja) 窒化珪素作製方法
JPH07321105A (ja) 半導体装置の製造方法
Shirafuji et al. Fourier transform infrared phase-modulated ellipsometry for in situ diagnostics of plasma–surface interactions
JPS62253771A (ja) 薄膜形成方法
JP3070894B2 (ja) 薄膜形成方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970325

LAPS Cancellation because of no payment of annual fees