TWI694524B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI694524B
TWI694524B TW107142232A TW107142232A TWI694524B TW I694524 B TWI694524 B TW I694524B TW 107142232 A TW107142232 A TW 107142232A TW 107142232 A TW107142232 A TW 107142232A TW I694524 B TWI694524 B TW I694524B
Authority
TW
Taiwan
Prior art keywords
temperature
layer
annealing
gate
film layer
Prior art date
Application number
TW107142232A
Other languages
English (en)
Other versions
TW201926466A (zh
Inventor
廖書翎
高琬貽
柯忠祁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926466A publication Critical patent/TW201926466A/zh
Application granted granted Critical
Publication of TWI694524B publication Critical patent/TWI694524B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處描述具有介電部件的半導體裝置結構及形成上述介電部件的方法。在一些範例中,藉由原子層沉積製程、且接著藉由變溫退火製程來形成上述介電部件。上述介電部件可以具有高密度、低碳濃度、以及較低的介電常數值。根據本發明實施例形成的介電部件在後續製程中對蝕刻化學物質的抵抗、對電漿傷害的抵抗、以及對物理轟擊的抵抗提高,同時針對目標電容效率保持較低介電常數值。

Description

半導體結構及其形成方法
本發明實施例是關於半導體製造技術,特別是有關於半導體結構及其形成方法。
隨著半導體產業進入奈米技術製程節點以追求更高的裝置密度、更高的性能、以及更低的成本,來自製造及設計雙方的挑戰導致了三維設計的發展,例如鰭式場效電晶體(fin field effect transistors,FinFETs)。典型的鰭式場效電晶體裝置包括具有高深寬比的半導體鰭片,且其中形成有通道及源極/汲極區。利用通道之增加表面積的優點在鰭片結構之上且沿著鰭片結構的側壁形成閘極(例如,封裹(wrapping)),以產生更快、更可靠且更好控制的半導體電晶體裝置。然而,隨著尺寸的微縮化,產生了新的挑戰。
本發明實施例提供一種半導體結構的形成方法。此方法包括使用原子層沉積製程形成一膜層,以及在氮環境中退火上述膜層。退火上述膜層的步驟包括退火上述膜層持續第一段時間,同時將退火溫度自第一溫度提升至第二溫度、以上述第二溫度退火上述膜層持續第二段時間、以及退火上述膜層 持續第三段時間,同時將退火溫度自第二溫度降低。
本發明實施例提供另一種半導體結構的形成方法。此方法包括使用原子層沉積製程形成一膜層,上述原子層沉積製程包括在上述原子層沉積的一週期中:流送一矽源前驅物、流送一碳及氮源前驅物、以及流送一氧源前驅物。此方法更包括退火上述膜層,以降低上述膜層中的碳含量及氮含量。
本發明實施例提供一種半導體結構。此半導體結構包括位於基板上的主動區,上述主動區包括源極/汲極區、位於上述主動區之上的閘極結構、以及沿著上述閘極結構之側壁的閘極間隔物,上述閘極間隔物側向設置在上述閘極結構及上述源極/汲極區之間,上述閘極間隔物包括第一間隔層、以及形成在上述第一間隔層之上的第二間隔層,其中上述第二間隔層具有範圍在0原子百分比至5原子百分比的碳濃度,且相較於上述第一間隔層,上述第二間隔層具有較低的介電常數值。
52a-f‧‧‧源極/汲極區
70‧‧‧半導體基板
74‧‧‧鰭片
78‧‧‧隔離區
80‧‧‧介電層
82‧‧‧閘極層
84‧‧‧遮罩
86‧‧‧第一閘極間隔層
88‧‧‧第二閘極間隔層
92‧‧‧源極/汲極區
96‧‧‧接觸蝕刻終止層
100‧‧‧第一層間介電質
104‧‧‧導電部件
106、136‧‧‧矽化物區
120‧‧‧順形層
122‧‧‧閘極電極
130‧‧‧第二層間介電質
200、300‧‧‧製程流程
202、204、206、302、303、304、306、308、310、312、314‧‧‧步驟
402‧‧‧溫度-時間曲線
902‧‧‧碳濃度曲線
904‧‧‧氮濃度曲線
906‧‧‧氧濃度曲線
908‧‧‧矽濃度曲線
910‧‧‧介電常數值曲線
T1、T2、T3、T4、T5‧‧‧溫度
I、II、III、IV、V‧‧‧階段
以下將配合所附圖式詳述本發明的一些實施例。應注意的是,依據在業界的標準做法,各種部件並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的部件。
第1A-1C、2A-2B、3A-3B、4A-4B、5A-5B、及6A-6B圖係根據一些實施例,繪示出在形成半導體裝置的一示例製程中之中間階段下的個別中間結構的不同示意圖。
第7A-7B及8A-8B圖係根據一些實施例,繪示出在形成半導體裝置的另一示例製程中之中間階段下的個別中間結構的 剖面示意圖。
第9圖係根據一些實施例,繪示出用於形成閘極間隔物的製程流程。
第10圖係根據一些實施例,繪示出用於形成及處理一材料的製程流程。
第11A至11H圖係根據一些實施例,繪示出在第10圖中所形成的材料的形成及處理期間的反應的示意圖。
第12圖係根據一些實施例,繪示出在退火製程期間的溫度變化的圖表。
第13圖係根據一些實施例,繪示出第8A圖之部分中間結構的剖面示意圖,以說明根據第10圖中的製程流程形成的閘極間隔層的額外細節。
以下的揭示內容提供許多不同的實施例或範例,以展示本發明實施例的不同部件。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(些)元件或部件的關係,可使用空間相對用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖式所繪示之方位外,空間相對用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相對形容詞亦將依轉向後的方位來解釋。
此處描述具有較低介電常數(lower-k)的部件的半導體裝置結構及形成此較低介電常數的部件的方法。具體而言,本發明實施例包括形成介電薄膜,以及藉由變溫退火製程(variable temperature annealing process)來處理上述介電薄膜。上述變溫退火製程可提升介電薄膜在後續製程中對損傷的抵抗,例如在磊晶成長之前的蝕刻、氧化、及/或離子佈植。
上述介電薄膜可以用於半導體裝置結構的不同介電部件。降低裝置中的介電常數值可以降低此裝置的電容(例如,寄生電容(parasitic capacitance)),這樣可以降低此裝置的電阻-電容(resistance-capacitance,RC)延遲數值。然而,當降低上述介電常數值時(舉例來說,藉由添加碳或導入孔洞至介電材料中),可能會影響其他性質,例如電漿抵抗能力。本發明實施例可以提供具有高密度及強電漿抵抗能力的較低介電常數值的膜層,並提供形成此膜層的方法。上述較低介電常數值的膜層可以具有強電漿抵抗能力及改善的電容效率。此外,用於形成介電部件的製程可以搭配此處描述的其他製程實施或在其他製程及/或背景中實施。
在一些實施例中,上述較低介電常數值的膜層為包括矽、氧、氮、及碳的介電材料,舉例來說,SiOCN。在一些實施例中,上述膜層具有約4.1的介電常數值。在一些實施例中,上述膜層具有範圍在約3.0至約5.0的介電常數值。上述膜層的密度可以大於約2.0g/cm3。在一些實施例中,上述膜層的密度範圍可以在約2.0g/cm3至約3.0g/cm3。在一些實施例中,可以降低上述膜層中的碳濃度,以改善對氧電漿的抵抗。在一些實施例中,上述膜層具有範圍在約0原子百分比(at.%)至約5原子百分比的碳濃度。
可以藉由在多個循環中依序脈衝矽源前驅物、氮-碳源前驅物、及氧源前驅物來使用原子層沉積(atomic layer deposition,ALD)製程形成上述膜層。可以在上述原子層沉積製程之後進行退火製程,以去除碳及氮。在一些實施例中,可以在變化的溫度下進行上述退火製程。
前文概括地描述了此處描述的實施例的一些面向。此處描述的一些實施例是在鰭式場效電晶體(fin field effect transistors,FinFETs)的背景下描述的。本揭露的一些面向的實施方式可被使用於其他製程中、於其他裝置中、及/或用於其他膜層。舉例來說,其他示例裝置可包括平面式場效電晶體(planar FET)、水平全繞式閘極場效電晶體(horizontal gate all around FETs,HGAA FET)、垂直全繞式閘極場效電晶體(vertical gate all around FETs,VGAA FET)、及其他裝置。本領域具有通常知識者將容易理解在其他實施例的範圍內可做其他的修改。雖然討論的一些方法實施例以特定順序進行, 各式其他方法實施例可以另一合乎邏輯的順序進行,且可包括少於或多於此處描述的步驟。
第1A-C至8A-B圖係根據一些實施例,繪示出在形成半導體裝置的一示例製程中之不同階段下的個別中間結構的示意圖。第1A-C至5A-B圖的面向適用於此處描述的閘極先製及置換閘極製程。第6A-B圖繪示出此處描述的閘極先製製程的更進一步的面向。第7A-B及8A-B圖繪示出此處描述的置換閘極製程的更進一步的面向。
第1A、1B、及1C圖係根據一些實施例,繪示出在形成半導體裝置的一示例製程中之一階段下的中間結構的不同示意圖。第1A及1B圖為上述中間結構的不同剖面示意圖,且第1C圖為上述中間結構的俯視示意圖。
多個鰭片74位於半導體基板70上。隔離區78位於上述半導體基板70上,且設置在相鄰的鰭片74之間。上述多個鰭片74各自從相鄰的隔離區78之間突出於其上。閘極堆疊(或更一般地說,閘極結構)沿著上述鰭片74的側壁及在上述鰭片74的頂表面之上形成,上述閘極堆疊各包括介電層80、閘極層82、及遮罩84。源極/汲極區52a-f設置在上述鰭片74的個別區域中。
第1C圖更繪示出用於其他圖式中的參考剖面。剖面A-A為沿著例如相對源極/汲極區52a-52C之間的鰭片74中的通道的平面。剖面B-B垂直於剖面A-A的平面且橫跨鰭片74中的源極/汲極區52a及52d。為了清楚起見,後續圖式將參考此些參考剖面。以下附圖中以「A」符號結尾的圖式係對應於剖 面A-A繪示出在製程的多個實例下的剖面示意圖,且以「B」符號結尾的圖式係對應於剖面B-B繪示出在製程的多個實例下的剖面示意圖。
上述半導體基板70可以為或包括塊體(bulk)半導體基板、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基板、或相似基板,其可為摻雜(例如,使用p-型或n-型摻質(dopant))或未摻雜的。在一些實施例中,上述半導體基板之半導體材料可包括含矽(silicon,Si)或鍺(germanium,Ge)的元素半導體;化合物(compound)半導體;合金半導體;或上述之組合。
上述鰭片74可以形成自半導體基板70,例如藉由在上述鰭片74之間蝕刻出溝槽。可以在鰭片74之間的溝槽中形成上述隔離區78。上述隔離區78可以包括或為絕緣材料,例如氧化物(例如氧化矽)、氮化物(nitride)、類似材料、或上述之組合。上述鰭片74自相鄰隔離區78之間突出,其從而可界定至少一部份的鰭片74作為半導體基板70上的主動區。可以藉由任何合適的製程來形成上述鰭片74及隔離區78,且上述鰭片74及隔離區78可以包括任何合適的材料。在一些範例中,上述鰭片74可以包括異質磊晶(heteroepitaxial)結構(例如,與上述半導體基板70的半導體材料晶格不匹配的材料)或其他結構。
上述閘極堆疊形成在上述鰭片74之上,且垂直於上述鰭片74側向延伸。上述閘極堆疊各包括介電層80、閘極層82、及遮罩84。上述閘極堆疊可以是在閘極先製製程中的選擇 性閘極堆疊,或可以是在置換閘極製程中的虛置閘極堆疊。
在閘極先製製程中,上述介電層80可以是閘極介電質,且上述閘極層82可以是閘極電極。上述閘極介電質可以包括或為氧化矽、氮化矽、高介電常數(high-k)介電材料、類似材料、或上述之多層膜。高介電常數介電材料可以具有大於約7.0的介電常數值,且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb、上述之多層膜、或上述之組合的金屬氧化物或金屬矽酸鹽(metal silicate)。上述閘極電極可以包括或為矽(例如,多晶矽(polysilicon),其可以是摻雜或未摻雜的)、含金屬材料(例如,鈦(titanium)、鎢(tungsten)、鋁(aluminum)、釕(ruthenium)、或相似金屬)、或上述之組合(例如矽化物或上述之多層膜)。上述遮罩84可以包括或為氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、氮化碳矽(silicon carbon nitride)、類似材料、或上述之組合。可以使用任何合適的製程來沉積用於上述遮罩84、閘極電極、及閘極介電質的膜層,且將上述膜層圖案化成閘極堆疊,以形成用於各個閘極堆疊的遮罩84、閘極層82、及介電層80。
在置換閘極製程中,上述介電層80可以是界面介電質,且上述閘極層82可以是虛置閘極。上述界面介電質可以包括或為氧化矽、氮化矽、類似材料、或上述之多層膜。上述虛置閘極可以包括或為矽(例如,多晶矽)或其他材料。上述遮罩84可以包括或為氮化矽、氮氧化矽、氮化碳矽、類似材料、或上述之組合。可以使用任何合適的製程來沉積用於上述遮罩84、虛置閘極、及界面介電質的膜層,且將上述膜層圖案化成 閘極堆疊,以形成用於各個閘極堆疊的遮罩84、閘極層82、及介電層80。
第2A及2B圖繪示出沿著閘極堆疊及鰭片74的側壁及頂表面的第一閘極間隔層86的形成。沿著鰭片74及閘極堆疊的側壁及頂表面(例如,沿著介電層80、閘極層82、及遮罩84的側壁,以及在遮罩84的頂表面上),以及在隔離區78的頂表面上順應地沉積上述第一閘極間隔層86。上述第一閘極間隔層86可以為或包括氧碳氮化矽(silicon oxycarbonitride,SiOCN)或其他材料。上述第一閘極間隔層86可以具有範圍在約5.0至約7.0的介電常數值。在一些範例中,可以使用原子層沉積(ALD)製程來沉積上述第一閘極間隔層86,但亦可以使用其他沉積技術。上述第一閘極間隔層86之範例的額外細節將於後續參考第9圖描述。在一些實施例中,可以省略上述第一閘極間隔層86。
第3A及3B圖繪示出第二閘極間隔層88的形成。在上述第一閘極間隔層66上順應地沉積上述第二閘極間隔層88。上述第二閘極間隔層88為包括矽、氧、氮及碳的介電層。在一些實施例中,上述第二閘極間隔層88可以為或包括氧碳氮化矽(SiOCN)或其他材料。在一些實施例中,上述第二閘極間隔層88具有範圍在約0原子百分比至約5原子百分比的碳濃度。在一些實施例中,上述第二閘極間隔層88具有範圍在約0原子百分比至約15原子百分比的氮濃度,舉例來說,約5.3原子百分比。上述第二閘極間隔層88具有範圍在約40原子百分比至約70原子百分比的氧濃度,舉例來說,約65原子百分比。上 述第二閘極間隔層88具有範圍在約20原子百分比至約40原子百分比的矽濃度,舉例來說,約27原子百分比。
上述第二閘極間隔層88可以是高密度介電層。上述第二閘極間隔層88可以具有相同或大於約2.0g/cm3的密度。舉例來說,上述第二閘極間隔層88可以具有範圍在約2.0g/cm3至約3.0g/cm3的密度,例如約2.3g/cm3。在一些實施例中,相較於上述第一閘極間隔層86,上述第二閘極間隔層88具有較低的介電常數值。在一些實施例中,上述第二閘極間隔層88具有約4.1的介電常數值。舉例來說,上述第二閘極間隔層88具有範圍在約3.0至約5.0的介電常數值。
可以在多個循環中藉由在原子層沉積製程中依序脈衝矽源前驅物、氮-碳源前驅物、及氧源前驅物,接著藉由退火製程來降低碳及/或氮濃度,以形成上述第二閘極間隔層88。上述第二閘極間隔層88之範例及用於形成上述第二閘極間隔層88的這些範例的原子層沉積及退火製程的額外細節將於後續參考第10-13圖描述。
第4A及4B圖繪示出閘極間隔物的形成,上述閘極間隔物包括上述第一閘極間隔層86及第二閘極間隔層88的個別部分。沿著上述閘極堆疊的側壁及在上述鰭片74之上形成上述閘極間隔物(如所繪示的,例如,雙層(bi-layer)閘極間隔物)。舉例來說,取決於在上述隔離區78上方的鰭片74的高度,亦可以沿著上述鰭片74的側壁保留殘餘的(residual)閘極間隔物。可藉由非等向性(anisotropic)地蝕刻上述第二閘極間隔層88及上述第一閘極間隔層86來形成上述閘極間隔 物。上述蝕刻製程可包括反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、或其他蝕刻製程。在其他實施例中,上述多層閘極間隔物可包括額外的及/或不同的膜層及/或不同的材料。
第5A及5B圖繪示出用於源極/汲極區92的形成。在上述閘極堆疊兩側的鰭片74中形成凹槽,且上述源極/汲極區92形成在此些凹槽中。可藉由蝕刻製程進行凹蝕。上述蝕刻製程可為等向性(isotropic)或非等向性,或者,可以是對於半導體基板70的一個或多個晶面(crystalline plane)為選擇性的。因此,基於所實施的蝕刻製程,凹槽90可具有各種剖面輪廓。在形成上述凹槽時,上述第二閘極間隔層88可能會被暴露至蝕刻化學物質。如於此處所述的,上述第二閘極間隔層88可增加對蝕刻化學物質的抵抗,同時針對目標電容效率保持較低介電常數值。
在一些實施例中,可以在於上述凹槽中磊晶成長源極/汲極區92之前,進行清潔製程(clean process),以去除來自鰭片74的雜質。舉例來說,可以使用電漿以清潔上述表面,例如氧電漿。由於第二閘極間隔層88的低碳濃度,第二閘極間隔層88可以在不遭受顯著損傷的情況下承受清潔電漿。
在清潔製程之後,在上述凹槽中形成磊晶源極/汲極區92。上述磊晶源極/汲極區92可以包括或為矽鍺(silicon germanium)、碳化矽(silicon carbide)、矽磷(silicon phosphorus)、三五族化合物半導體、二六族化合物半導體、或類似材料。可藉由磊晶成長一材料於上述凹槽中以形成位於 凹槽中的磊晶源極/汲極區92,例如藉由金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、相似製程、或上述之組合。上述磊晶源極/汲極區92可以延伸超過上述鰭片74的側壁及頂表面(例如,升高上述磊晶源極/汲極區92),且可以具有刻面(facet),上述刻面可以對應至上述半導體基板70的晶面。在一些範例中,用於p型裝置及n型裝置之磊晶源極/汲極區92的材料是不同的。在凹蝕或磊晶成長期間,適當的遮蓋可允許在不同的裝置中使用不同的材料。
本發明所屬技術領域中具有通常知識者將容易理解關於上述凹蝕及磊晶源極/汲極區92的磊晶成長可以被省略,且可使用上述閘極堆疊及閘極間隔物作為遮罩,以藉由佈植摻質至鰭片74中來形成源極/汲極區。在一些實施磊晶源極/汲極區92的範例中,上述磊晶源極/汲極區92亦可被摻雜,例如在磊晶成長的過程中藉由臨場摻雜及/或在磊晶成長之後藉由佈植摻質至磊晶源極/汲極區92中。因此,可以藉由摻雜(例如,如果合適的話,在磊晶成長的過程中藉由佈植及/或臨場摻雜)及/或磊晶成長界定出源極/汲極區,如果合適的話,其可進一步界定出主動區,其中界定的源極/汲極區在界定的主動區中。由於上述第二閘極間隔層88的高密度,第二閘極間隔層88能夠承受佈植製程,與一些其他閘極間隔物材料相比,佈植製程帶給第二閘極間隔層88較少來自粒子轟擊 (bombardment)的損傷。
繼續閘極先製製程,第6A及6B圖繪示出介電層的形成、以及導電部件104的形成。上述介電層位於上述鰭片74、磊晶源極/汲極區92、以及閘極堆疊之上。上述導電部件104穿過上述介電層至磊晶源極/汲極區92。在形成上述源極/汲極區92之後,藉由任何合適的製程將接觸蝕刻終止層(contact etch stop layer,CESL)96順應地沉積在上述磊晶源極/汲極區92的表面上、在多層閘極間隔物的側壁及頂表面上、在遮罩84的頂表面上、及隔離區78的頂表面上。一般而言,蝕刻終止層可以提供一種機制(mechanism)以在形成例如接觸物(contact)或通孔(via)時停止蝕刻製程。蝕刻終止層可由與鄰近的膜層或組件具有不同蝕刻選擇性的介電材料形成。上述接觸蝕刻終止層96可以包括氮化矽、氮化碳矽、類似材料、或上述之組合。
第一層間介電質(interlayer dielectric,ILD)100可以形成於上述接觸蝕刻終止層96之上。上述第一層間介電質100可以包括或為二氧化矽、低介電常數介電材料例如氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、SiOxCy、旋轉塗佈玻璃(Spin-On-Glass)、旋轉塗佈聚合物(Spin-On-Polymers)、碳矽材料、上述之化合物(compound)、上 述之複合物(composite)、類似材料、或上述之組合。可以藉由任何合適的製程來沉積此第一層間介電質100。在如第6A及6B圖所示的閘極先製製程中,上述第一層間介電質100的頂表面可以位於上述接觸蝕刻終止層96的上部及閘極堆疊上方。因此,上述接觸蝕刻終止層96的上部可以保持在閘極堆疊之上。
接著在穿過上述第一層間介電質100及上述接觸蝕刻終止層96的開口中形成導電部件104。舉例來說,此導電部件104可包括黏著及/或阻障層以及位於上述黏著及/或阻障層上的導電材料。在一些範例中,如所繪示的,上述導電部件104可以包括位於磊晶源極/汲極區92上的矽化物區106。可以在上述開口中及於第一層間介電質100之上順應性地沉積上述黏著及/或阻障層。上述黏著及/或阻障層可以為或包括鈦(titanium)、氮化鈦(titanium nitride)、氧化鈦(titanium oxide)、鉭(tantalum)、氮化鉭(tantalum nitride)、氧化鉭(tantalum oxide)、類似材料、或上述之組合。可透過使磊晶源極/汲極區92的上部與黏著及/或阻障層反應而在磊晶源極/汲極區92的上部上形成上述矽化物區106。可以進行退火以促進上述磊晶源極/汲極區92與黏著及/或阻障層的反應。可以在黏著及/或阻障層上沉積上述導電材料並填充上述開口。上述導電材料可以為或包括鎢(tungsten)、銅(copper)、鋁(aluminum)、金(gold)、銀(silver)、上述之合金、類似材料、或上述之組合。上述導電部件104可為或可稱為接觸物、插塞(plug)等。
第7A-B及8A-B圖繪示出此處所描述的置換閘極製 程的更進一步的面向。首先如前面參考第1A-C至5A-B圖所描述的那樣進行製程,然後進行至第7A-B圖。
第7A及7B圖繪示出在第5A-B圖中的磊晶源極/汲極區的形成之後,使用置換閘極結構來置換上述閘極堆疊。可以如第6A-B圖所述的那樣形成上述接觸蝕刻終止層96及第一層間介電質100。將上述第一層間介電質100及接觸蝕刻終止層96形成為具有與閘極層82的頂表面共平面的頂表面。可進行例如化學機械研磨(chemical mechanical planarization,CMP)的平坦化製程,以使第一層間介電質100及接觸蝕刻終止層96的頂表面與閘極層82的頂表面齊平(level)。上述化學機械研磨亦可以去除位於閘極層82上的遮罩84(在一些例子中,以及多層閘極間隔物的上部)。因此,閘極層82透過第一層間介電質100及接觸蝕刻終止層96露出其頂表面。
隨著透過第一層間介電質100及接觸蝕刻終止層96露出上述閘極層82,藉由例如一或多道蝕刻製程去除上述閘極層82及介電層80。可藉由對上述閘極層82具有選擇性的蝕刻製程來去除閘極層82,其中上述介電層80作為蝕刻終止層,且接著,可藉由對介電層80具有選擇性的不同蝕刻製程來去除介電層80。舉例來說,上述蝕刻製程可以為反應離子蝕刻、中性束蝕刻、濕蝕刻、或其他蝕刻製程。在多層閘極間隔物之間的閘極堆疊被去除之處形成凹槽,且透過上述凹槽露出鰭片74的通道區。
在上述閘極層82及介電層80的去除期間,上述第二閘極間隔層88的頂表面暴露至蝕刻化學物質。上述第二閘極 間隔層88可以具有改善的密度,以承受蝕刻化學物質,減少蝕刻期間的間隔物損失以及改善裝置中的電容效率。
在去除上述閘極層82及介電層80之後,在閘極堆疊被去除所形成凹槽中形成置換閘極結構。上述置換閘極結構各包括一或多個順形層120及閘極電極122。上述一或多個順形層120包括閘極介電層,且可以包括一或多個功函數調整層。上述閘極介電層可順應地沉積在閘極堆疊被去除所形成凹槽中。上述閘極介電層可以為或包括氧化矽、氮化矽、高介電常數(high-k)介電材料、上述之多層膜、或其他介電材料。接著,如果有實施上述功函數調整層,則可以在閘極介電層上順應地沉積功函數調整層。上述功函數調整層可以包括或為鉭、氮化鉭、鈦、氮化鈦、類似材料、或上述之組合。類似於第一功函數調整層,可以依序沉積任何額外的功函數調整層。在上述一或多個順形層120之上形成閘極電極122。用於上述閘極電極122的膜層可以填充去除閘極堆疊所形成之凹槽的剩餘部分。上述閘極電極122可以為或包括含金屬材料,例如鈷(Co)、釕(Ru)、鋁(Al)、鎢(W)、銅(Cu)、上述之多層膜、或上述之組合。
第8A及8B圖繪示出在上述置換閘極結構及第一層間介電質100之上形成第二層間介電質130,以及穿過上述第二層間介電質130、第一層間介電質100、以及接觸蝕刻終止層96形成導電部件134至磊晶源極/汲極區92。上述第二層間介電質130形成在上述第一層間介電質100、置換閘極結構、閘極間隔層、以及接觸蝕刻終止層96之上。雖然並未繪示,在一些範例 中,可在第一層間介電質100等之上沉積蝕刻終止層(ESL),且可在上述蝕刻終止層之上沉積上述第二層間介電質130。如果有實施上述蝕刻終止層,則蝕刻終止層可包括或為氮化矽(silicon nitride)、氮化碳矽(silicon carbon nitride)、氧化碳矽(silicon carbon oxide)、氮化碳(carbon nitride)、類似材料、或上述之組合。上述第二層間介電質130可以包括或為二氧化矽(silicon dioxide)、低介電常數介電材料例如氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、SiOxCy、旋轉塗佈玻璃(Spin-On-Glass)、旋轉塗佈聚合物(Spin-On-Polymers)、碳矽材料、上述之化合物(compound)、上述之複合物(composite)、類似材料、或上述之組合。類似於前面參考第6A-B圖之導電部件104所描述的那樣,可以穿過上述第二層間介電質130、第一層間介電質100、以及接觸蝕刻終止層96形成導電部件134至磊晶源極/汲極區92,且具有矽化物區136。
第9圖係根據一些實施例,繪示出用於形成閘極間隔物的製程流程200。在步驟202中,在裝置結構上選擇性地形成第一閘極間隔層,例如大致上參考第2A及2B圖中示出及描述的那樣。可以藉由原子層沉積製程來形成上述第一閘極間隔層。上述第一閘極間隔層可以是SiOCN層、SiN層、SiON層、或類似膜層。相較於後續的閘極間隔層,上述第一閘極間隔層 可以具有較高的介電常數值。可以省略上述步驟202。
在步驟204中,使用原子層沉積製程及退火製程來形成第二閘極間隔層,以實現目標膜層密度以及目標介電常數值。上述第二閘極間隔層形成於第一閘極間隔層上(如果選擇性地實施第一閘極間隔層),或形成在裝置結構上。一般而言,參考第3A及3B圖中示出及描述的那樣形成上述第二閘極間隔層。上述第二閘極間隔層可以是具有低碳濃度的SiOCN層,例如範圍在大於0原子百分比至5原子百分比的碳濃度。上述第二閘極間隔層具有低於上述第一閘極間隔層的介電常數值。在一些實施例中,步驟204包括使用原子層沉積製程形成介電層,以及在上述原子層沉積製程之後退火上述介電層。第10圖係根據本發明一些實施例,描述了可以使用在步驟204中的製程。
在步驟206中,例如大致上參考第4A及4B中示出及描述的那樣,非等向性地蝕刻上述第二閘極間隔層及第一閘極間隔層(如果有實施第一閘極間隔層),以形成上述閘極間隔物。
第10圖係根據一些實施例,繪示出用於形成介電層(例如,上述第二閘極間隔層88)的製程流程300。此製程流程300可以用於形成步驟204中的第二閘極間隔層。
在此範例中,上述製程流程300包括原子層沉積製程及退火製程。藉由上述製程流程300所形成的膜層可以為或包括氧碳氮化矽(silicon oxycarbonitride,SiOCN)或其他材料。可以藉由原子層沉積製程形成製程流程300中的上述膜層,此原子層沉積製程包括在多個週期中依序流送氧源前驅 物、氮及碳源前驅物、以及氧源前驅物。在上述原子層沉積製程之後,進行上述退火製程以實現目標介電常數值及材料性質。上述前驅物之間的反應與退火製程組合可以使介電薄膜在後續製程中具有較低的介電常數值、強的電漿及蝕刻化學物質抵抗能力、以及對物理轟擊的高密度。當將上述膜層使用於閘極間隔層中時,此膜層可以為電容效率提供具有較低介電常數值的薄膜,以及提供防止在後續製程中損傷的強壯薄膜,使電晶體具有改善的性能。
步驟302至310繪示出原子層沉積製程的一個週期。此週期包括交替流送(或脈衝)及吹淨(purge)步驟,其中每個前驅物在週期期間被流送(或脈衝)且隨後至少被吹淨一次。在一些實施例中,上述原子層沉積製程可以在原子層沉積腔體(chamber)中以範圍在約400℃至約800℃的溫度進行,舉例來說約600℃。第11A至第11H圖係根據一些實施例,示意性的繪示出在原子層沉積製程期間的反應。
在步驟302中,在原子層沉積腔體中流送上述矽源前驅物。將上述矽源前驅物流送至具有待處理基板的原子層沉積設備腔體中,舉例來說,其上形成有第2A-B圖中顯示的裝置結構的基板。上述矽源前驅物與基板表面上的端子(terminal)反應,形成單層(monolayer)中間產物。在一些實施例中,上述矽源前驅物可以是六氯二矽烷(hexachlorodisilane,HCD,Si2Cl6)或其他前驅物。
第11A圖示意性的繪示出,第2A-2B圖中顯示的裝置結構的基板表面上的鍵結(bond),其具有介電材料的表面, 舉例來說,上述第一閘極間隔層86。如第11A圖所示,上述表面具有連接至矽的氧原子端子(或氫氧基團(hydroxide(-OH)group)(未繪示))。第11B圖示意性的繪示出,在步驟302中,當流送六氯二矽烷(HCD)至上述原子層沉積腔體中時,上述表面上的反應。舉例來說,上述六氯二矽烷(HCD)在上述原子層沉積腔體的溫度下可以與上述表面的端子反應,以將矽及氯(chlorine)原子附接至位於上述表面上的氧原子,從而在上述基板表面上形成O-Si-Cl鍵結,同時產生氯原子(例如,當上述表面以氫氧基團作為終端時,為氯化氫)作為副產物(byproduct)。在一些實施例中,六氯二矽烷(HCD)與上述基板表面反應,在基板表面上形成單層分子的第一中間薄膜。
在步驟303中,吹淨上述原子層沉積腔體,以從原子層沉積腔體去除在步驟302中的副產物及未被消耗掉的矽源前驅物。
在步驟304中,將上述氮及碳源前驅物流送至原子層沉積腔體中。在一些實施例中,上述氮及碳源前驅物可以包括三乙胺(N(C2H5)3,也稱為RENA)或其他前驅物。第11C圖示意性的繪示出,靠近上述具有Si-Cl鍵結的基板表面的三乙胺(RENA)分子。三乙胺(RENA)可以在原子層沉積腔體中分解並與基板表面上的中間薄膜反應。舉例來說,可斷裂在分解的三乙胺(RENA)中至氮(N)的鍵結,以讓分解的三乙胺(RENA)取代在上述基板表面上的Si-Cl鍵結中的Cl,以形成第二中間薄膜。上述自Si-Cl鍵結離開的氯原子可以與分解的三 乙胺(RENA)中的氫(hydrogen)反應,以形成作為副產物的氯化氫(hydrogen chloride)。在一些實施例中,三乙胺(RENA)可以與表面層反應,以在上述基板表面上形成單層分子的第二中間薄膜。在一些實施例中,如第11D圖所示,上述第二中間薄膜可以包括處於多種過渡狀態(transition state)的三乙胺(RENA)。
在步驟306中,吹淨上述原子層沉積腔體,以從原子層沉積腔體中去除在步驟304中的副產物及未被消耗掉的氮及碳源前驅物。
在步驟308中,將氧源前驅物流送至上述原子層沉積腔體。在一些實施例中,上述氧源前驅物可以包括氧氣(oxygen gas,O2)。在上述第二中間薄膜上的分解的三乙胺(RENA)可以進一步在氧氣環境中熱分解,產生N-H鍵結並釋放CO2及H2O。一示例反應可以為:N-C2H5+3O2->N-H+2CO2+2H2O第11E及11F圖示意性的繪示出,在步驟308中的表面反應。
在步驟310中,吹淨上述原子層沉積腔體,以從原子層沉積腔體中去除在步驟308中的副產物及未被消耗掉的氧源前驅物。
上述原子層沉積製程的一個週期包括步驟302至310。在步驟310之後,在步驟312中決定是否要進行額外的週期。如果是,則進行另一週期。可以進行任何數量的週期以沉積具有所欲之厚度的閘極間隔層。第11G圖示意性的繪示出,六氯二矽烷(HCD)與上述表面上的氧原子反應,以開始一個 新的週期。
如果不需要進行額外的週期,則可以結束原子層沉積製程。上述沉積薄膜可以為包括Si-O、Si-N、及Si-N-CO2鍵結的SiOCN材料。在沉積之後,上述薄膜可以包括範圍在約0原子百分比至約5原子百分比的碳,舉例來說,約2.0原子百分比。在沉積之後,上述薄膜可以包括範圍在約0原子百分比至約15原子百分比的氮,舉例來說,約6.3原子百分比。在沉積之後,上述薄膜可以包括範圍在約20原子百分比至約40原子百分比的矽,舉例來說,約27.4原子百分比。在沉積之後,上述薄膜可以包括範圍在約40原子百分比至約70原子百分比的氧,舉例來說,約64.3原子百分比。
在步驟314中,對藉由原子層沉積製程所形成的薄膜進行退火製程,以實現所欲之性質。可以在包括N2、O2、或H2的環境中進行上述退火製程。
在一些實施例中,在變化的溫度下進行上述退火製程。舉例來說,在變溫的N2環境中進行上述退火製程。在一實施例中,上述退火製程可以包括退火上述基板持續第一段時間,同時提升退火溫度、退火上述基板持續第二段時間,同時保持恆定退火溫度、以及退火上述基板持續第三段時間,同時降低退火溫度。可以使用恆定速率或變化的速率來進行上述退火溫度的提升及退火溫度的降低。舉例來說,可以在低溫下使用快的提升速率,且可以在高溫下使用低的提升速率。類似地,可以在高溫下使用低的降低速率,且可以在低溫下使用快的降低速率。
第12圖包括於N2環境中的退火製程的溫度-時間曲線402。在一實施例中,可以在約一大氣壓(1.0atm)下的N2環境中進行上述退火製程。可以在退火腔體中進行上述退火製程。當被處理的基板轉移進腔體中或轉移出腔體中時,上述退火腔體可以保持在溫度T1。上述溫度T1範圍可以在約200℃至約500℃,舉例來說,約400℃。
在第12圖的範例中,上述退火製程分五個階段進行。在階段I中,以高速將溫度自溫度T1提升至溫度T2。上述溫度T2範圍可以在約400℃至約600℃,舉例來說,約580℃。在階段I,可以使用範圍在約1℃/min至約20℃/min的速率來提升溫度,舉例來說,約9℃/min。階段I可以進行約5分鐘至約80分鐘的時間段,舉例來說,約20分鐘。
在階段II中,以低速將溫度自溫度T2提升至溫度T3。上述溫度T3範圍可以在約600℃至約1000℃,舉例來說,約700℃。在階段II,可以使用範圍在約1℃/min至約20℃/min的速率來提升溫度,舉例來說,約3℃/min。階段II可以進行約5分鐘至約80分鐘的時間段,舉例來說,約40分鐘。可以一起進行上述階段I及II,以範圍在約5分鐘至約180分鐘的時間段來增加或提升溫度,舉例來說,約至少60分鐘。
在階段III中,將上述溫度保持在溫度T3。階段III可以進行約0分鐘至約200分鐘的時間段,舉例來說,約60分鐘。
在階段IV中,以低速將溫度自溫度T3降低至溫度T4。上述溫度T4範圍可以在約400℃至約600℃,舉例來說,約580℃。在階段IV,可以使用範圍在約1℃/min至約20℃/min的 速率來降低溫度,舉例來說,約4℃/min。階段IV可以進行約5分鐘至約80分鐘的時間段,舉例來說,約30分鐘。
在階段V中,以高速將溫度自溫度T4降低至溫度T5。上述溫度T5範圍可以在約200℃至約500℃,舉例來說,約400℃。在階段V,可以使用範圍在約1℃/min至約20℃/min的速率來降低溫度,舉例來說,約7℃/min。階段V可以進行約5分鐘至約80分鐘的時間段,舉例來說,約28分鐘。上述溫度T5可以相同或不同於溫度T1。可以一起進行上述階段IV及V,以範圍在約5分鐘至約180分鐘的時間段來減少或降低溫度,舉例來說,約至少60分鐘。
在步驟314的退火期間,去除一些碳(以二氧化碳(carbon dioxide)的形式)及一些氮(以NH的形式)。碳的去除增加了對電漿的抵抗,舉例來說,氧電漿。第11H圖示意性的繪示出,在退火期間的碳及氮的去除。氮的去除降低了介電常數值。在一些實施例中,上述薄膜可以具有小於4.1的介電常數值。在退火之後,上述薄膜可以具有範圍在約0原子百分比至約5原子百分比的碳,舉例來說,約1.0原子百分比或更少。在退火之後,上述薄膜可以包括範圍在約0原子百分比至約15原子百分比的氮,舉例來說,約5.3原子百分比。在退火之後,上述薄膜可以包括範圍在約40原子百分比至約70原子百分比的氧,舉例來說,約65.3原子百分比。在退火之後,上述薄膜可以包括範圍在約20原子百分比至約40原子百分比的矽,舉例來說,約28.4原子百分比。
上述第二閘極間隔層88可以為高密度介電層。上 述第二閘極間隔層88可以具有大於2.0g/cm3的密度。舉例來說,上述第二閘極間隔層88可以具有範圍在2.0g/cm3至3.0g/cm3的密度,例如2.3g/cm3。在一些實施例中,上述第二閘極間隔層88具有低於上述第一閘極間隔層86的介電常數值。在一些實施例中,上述第二閘極間隔層88具有約4.1的介電常數值。舉例來說,上述第二閘極間隔層88具有範圍在約3.0至約5.0的介電常數值。
在其他實施例中,可以使用恆定退火溫度來進行步驟314,舉例來說,在恆定溫度的氫環境中的退火製程。在一範例中,可以氫環境中使用範圍在約200℃至約600℃的退火溫度來進行上述退火製程,舉例來說,約450℃。可以使用範圍在約0秒至約2000秒的持續時間進行上述退火製程,舉例來說,約600秒。在退火期間,上述退火腔體可以處在範圍在約0torr至約10torr的低壓下,舉例來說,約2torr。在一些實施例中,上述氫環境可以包括氫電漿。
第13圖係根據一些實施例繪示出第8A圖之部分中間結構的剖面示意圖,以說明根據第10圖的製程流程300所形成的第一閘極間隔層86及第二閘極間隔層88的額外細節。上述第一閘極間隔層86及第二閘極間隔層88具有碳濃度曲線902、氮濃度曲線904、氧濃度曲線906、矽濃度曲線908、以及介電常數值曲線910。在一些範例中,在上述第二閘極間隔層88中的碳濃度曲線902(亦可稱為碳濃度)範圍在0原子百分比至5原子百分比,例如少於3原子百分比,且更具體來說,少於1原子百分比。上述第二閘極間隔層88在後續製程期間(例如,步 驟314的退火)可以經歷更進一步的碳損失(例如,約1原子百分比至約2原子百分比的損失)。因此,在上述第二閘極間隔層88中的碳濃度曲線902可以相等或少於以下任何一者:約5原子百分比、約4原子百分比、約3原子百分比、約2原子百分比、約1原子百分比、以及約0原子百分比。相較於上述第一閘極間隔層86,此第二閘極間隔層88具有較低的介電常數值及較低的碳濃度。
此處描述具有介電部件的半導體裝置結構及形成上述介電部件的方法。在一些範例中,藉由原子層沉積製程、且接著藉由變溫退火製程來形成上述介電部件。上述介電部件可以具有高密度、低碳濃度、以及較低的介電常數值。根據本發明實施例形成的介電部件在後續製程中對蝕刻化學物質的抵抗、對電漿傷害的抵抗、以及對物理轟擊的抵抗增加,同時針對目標電容效率保持低介電常數值。
本發明一些實施例提供一種半導體結構的形成方法,包括使用原子層沉積製程形成一膜層,以及在氮環境中退火上述膜層。退火上述膜層的步驟包括退火上述膜層持續第一段時間,同時將退火溫度自第一溫度提升至第二溫度、以上述第二溫度退火上述膜層持續第二段時間、以及退火上述膜層持續第三段時間,同時將退火溫度自第二溫度降低。在一些實施例中,形成上述膜層的步驟包括流送一矽源前驅物、流送一碳及氮源前驅物、以及流送一氧源前驅物。在一些實施例中,上述矽源前驅物包括Si2Cl6。在一些實施例中,上述碳及氮源前驅物包括N(C2H5)3。在一些實施例中,上述氧源前驅物包括 O2。在一些實施例中,上述第一溫度為至少400℃、上述第二溫度為至少700℃,且上述第一段時間為至少60分鐘、上述第二段時間為至少60分鐘。在一些實施例中,退火上述膜層第一段時間,同時將上述退火溫度自第一溫度提升至第二溫度的步驟包括以第一速率將上述退火溫度自第一溫度提升至該第三溫度、以及以第二速率將上述退火溫度自第三溫度提升至第二溫度,其中上述第一速率大於上述第二速率。在一些實施例中,上述第一速率為約9℃/min,且上述第二速率為約3℃/min。
本發明一些實施例提供一種半導體結構的形成方法,包括使用原子層沉積製程形成一膜層,上述原子層沉積製程包括在上述原子層沉積的一週期中:流送一矽源前驅物、流送一碳及氮源前驅物、以及流送一氧源前驅物。此方法更包括退火上述膜層,以降低上述膜層中的碳含量及氮含量。在一些實施例中,退火上述膜層的步驟包括在約一大氣壓的氮環境中退火上述膜層。在一些實施例中,退火上述膜層的步驟包括以第一速率將退火溫度自第一溫度提升至第二溫度,以及以第二速率將上述退火溫度自第二溫度提升至第三溫度,其中上述第二速率小於上述第一速率。在一些實施例中,退火上述膜層的步驟更包括以第三溫度退火基板,其中上述第三溫度維持一段時間。在一些實施例中,退火上述膜層的步驟更包括將退火溫度自第三溫度降低。在一些實施例中,退火上述膜層的步驟包括在氫電漿中以恆定溫度退火上述膜層。在一些實施例中,上述矽源前驅物包括Si2Cl6、上述碳及氮源前驅物包括N(C2H5)3、且上述氧源前驅物包括O2
本發明一些實施例提供一種半導體結構,包括位於基板上的主動區,上述主動區包括源極/汲極區、位於上述主動區之上的閘極結構、以及沿著上述閘極結構之側壁的閘極間隔物,上述閘極間隔物側向設置在上述閘極結構及上述源極/汲極區之間,上述閘極間隔物包括第一間隔層、以及形成在上述第一間隔層之上的第二間隔層,其中上述第二間隔層具有範圍在0原子百分比至5原子百分比的碳濃度,且該第二間隔層具有低於該第一間隔層的介電常數值。在一些實施例中,上述第二間隔層具有範圍在2.0g/cm3至3.0g/cm3的密度。在一些實施例中,上述第一間隔層具有範圍在5.0至7.0的介電常數值。在一些實施例中,上述第二間隔層具有範圍在0原子百分比至15原子百分比的氮濃度。在一些實施例中,上述第二間隔層為氧碳氮化矽(silicon oxycarbide nitride,SiOCN)薄膜,其介電常數值為4.1。
以上概略說明了本發明數個實施例的部件,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。
300‧‧‧製程流程
302、303、304、306、308、310、312、314‧‧‧步驟

Claims (13)

  1. 一種半導體結構的形成方法,包括:使用一原子層沉積(Atomic Layer Deposition,ALD)製程形成一膜層;以及在一氮環境中退火該膜層,退火該膜層的步驟包括:退火該膜層持續一第一段時間,同時將一退火溫度自一第一溫度提升至一第二溫度;以該第二溫度退火該膜層持續一第二段時間;以及退火該膜層持續一第三段時間,同時將該退火溫度自該第二溫度降低,其中形成該膜層的步驟包括:流送一矽源前驅物;流送一碳及氮源前驅物(carbon and nitrogen source precursor);以及流送一氧源前驅物。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該矽源前驅物包括Si2Cl6、該碳及氮源前驅物包括N(C2H5)3、該氧源前驅物包括O2或前述之組合。
  3. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中該第一溫度為至少400℃、該第二溫度為至少700℃,且該第一段時間為至少60分鐘、該第二段時間為至少60分鐘。
  4. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中退火該膜層持續該第一段時間,同時將該退火溫度自該第一溫度提升至該第二溫度的步驟包括: 以一第一速率將該退火溫度自該第一溫度提升至一第三溫度;以及以一第二速率將該退火溫度自該第三溫度提升至該第二溫度,其中該第一速率大於該第二速率,且其中該第一速率為約9℃/min,且該第二速率為約3℃/min。
  5. 一種半導體結構的形成方法,包括:使用一原子層沉積製程形成一膜層,該原子層沉積製程包括:在該原子層沉積製程的一週期中:流送一矽源前驅物;流送一碳及氮源前驅物;流送一氧源前驅物;以及退火該膜層,以降低該膜層中的一碳含量及一氮含量。
  6. 如申請專利範圍第5項所述之半導體結構的形成方法,其中退火該膜層的步驟包括:以一第一速率將一退火溫度自一第一溫度提升至一第二溫度;以及以一第二速率將該退火溫度自該第二溫度提升至一第三溫度,其中該第二速率小於該第一速率。
  7. 如申請專利範圍第6項所述之半導體結構的形成方法,其中退火該膜層的步驟更包括以該第三溫度退火該膜層,其中該第三溫度維持一段時間。
  8. 如申請專利範圍第5-7項中任一項所述之半導體結構的形成方法,其中退火該膜層的步驟更包括在一氫電漿中以一恆 定溫度退火該膜層。
  9. 一種半導體結構,包括:一主動區,位於一基板上,該主動區包括一源極/汲極區;一閘極結構,位於該主動區之上;以及一閘極間隔物,沿著該閘極結構之一側壁,該閘極間隔物側向設置在該閘極結構及該源極/汲極區之間,該閘極間隔物包括:一第一間隔層;以及一第二間隔層,形成在該第一間隔層之上,其中該第二間隔層具有範圍在0原子百分比(atomic percent,at.%)至5原子百分比的一碳濃度,且該第二間隔層具有低於該第一間隔層的介電常數值。
  10. 如申請專利範圍第9項所述之半導體結構,其中該第二間隔層具有範圍在2.0g/cm3至3.0g/cm3的密度。
  11. 如申請專利範圍第9或10項所述之半導體結構,其中該第一間隔層具有範圍在5.0至7.0的介電常數值。
  12. 如申請專利範圍第9或10項所述之半導體結構,其中該第二間隔層具有範圍在0原子百分比至15原子百分比的一氮濃度。
  13. 如申請專利範圍第9或10項所述之半導體結構,其中該第二間隔層為氧碳氮化矽(silicon oxycarbide nitride,SiOCN)薄膜,其介電常數值為4.1。
TW107142232A 2017-11-30 2018-11-27 半導體結構及其形成方法 TWI694524B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592973P 2017-11-30 2017-11-30
US62/592,973 2017-11-30
US16/100,288 2018-08-10
US16/100,288 US10748760B2 (en) 2017-11-30 2018-08-10 Varying temperature anneal for film and structures formed thereby

Publications (2)

Publication Number Publication Date
TW201926466A TW201926466A (zh) 2019-07-01
TWI694524B true TWI694524B (zh) 2020-05-21

Family

ID=66633507

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142232A TWI694524B (zh) 2017-11-30 2018-11-27 半導體結構及其形成方法

Country Status (3)

Country Link
US (1) US10748760B2 (zh)
KR (1) KR102231408B1 (zh)
TW (1) TWI694524B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11127830B2 (en) * 2019-01-17 2021-09-21 Micron Technology, Inc. Apparatus with multidielectric spacers on conductive regions of stack structures, and related methods
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
US11217679B2 (en) 2020-04-01 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200939477A (en) * 2007-12-20 2009-09-16 Intel Corp Device with asymmetric spacers
TW201543572A (zh) * 2014-04-24 2015-11-16 Applied Materials Inc 用於在薄膜堆疊中精確置入氮的氨環境中之毫秒退火

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2713165B2 (ja) 1994-05-19 1998-02-16 日本電気株式会社 半導体装置の製造方法
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7772582B2 (en) * 2007-07-11 2010-08-10 International Business Machines Corporation Four-terminal reconfigurable devices
US7969770B2 (en) * 2007-08-03 2011-06-28 International Business Machines Corporation Programmable via devices in back end of line level
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US9498845B2 (en) * 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US7659158B2 (en) * 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8501570B2 (en) * 2010-10-20 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing source/drain structures
KR101858524B1 (ko) * 2011-05-26 2018-05-18 삼성전자주식회사 반도체 소자의 제조 방법
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8877599B2 (en) * 2012-05-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US9951406B2 (en) * 2014-11-18 2018-04-24 The United States Of America, As Represented By The Secretary Of Commerce Alloy with selected electrical conductivity and atomic disorder, process for making and using same
US9634141B1 (en) * 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
ITUB20161081A1 (it) * 2016-02-25 2017-08-25 St Microelectronics Srl Dispositivo a semiconduttore con regione conduttiva sepolta, e metodo di fabbricazione del dispositivo a semiconduttore
US10002958B2 (en) * 2016-06-08 2018-06-19 The United States Of America, As Represented By The Secretary Of The Navy Diamond on III-nitride device
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200939477A (en) * 2007-12-20 2009-09-16 Intel Corp Device with asymmetric spacers
TW201543572A (zh) * 2014-04-24 2015-11-16 Applied Materials Inc 用於在薄膜堆疊中精確置入氮的氨環境中之毫秒退火

Also Published As

Publication number Publication date
TW201926466A (zh) 2019-07-01
KR102231408B1 (ko) 2021-03-26
US10748760B2 (en) 2020-08-18
KR20190064517A (ko) 2019-06-10
US20190164743A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
US11817343B2 (en) Dielectric gap fill
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
US10964543B2 (en) Passivator for gate dielectric
CN110379703B (zh) 半导体工艺方法
US10971589B2 (en) Low-k feature formation processes and structures formed thereby
US20230317448A1 (en) Varying Temperature Anneal for Film and Structures Formed Thereby
TWI694524B (zh) 半導體結構及其形成方法
TWI832442B (zh) 形成半導體裝置結構的方法和形成介電層的方法
TWI845103B (zh) 半導體裝置結構之形成方法
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
US20230013102A1 (en) Semiconductor device structure and methods of forming the same
US20240170563A1 (en) Dielectric Layer for Nanosheet Protection and Method of Forming the Same
TW202422708A (zh) 半導體裝置及其形成方法
CN117316768A (zh) 无体积氟掺入方法
KR20240028313A (ko) 볼륨리스 불소 혼입 방법
TW202425071A (zh) 半導體裝置的製造方法