CN107871654B - 形成介电膜的方法及制作半导体装置的方法 - Google Patents

形成介电膜的方法及制作半导体装置的方法 Download PDF

Info

Publication number
CN107871654B
CN107871654B CN201710757944.7A CN201710757944A CN107871654B CN 107871654 B CN107871654 B CN 107871654B CN 201710757944 A CN201710757944 A CN 201710757944A CN 107871654 B CN107871654 B CN 107871654B
Authority
CN
China
Prior art keywords
dielectric film
film
gas
forming
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710757944.7A
Other languages
English (en)
Other versions
CN107871654A (zh
Inventor
郑元雄
曹仑廷
黄宣惠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN107871654A publication Critical patent/CN107871654A/zh
Application granted granted Critical
Publication of CN107871654B publication Critical patent/CN107871654B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种形成介电膜的方法包括:在腔室中提供衬底;以及使用原子层沉积(ALD)方法在所述衬底上形成氮化硅膜,在所述原子层沉积方法中,将第一气体及第二气体引入到所述腔室中,第一气体包含含有六氯二硅氮烷(HCDZ)的硅前体,第二气体含有氮成分。

Description

形成介电膜的方法及制作半导体装置的方法
[相关申请的交叉参考]
本申请主张在2016年9月28日在韩国知识产权局提出申请的韩国专利申请第10-2016-0124464号的优先权,所述韩国专利申请的公开内容全文并入本案供参考。
技术领域
本发明概念涉及一种形成介电膜的方法以及一种使用所述方法制作半导体装置的方法。
背景技术
随着半导体装置的集成度增大,制造半导体元件变得非常困难。具体来说,在衬底上将半导体装置的薄膜(例如,氮化硅膜)形成为具有良好的台阶覆盖率变得非常困难。
同时,当通过使用例如双叔丁基胺基硅烷(Bis(Tertiary-Butylamine)Silane,BTBAS)等有机硅前体的原子层沉积(atomic layer deposition,ALD)方法形成氮化硅膜时,尽管台阶覆盖率可得到改善,然而有机硅前体中所含有的C成分及N成分可能会对氮化硅膜的质量产生不利影响。
发明内容
根据本发明概念的实例,提供一种形成介电膜的方法,所述方法包括:在腔室中提供衬底;以及通过原子层沉积(ALD)在所述衬底上形成氮化硅膜,其中所述通过原子层沉积形成所述氮化硅膜包括:将包含六氯二硅氮烷(hexachlorodisilazane,HCDZ)的第一气体作为硅前体引入到所述腔室中并将含有氮的第二气体引入到所述腔室中。
根据本发明概念,提供一种制作半导体装置的方法,所述方法包括:在沉积腔室中提供衬底,所述衬底上形成有界定台阶的结构;以及通过原子层沉积(ALD)在所述台阶上原位地形成介电膜结构,所述介电膜结构包含由氮化硅形成的第一介电膜,其中所述形成由氮化硅形成的所述第一介电膜包括将六氯二硅氮烷(HCDZ)作为硅前体引入到所述沉积腔室中。
根据本发明概念的实例,也提供一种制作半导体装置的方法,所述方法包括:将结构支撑在原子层沉积设备的沉积腔室中;以及执行原子层沉积(ALD)工艺的多个循环,所述循环中的每一个包括:向所述沉积腔室中引入包含六氯二硅氮烷(HCDZ)的第一气体作为介电膜的硅前体,以及向所述沉积腔室中引入包含氮的氮化气体作为所述介电膜的氮前体。此处,所述六氯二硅氮烷的至少部分(some)在所述结构的表面上被吸附、且所述第二气体的至少部分与在所述结构的所述表面上被吸附的所述六氯二硅氮烷反应以在所述表面上形成原子级的氮层。由此,在所述结构的所述表面上形成包含所期望厚度的氮化物的介电膜。
附图说明
通过阅读以下参照附图作出的对本发明概念的实例的详细说明,本发明概念的以上及其他实例及特征将变得更显而易见。
图1是说明根据本发明概念的一些实例的形成氮化硅膜的方法的时序图。
图2及图3是用于解释与根据本发明概念的一些实例的氮化硅膜的形成有关的实验例的柱状图。
图4、图5、及图6是在制造半导体装置的过程期间半导体装置的剖视图,且说明根据本发明概念的制作半导体的方法的实例的各阶段。
图7是在制造半导体装置的过程期间半导体装置的平面图,且图8及图9是在制造半导体装置的过程期间半导体装置的剖视图,且图7、图8及图9说明根据本发明概念的制作半导体的方法的另一实例的各阶段。
图10、图11、图12、及图13是在制造半导体装置的过程期间半导体装置的剖视图,且说明根据本发明概念的制作半导体的方法的再一实例的各阶段。
图14及图15是在制造半导体装置的过程期间半导体装置的剖视图,且说明根据本发明概念的制作半导体的方法的再一实例的各阶段。
图16是根据本发明概念的制作半导体装置的方法的流程图。
具体实施方式
除非另外指明,否则本文所用的全部用语(包括技术及科学用语)均具有本发明所属领域中的普通技术人员可通常理解的意义。此外,除非对所述用语清楚地进行具体定义,否则并不旨在以过于理想化的方式对所述用语加以解释。
在下文中,将参照图1所示时序图来阐述根据本发明概念的形成氮化硅膜的方法的实例。
氮化硅膜是使用根据本发明概念的这个实例的原子层沉积方法来原位地形成。原子层沉积(ALD)方法包括:第一步,供应含有硅前体的第一气体;第二步,使用第一吹洗气体移除未反应的第一气体;第三步,通过供应含有氮化气体的第二气体形成原子层级(atomiclayer level)的氮化硅膜;以及第四步,使用第二吹洗气体移除未反应的第二气体。
具体来说,参照图1,首先,使要被涂布氮化硅膜的目标(具体来说,在衬底上界定开口或台阶的结构)位于反应腔室内。在这种情形中,所述结构的长宽比(由所述结构界定的开口的高度对宽度的比率)可等于或大于10。然而,本发明概念并非仅限于此。
接下来,在对温度及压力进行合适的调整之后,将含有硅前体的第一气体供应到腔室中。接着,所述硅前体被吸附到所述结构上。
此处,不同于传统的有机硅前体,所述硅前体可为不含有C及N的化合物。然而,本发明概念并非仅限于此。也就是说,在一些其他实例中,硅前体可含有C。
硅前体包含六氯二硅氮烷(hexachlorodisilazane)(化学式1)。
<化学式1>
当使用六氯二硅氮烷作为硅前体时,相比于使用六氯乙硅烷(hexachlorodisilane,HCDS)作为硅前体的情形,湿蚀刻速率(WER)可较低。此处,湿蚀刻速率意指膜在被稀释的氢氟酸(hydrofluoric acid,HF)(即,氢氟酸(HF)与去离子水(Deionization water,DI water)的溶液)中的蚀刻速率。也就是说,相较于使用六氯乙硅烷作为硅前体而生成的氮化硅膜来说,使用六氯二硅氮烷作为硅前体而生成的氮化硅膜具有相对低的蚀刻速率。
因此,使用六氯二硅氮烷作为硅前体而生成的氮化硅膜可具有与使用具有相对小厚度的六氯乙硅烷作为硅前体而生成的氮化硅膜相同的质量。
结果,当根据本发明概念使用六氯二硅氮烷作为硅前体而形成氮化硅膜时,相比于使用现有的六氯乙硅烷作为硅前体形成氮化硅膜的情形,工艺时间相对短,从而使得提高工艺效率成为可能。
接着,向腔室中供应第一吹洗气体(例如,N2、He或Ar)以移除余留在腔室中的(或未反应的)硅源前体。接着,硅前体以原子层级的形式被所述结构吸附。
接下来,向腔室中供应含有氮成分的氮化气体以形成氮化硅膜。此处,氮化气体可含有氮气(N2)、氨气(NH3)、及一氧化氮(NO)中的至少一种。然而,本发明概念并非仅限于此。
接着,向腔室中供应第二吹洗气体(例如,N2、He、或Ar)以移除余留在腔室中的氮化气体。此时,氮化硅膜形成工艺的一个循环便已完成,且因此在目标上形成原子层级的氮化硅膜。
接下来,通过重复上述工艺,可在目标上形成具有期望的或合适的厚度的氮化硅膜。
接下来,为提高氮化硅膜的膜质量,使氮化硅膜经受热处理。此处,可在例如850℃至1050℃(即,与通过化学气相沉积(chemical vapor deposition,CVD)形成氮化物膜时的温度大约相同的温度)下执行热处理。然而,本发明概念并非仅限于此。
与由化学气相沉积形成的氮化硅膜相比,这样形成的氮化硅膜可具有提高的膜质量。由于根据本发明概念形成的氮化硅膜具有优异的台阶覆盖率,因此所述氮化硅膜可共形地形成在具有台阶的结构或具有大的长宽比的结构上而不具有空隙。
将通过以下阐述的具体实验例来进一步公开本发明概念,但是为简明起见,将不再对所属领域中的技术人员所易于理解及领会的某些细节予以赘述。
图2及图3示出根据本发明概念形成氮化硅膜的结果以及通过与本发明概念的技术不同的技术来形成比较例的氮化硅膜的结果。
实验例-根据六氯二硅氮烷及六氯乙硅烷的工艺温度进行的湿蚀刻测量
以如下方式进行实验,其结果在图2及图3中示出。
氮化硅膜是通过使用六氯二硅氮烷的原子层沉积方法形成。同时,比较例的氮化硅膜是通过使用六氯乙硅烷的相似原子层沉积方法形成。
更具体来说,参照图2,实验例的氮化硅膜是在为400℃、450℃、及500℃的每一个工艺温度下通过利用六氯二硅氮烷的原子层沉积形成,而比较例的氮化硅膜是在为400℃、450℃、及500℃的每一个工艺温度下通过利用六氯乙硅烷的原子层沉积形成。
柱状图(柱)a1、a2、及a3示出在为400℃、450℃、及500℃的每一个工艺温度下使用六氯乙硅烷形成比较例的氮化硅膜时的湿蚀刻速率(WER)。此外,柱状图(柱)b1、b2及b3示出在为400℃、450℃、及500℃的每一个工艺温度下使用六氯二硅氮烷形成实验例的氮化硅膜时的湿蚀刻速率。图2所示的湿蚀刻速率是膜在以200:1的比率经过去离子水稀释的氢氟酸(HF)中的蚀刻速率。
参照图2所示的曲线图中的柱状图a1及b1,湿蚀刻速率在其中使用六氯二硅氮烷在400℃下形成氮化硅膜的情形中(实验例)比在其中使用六氯乙硅烷的情形中(比较例)低。
此外,参照柱状图a2及b2,湿蚀刻速率在其中使用六氯二硅氮烷在450℃下形成氮化硅膜的情形中(实验例)比使用六氯乙硅烷时(比较例)低。
此外,参照柱状图a3及b3,湿蚀刻速率在其中使用六氯二硅氮烷在500℃下形成氮化硅膜的情形中(实验例)比使用六氯乙硅烷时(比较例)低。
具体来说,如图2中所示,当在500℃下使用六氯乙硅烷时,湿蚀刻速率大于4.5nm/min,且近似为4.9nm/min。另一方面,当在500℃下使用六氯二硅氮烷时,湿蚀刻速率小于4.5nm/min,且近似为4.2nm/min。
因此,这些实验表明氮化硅膜可根据本发明概念使用六氯二硅氮烷形成以使得湿蚀刻速率小于4.5nm/min。另外,在所有情形中,在相同的工艺(温度)条件下,使用六氯二硅氮烷时的湿蚀刻速率均比使用六氯乙硅烷时的湿蚀刻速率低。因此,与利用六氯乙硅烷作为硅前体而生成的氮化硅膜相比,可形成厚度相对小、而质量相同的氮化硅膜。
实验例-根据六氯二硅氮烷及六氯乙硅烷的工艺温度进行的每循环生长速率(growth per cycle,GPC)测量
以如下方式进行实验,所得结果在图3中示出。
关于实验例,氮化硅膜是由利用六氯二硅氮烷的原子层沉积形成。同时,对于比较例来说,氮化硅膜是由利用六氯乙硅烷的原子层沉积形成。
更具体来说,参照图3,氮化硅膜是在为400℃、450℃、及500℃的每一个工艺温度下利用六氯二硅氮烷形成(实验例),且氮化硅膜是在为400℃、450℃、及500℃的每一个工艺温度下利用六氯乙硅烷形成(比较例)。
柱状图a4、a5、及a6示出在为400℃、450℃、及500℃的每一个工艺温度下利用六氯乙硅烷形成氮化硅膜时(比较例)的每循环生长速率(GPC)。此外,柱状图b4、b5及b6示出在为400℃、450℃、及500℃的每一个工艺温度下利用六氯二硅氮烷形成氮化硅膜时(实验例)的每循环生长速率。
柱状图a4及b4表明,每循环生长速率在利用六氯二硅氮烷在400℃下形成氮化硅膜时的情形中比在使用六氯乙硅烷的情形中低。
此外,柱状图a5及b5表明,每循环生长速率在利用六氯二硅氮烷在450℃下形成氮化硅膜的情形中比在使用六氯乙硅烷的情形中低。
然而,参照柱状图a6及b6,每循环生长速率在利用六氯乙硅烷在500℃下形成氮化硅膜的情形中与在利用六氯二硅氮烷在500℃下形成氮化硅膜的情形中实质上相同。
总之,参照图2及图3,当在500℃下形成氮化硅膜时,不论使用的是六氯乙硅烷还是六氯二硅氮烷,每循环生长速率均实质上相同,但是在利用六氯二硅氮烷的情形中,湿蚀刻速率较低。也就是说,实验结果表明,通过利用六氯二硅氮烷,在与使用六氯乙硅烷来形成氮化硅膜时所耗费的工艺时间相同的工艺时间期间,可形成具有优异的膜质量特性的氮化硅膜。换句话说,根据本发明概念可减少形成具有特定高的膜质量及台阶覆盖率的氮化硅膜所用的工艺时间。因此,可通过实践本发明概念来提高工艺效率。
现将参照图4至图6阐述根据本发明概念的制作半导体装置(例如,闪存存储器装置)的方法的实例。
参照图4,在衬底110上依序形成隧道绝缘膜130及电荷存储膜140。隧道绝缘膜130提供防止电荷穿遂的能量障壁,且例如可包含氧化硅、氮化硅、或高介电常数材料(highdielectric constant material,high-k material)。
具有高介电材料的实例可为金属氧化物,例如氧化铪、氧化铝、氧化铪铝、及氧化锆,但本发明概念并非仅限于此。而是,考虑到装置对能量带隙的要求以及介电常数,隧道绝缘膜130可由各种材料形成。
电荷存储膜140用于存储电荷。当闪存存储器装置是浮动栅极型时,这种电荷存储膜可例如由导电材料(例如多晶硅膜)形成。当闪存存储器装置是电荷陷获型时,电荷存储膜可由氮化硅、氧化铪、氧化锆、氧化钽、氮氧化铪、氧化铪硅、掺杂有钨的氧化铝、纳米点(nanodot)等形成。
此处,形成隧道绝缘膜130及电荷存储膜140可包括在衬底110上依序形成预隧道绝缘膜(pre-tunnel insulating film)、预电荷存储膜(pre-charge storage film)、及掩模。接着使用所述掩模作为蚀刻掩模来将衬底110的上部部分、预隧道绝缘膜、预电荷存储膜图案化,且移除所述掩模。接着,在衬底110上形成元件隔离膜120。然而,本发明概念并非仅限于此,也就是说,隧道绝缘膜130及电荷存储膜140可通过各种其他方法来形成。
参照图5及图6,根据上述本发明概念使用原子层沉积方法在电荷存储膜140上形成介电膜结构150,介电膜结构150包括由氧化硅形成的第一介电膜151、由与氧化硅不同的材料形成的第二介电膜152、及由氧化硅形成的第三介电膜153。此处,介电膜结构150在闪存存储器装置是浮动栅极型时可为栅极间介电膜,且介电膜结构150在闪存存储器装置是电荷陷获型时可为阻挡介电膜。
在下文中,将阐述原位地形成介电膜结构150的情形作为实例,其中第二介电膜152是氮化硅膜。
首先,将半导体衬底110支撑在沉积设备的反应腔室中,半导体衬底110具有形成有电荷存储膜140的台阶(返回参照图1的说明)。接着,形成包含氧化硅的第一介电膜151。
接下来,在对沉积设备进行调整以在反应腔室内提供合适的温度及压力之后,向所述腔室内供应含有硅源前体的第一气体。接着,硅前体被吸附到第一介电膜151上。如上所述,使用六氯二硅氮烷作为硅源前体。
接下来,也如上所述,依序供应第一吹洗气体、第二气体及第二吹洗气体以在第一介电膜151上形成原子层级的氮化硅膜。
通过重复以上步骤,在第一介电膜151上形成具有期望厚度的第二介电膜152(即,氮化硅膜)。
接下来,在第二介电膜152上形成包含氧化硅的第三介电膜153。
由于这样形成的介电膜结构150具有优异的台阶覆盖率,因此即使在电荷存储膜140的各区段之间的开口具有例如为10或大于10的大的长宽比时,仍可共形地形成所述介电膜结构而不会在所述开口中具有空隙。此外,由于第一介电膜151、第二介电膜152、及第三介电膜153是原位地形成,因此工艺时间可被最小化且总体制造工艺可得到简化。
接着,在介电膜结构150上形成控制栅极160。控制栅极160可例如由多晶硅形成、或者可例如由选自由TaN、TiN、WN、及W组成的群组的至少一种金属电极材料形成。
将参照图7至图9阐述根据本发明概念的制作半导体的另一个实例的方法。图7至图9说明制作动态随机存取存储器单元半导体装置的方法。
图7说明动态随机存取存储器单元区的布局图。栅极230在第一(水平)方向上穿过衬底的有源区210,且全局位线(global bit line,GBL)240在与第一(水平)方向不同(例如,垂直于第一(水平)方向)的第二(水平)方向上穿过有源区210。衬底的除了有源区210之外的其他部分是元件隔离区220。
参照图8及图9来说明存储器单元区。在半导体衬底的有源区210上形成有用作位线的全局位线240。接下来,在形成全局位线240之后,在全局位线240的侧壁上形成含有氧化硅的第一介电膜251。在这种情形中,第一介电膜251可执行间隔壁的功能。
接着,在第一介电膜251上形成包含氮化硅的第二介电膜252。在这种情形中,可使用上述本发明概念的原子层沉积方法来形成第二介电膜252。
具体来说,当形成第二介电膜252时,在调整沉积设备以提供合适的温度及压力之后,向腔室内供应含有硅源前体的第一气体。接着,硅前体被吸附到第一介电膜251上。如上所述,使用六氯二硅氮烷作为硅源前体。
接下来,如上所述,向沉积腔室内依序供应第一吹洗气体、第二气体及第二吹洗气体,且在第一介电膜251上形成原子层级的氮化硅膜。在这种情形中,可采用热方式且通过等离子体激发(plasma excitation)来执行第二气体与硅前体之间的反应。
通过重复以上步骤,在第一介电膜251上将第二介电膜252(即,氮化硅膜)形成为期望厚度。
接下来,在第二介电膜252上形成包含氧化硅的第三介电膜253。
由于不需要在单元区中形成杂质层,因此会形成覆盖单元区的光致抗蚀剂图案(图中未示出)。在移除光致抗蚀剂图案(图中未示出)且移除第三介电膜253之后,对第二介电膜252进行各向异性蚀刻以形成氮化物膜间隔壁,且移除与衬底的有源区210相邻的第二介电膜252。
将参照图10至图13阐述根据本发明概念的制作半导体的再一个实例的方法。图10至图13说明制作具有层叠纳米薄片晶体管结构(laminated nanosheet transistorstructure)的半导体装置的方法。
参照图10,在衬底310之上形成其中交替地设置有牺牲层321及半导体层322的层叠(多层式)结构320。
在层叠(多层式)结构320中,与衬底310相邻的牺牲层321例如可为通过晶片结合方法(wafer bonding method)等结合到衬底310的层,但本发明概念并非仅限于此。
在与衬底310相邻的牺牲层321上可交替地形成有半导体层322及牺牲层321。牺牲层321及半导体层322可使用例如外延生长方法形成,但本发明概念并非仅限于此。层叠结构320的最上部层可为牺牲层321,但本发明概念并非仅限于此。
牺牲层321及半导体层322可含有彼此不同的材料。牺牲层321及半导体层322可含有相对于彼此具有蚀刻选择性的材料。牺牲层321可含有例如SiGe或Ge,但本发明概念并非仅限于此。半导体层322可含有例如Si或III-V族化合物半导体,但本发明概念并非仅限于此。
接下来,在层叠结构320上形成在第一方向X上延伸的第一掩模图案(图11中的第一掩模图案341)。
第一掩模图案(图11中的第一掩模图案341)可由例如氧化硅膜、氮化硅膜、及氮氧化硅膜中的至少一种形成。当第一掩模图案341含有氮化硅膜时,可使用本发明概念的原子层沉积方法形成第一掩模图案341。
具体来说,当形成第一掩模图案341时,在调整沉积设备以在沉积腔室中提供合适的温度及压力之后,向腔室内供应含有硅源前体的第一气体。接着,硅前体被吸附到层叠结构320上。如上所述,使用六氯二硅氮烷作为硅源前体。
接下来,如上所述,向沉积腔室内依序供应第一吹洗气体、第二气体及第二吹洗气体,且在层叠结构320上形成原子级的氮化硅层。
通过重复上述步骤,在层叠结构320上形成包含具有期望厚度的氮化硅膜的第一掩模图案341。
参照图11,通过利用第一掩模图案341作为蚀刻掩模蚀刻层叠结构320来形成半导体图案结构330。举例来说,通过对层叠结构320进行蚀刻直至暴露出衬底310的上表面,可形成半导体图案结构330。
半导体图案结构330可在第一(水平)方向X上纵向延伸。此外,半导体图案结构330可包括多个牺牲层及多个半导体层,所述多个牺牲层及所述多个半导体层以层叠在衬底310上的形式交替设置在第二(垂直)方向Z上。
也就是说,半导体图案结构330可包括形成在衬底310上的第一牺牲层331、形成在第一牺牲层331上的第一半导体层334、形成在第一半导体层334上的第二牺牲层332、形成在第二牺牲层332上的第二半导体层335、及形成在第二半导体层335上的第三牺牲层333。
此外,在对层叠结构320进行蚀刻时,可对衬底310进行局部蚀刻以形成有源区AR。接着,可形成层间绝缘膜来覆盖衬底310及第一掩模图案341。可将所得结构平坦化,直至暴露出第一掩模图案341的上表面。因此,可形成层间绝缘膜351。
接下来,可移除位于半导体图案结构330上的第一掩模图案341。
参照图12,通过使用第二掩模图案342作为蚀刻掩模执行蚀刻工艺,可形成栅极绝缘膜353及牺牲栅极360,栅极绝缘膜353及牺牲栅极360与半导体图案结构330交叉且在与第一方向X及第二方向Z垂直的第三(水平)方向上延伸。
第二掩模图案342可例如由氧化硅膜、氮化硅膜、及氮氧化硅膜中的至少一种形成。当第二掩模图案342含有氮化硅膜时,第二掩模图案342可使用本发明概念的原子层沉积方法形成。
因此,牺牲栅极360可形成在半导体图案结构330上。此外,栅极绝缘膜353可分别沿绝缘膜352的侧壁及上表面以及场绝缘膜354的上表面形成。
此处,可形成绝缘膜352来覆盖半导体图案结构330,且绝缘膜352可被形成为夹置在半导体图案结构330与栅极绝缘膜353之间。此处,绝缘膜352可为例如氧化物膜,且更具体来说,可含有SiO2,但本发明概念并非仅限于此。
栅极绝缘膜353可为氧化硅膜,且牺牲栅极360可为多晶硅或非晶硅,但本发明概念并非仅限于此。
参照图13,在牺牲栅极360的侧壁上形成栅极间隔壁370及虚设栅极间隔壁380。
具体来说,在衬底310上形成覆盖牺牲栅极360及半导体图案结构330的间隔壁膜。当间隔壁膜含有氮化硅时,所述间隔壁膜可使用本发明概念的原子层沉积方法形成。
具体来说,当形成间隔壁膜时,在调整原子层沉积设备以在沉积腔室中提供合适的温度及压力之后,向腔室中供应含有硅源前体的第一气体。接着,硅前体被吸附到牺牲栅极360及半导体图案结构330上。此处,如上所述,使用六氯二硅氮烷作为硅源前体。
接下来,如上所述,向沉积腔室内依序供应第一吹洗气体、第二气体及第二吹洗气体,且在牺牲栅极360及半导体图案结构330上形成原子级的氮化硅层。
通过重复以上步骤,在牺牲栅极360及半导体图案结构330上形成具有期望厚度的由氮化硅膜形成的间隔壁膜。
接下来,通过执行间隔壁膜的回蚀,可在牺牲栅极360的侧壁上形成栅极间隔壁370及虚设栅极间隔壁380。
将参照图14及图15阐述根据本发明概念的制作再一实例性半导体装置的方法。图14及图15说明制作其中对装置的触点形成衬垫(liner)的半导体装置的方法。
参照图14,第一栅极图案与第二栅极图案可在衬底410上被形成为彼此间隔开。此处,第一栅极图案与第二栅极图案具有实质上相同的构型。
第一栅极图案及第二栅极图案中的每一个可包括栅极间隔壁450、绝缘膜图案420、栅极电极图案430及顶盖图案440。
绝缘膜图案420可包括界面膜421、及栅极绝缘膜422。
界面膜421可用于防止衬底410与栅极绝缘膜422之间出现缺陷界面(defectiveinterface)。
界面膜421可包括介电常数(k)为9或小于9的低k介电材料层,例如氧化硅膜(k约为4)或氮氧化硅膜(k根据膜中的氧气及氮气的含量而约为4至8)。
界面膜421可利用例如紫外线氧化(ultraviolet oxidation,UV oxidation)方法、双重等离子体氧化方法等化学氧化方法形成。
栅极绝缘膜422可形成在界面膜421上。然而,在其中栅极绝缘膜422直接形成在衬底410上的情形中,界面膜421是可选的。
栅极绝缘膜422可包含具有高介电常数(高k)的材料。栅极绝缘膜422可因此包含以下中的至少一种:氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽(lead scandiumtantalum oxide)、及铌酸铅锌,但本发明概念并非仅限于此。
此外,栅极绝缘膜422可根据要形成的元件的类型而被形成为特定厚度。举例来说,当栅极绝缘膜422是HfO2时,栅极绝缘膜422可被形成为约或小于/>(约/>至/>)的厚度,但本发明概念并非仅限于此。
栅极电极图案430可包括功函数调整膜431及栅极金属432。
功函数调整膜431可形成在栅极绝缘膜422上。功函数调整膜431可被形成为与栅极绝缘膜422接触。功函数调整膜431用于调整功函数。
功函数调整膜431可含有例如金属氮化物。另外,功函数调整膜431可根据所制造的半导体装置的类型而含有其他材料。举例来说,p型功函数调整膜可包含TiN、WN、TaN、及Ru中的至少一种,但本发明概念并非仅限于此。此外,n型功函数调整膜可包含Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaC、TaCN、TaSiN、Mn、及Zr中的至少一种,但本发明概念并非仅限于此。
此外,p型功函数调整膜可为由TiN形成的单个膜或者由TiN下部膜与TaN上部膜制成的双层膜,但本发明概念并非仅限于此。
可在功函数调整膜431上形成栅极金属432。如图中所示,栅极金属432可被形成为与功函数调整膜431接触。也就是说,栅极金属432可被形成为填充功函数调整膜431内的空间。栅极金属432可包含导电材料(例如,W或Al),但本发明概念并非仅限于此。
顶盖图案440可形成在栅极金属432上。如图中所示,顶盖图案440可被形成为与栅极金属432接触。顶盖图案440可包含氮化硅(SiN)、氮氧化硅(SiON)、氧化硅(SiO2)、碳氮化硅(SiCN)、及碳氮氧化硅(SiOCN)中的至少一种。
在衬底410上形成层间绝缘膜460,且层间绝缘膜460可被形成为覆盖第一栅极图案及第二栅极图案。层间绝缘膜460可包含低介电常数材料、氧化物膜、氮化物膜、及氮氧化物膜中的至少一种。低介电常数材料的实例包括可流动的氧化物(Flowable Oxide,FOX)、东燃SilaZen(Tonen SilaZen,TOSZ)、未经掺杂的二氧化硅玻璃(Undoped Silica Glass,USG)、硼二氧化硅玻璃(Borosilica Glass,BSG)、磷二氧化硅玻璃(PhosphoSilica Glass,PSG)、硼磷二氧化硅玻璃(BoroPhosphoSilica Glass,BPSG)、等离子体增强正硅酸四乙酯(Plasma Enhanced Tetra Ethyl Ortho Silicate,PETEOS)、氟硅酸盐玻璃(FluorideSilicate Glass,FSG)、掺杂有碳的氧化硅(Carbon Doped silicon Oxide,CDO)、干凝胶(Xerogel)、气凝胶(Aerogel)、非晶氟化碳(Amorphous Fluorinated Carbon)、有机硅酸盐玻璃(Organo Silicate Glass,OSG)、聚对二甲苯(parylene)、双苯丙环丁烯(bis-benzocyclobutenes,BCB)、SiLK、聚酰亚胺、多孔聚合物材料及其组合,但本发明概念并非仅限于此。
在衬底410上形成第一栅极图案及第二栅极图案之后,形成覆盖第一栅极图案及第二栅极图案的层间绝缘膜460。
接着,在层间绝缘膜460中形成沟槽T以暴露出第一栅极图案与第二栅极图案之间的衬底410。可通过使用干式蚀刻工艺、湿式蚀刻工艺、或干式蚀刻工艺与湿式蚀刻工艺的组合移除层间绝缘膜460的一部分来在层间绝缘膜460中形成沟槽T。
参照图15,将间隔壁材料470共形地形成在沟槽T的侧面上。当间隔壁材料470包含氮化硅膜时,可使用本发明概念的原子层沉积方法形成间隔壁材料470。
具体来说,当在沟槽T的侧面上形成间隔壁材料470时,在调整原子层沉积设备以在沉积腔室中提供合适的温度及压力之后,向腔室内供应含有硅源前体的第一气体。接着,硅前体被吸附到界定沟槽T的表面上。此处,如上所述,使用六氯二硅氮烷作为硅源前体。
接下来,如上所述,向腔室内依序供应第一吹洗气体、第二气体及第二吹洗气体,且沿沟槽T的侧面及底部共形地形成原子级的氮化硅层。
通过重复以上步骤,在沟槽T中形成由具有期望厚度的氮化硅膜制成的接触间隔壁(contact spacer)。
图16说明根据本发明概念的制作半导体装置的方法的实例。图16所示方法的部分(原子层沉积工艺)可与图1中所示且参照图1所述的部分相同。
首先,将上面要通过原子层沉积(ALD)形成介电膜的目标加载到沉积设备的反应腔室中(步骤S10)。因此,沉积设备可被视为原子层沉积设备。所述目标可为上面已形成有某些结构的衬底。所述结构可在其中界定开口,例如沟槽。在沟槽的情形中,所述结构因此具有“台阶”,在所述台阶中,台阶的台阶面(tread)界定开口的底部且台阶的竖立部分(riser)界定开口的侧面。在任一情形中,所述开口可具有至少为10的长宽比(例如,开口的底部的高度对宽度的比率为10:1或大于10:1)。然而,所述目标不需要界定开口,且可对任意一个表面或任意一些表面涂布包含硅的氮化物的介电膜。
可对原子层沉积设备进行控制以调节沉积腔室中的工艺条件(例如,温度及压力)。
接着,将含有原子层沉积工艺的第一前体的气体注入到沉积腔室中(步骤S20)。在这个实例中,所述前体是硅且所述气体是六氯二硅氮烷(hexachlorodisilazane)。因此,在这个实例中,所述气体不含有大量的碳或氮。
接着,对沉积腔室中的包含所有未与目标的表面进行反应的(即,未被上面要形成介电膜的表面吸附的)六氯二硅氮烷的气体进行吹洗(步骤S30)。为此,可将第一吹洗(惰性)气体引入到沉积腔室中。
接着,将与六氯二硅氮烷进行反应的氮化气体注入到沉积腔室中(步骤S40)。由此,在目标表面上形成原子级的包含硅的氮化物层,随后进行沉积腔室的另一次吹洗(步骤S50)。所述吹洗(步骤S50)可通过向沉积腔室中注入吹洗气体来完成,且这种吹洗气体可与在第一次吹洗(步骤S30)期间使用的吹洗气体相同。
上述步骤S20、S30、S40、及S50可一同构成原子层沉积工艺的一个循环。因此,判断(步骤S60)所得介电膜(例如,氮化硅膜)是否已被形成为期望厚度。如果没有形成期望厚度,则执行原子层沉积工艺的另一个循环。如果形成期望厚度,则可将目标从沉积腔室移除及/或可在介电膜上形成其他层。
通过利用根据本发明概念的使用六氯二硅氮烷作为膜的硅前体的原子层沉积方法来形成介电膜,可实现良好的台阶覆盖率。因此,可共形地形成氮化硅膜而不会在界定具有大的长宽比的台阶或开口(例如,沟槽等)的结构上具有空隙。
此外,如已通过实验表明,湿蚀刻速率相对地得到提高,且因此,可形成具有与相同材料的现有介电膜实质上相同的质量、但使用不同的硅前体形成的具有相对小的厚度的介电膜。因此,工艺时间被最小化且因此可提高总体制造工艺的效率。
尽管已参照本发明概念的实例特别示出并阐述了本发明概念,然而这些实例应仅被视为说明性意义而非具有限制意义。也就是说,所属领域中的普通技术人员应理解,在不背离由以上权利要求所界定的本发明概念的精神及范围的条件下,可对所公开的实例作出形式及细节上的各种改变。

Claims (19)

1.一种形成介电膜的方法,其特征在于,所述方法包括:
在腔室中提供衬底;以及
通过原子层沉积在所述衬底上形成氮化硅膜,
其中所述通过原子层沉积形成所述氮化硅膜包括:将包含六氯二硅氮烷的第一气体作为硅前体引入到所述腔室中并将含有氮化气体的第二气体引入到所述腔室中。
2.根据权利要求1所述的方法,其特征在于,所述通过原子层沉积形成所述氮化硅膜进一步包括:
将第一吹洗气体引入到所述腔室中以移除未反应的第一气体,以及
将第二吹洗气体引入到所述腔室中以移除未反应的第二气体。
3.根据权利要求1所述的方法,其特征在于,进一步包括在所述衬底上形成栅极,且
其中所述氮化硅膜形成在所述栅极的侧表面上。
4.根据权利要求1所述的方法,其特征在于,所述氮化硅膜在500℃下具有小于4.5nm/min的湿蚀刻速率。
5.根据权利要求1所述的方法,其特征在于,所述第二气体包含氮气及氨气中的至少一种。
6.一种制作半导体装置的方法,其特征在于,所述方法包括:
在沉积腔室中提供衬底,所述衬底上形成有界定台阶的结构;以及
通过原子层沉积在所述台阶上原位地形成介电膜结构,所述介电膜结构包含由氮化硅形成的第一介电膜,
其中所述形成由氮化硅形成的所述第一介电膜包括将六氯二硅氮烷作为硅前体引入到所述沉积腔室中,
其中所述形成由氮化硅形成的所述第一介电膜进一步包括:
在将所述六氯二硅氮烷引入到所述沉积腔室中之后,将第一吹洗气体引入到所述腔室中,以从所述腔室移除未与界定所述台阶的所述结构反应的第一气体,
将含有氮化气体的第二气体引入到所述腔室中,且
将第二吹洗气体引入到所述腔室中,以移除界定所述台阶的所述结构的表面上未与所述第一气体反应的第二气体。
7.根据权利要求6所述的方法,其特征在于,所述形成所述介电膜结构进一步包括:
在形成所述第一介电膜之前在所述衬底上形成由氧化硅形成的第二介电膜,使得由氧化硅形成的所述第二介电膜夹置在所述衬底与所述第一介电膜之间。
8.根据权利要求7所述的方法,其特征在于,所述形成所述介电膜结构进一步包括:
在所述衬底上形成全局位线,以及
在所述全局位线上形成由氧化硅形成的所述第二介电膜。
9.根据权利要求7所述的方法,其特征在于,所述形成所述介电膜结构进一步包括:
在所述衬底上形成电荷存储膜,以及
在所述电荷存储膜上形成由氧化硅形成的所述第二介电膜。
10.根据权利要求6所述的方法,其特征在于,进一步包括:
在所述衬底上形成第一栅极图案及第二栅极图案;
形成包围所述第一栅极图案及所述第二栅极图案的绝缘膜;以及
在所述绝缘膜中在所述第一栅极图案与所述第二栅极图案之间形成沟槽,以及
其中所述第一介电膜形成在所述绝缘膜的界定所述沟槽的侧面的表面上。
11.根据权利要求6所述的方法,其特征在于,所述介电膜结构是使用所述第一介电膜作为掩模来蚀刻。
12.根据权利要求6所述的方法,其特征在于,所述第一介电膜在500℃下具有小于4.5nm/min的湿蚀刻速率。
13.根据权利要求6所述的方法,其特征在于,所述结构的所述台阶的高度对宽度的比率等于或大于10。
14.根据权利要求6所述的方法,其特征在于,所述将六氯二硅氮烷作为硅前体引入到所述沉积腔室中是以多个彼此分立的循环来实施,所述循环被实施成增大所述第一介电膜的厚度。
15.一种制造半导体装置的方法,其特征在于,包括:
将结构支撑在原子层沉积设备的沉积腔室中;以及
执行原子层沉积工艺的多个循环,所述循环中的每一个包括:
向所述沉积腔室中引入包含六氯二硅氮烷的第一气体作为介电膜的硅前体,其中所述六氯二硅氮烷的至少一些在所述结构的表面上被吸附,以及
向所述沉积腔室中引入包含氮的氮化气体作为所述介电膜的氮前体,其中所述氮化气体的至少部分与在所述结构的所述表面上被吸附的所述六氯二硅氮烷反应以在所述表面上形成原子级的氮层,由此在所述表面上形成包含所期望厚度的氮化物的介电膜。
16.根据权利要求15所述的方法,其特征在于,所述氮化物是氮化硅且由氮化物形成的所述介电膜在氢氟酸与去离子水的溶液中在500℃下具有小于4.5nm/min的湿蚀刻速率。
17.根据权利要求16所述的方法,其特征在于,所述溶液中去离子水对氢氟酸的体积比是200:1。
18.根据权利要求15所述的方法,其特征在于,所述氮化气体包括氮气、氨气、及一氧化氮中的至少一种。
19.根据权利要求15所述的方法,其特征在于,所述结构具有在所述结构上界定台阶或者在所述结构中界定开口的表面,所述开口具有至少为10的长宽比,且
所述介电膜沿所述表面形成。
CN201710757944.7A 2016-09-28 2017-08-29 形成介电膜的方法及制作半导体装置的方法 Active CN107871654B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2016-0124464 2016-09-28
KR1020160124464A KR20180034798A (ko) 2016-09-28 2016-09-28 유전막 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
CN107871654A CN107871654A (zh) 2018-04-03
CN107871654B true CN107871654B (zh) 2023-08-29

Family

ID=61686587

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710757944.7A Active CN107871654B (zh) 2016-09-28 2017-08-29 形成介电膜的方法及制作半导体装置的方法

Country Status (5)

Country Link
US (1) US9991112B2 (zh)
JP (1) JP7058962B2 (zh)
KR (1) KR20180034798A (zh)
CN (1) CN107871654B (zh)
TW (1) TWI716609B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6794533B2 (ja) * 2016-09-28 2020-12-02 ダウ シリコーンズ コーポレーション クロロジシラザン
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10147875B1 (en) * 2017-08-31 2018-12-04 Micron Technology, Inc. Semiconductor devices and electronic systems having memory structures
CN109659361B (zh) * 2017-10-12 2022-03-04 电力集成公司 用于异质结器件的栅极堆叠体
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102473659B1 (ko) 2018-08-08 2022-12-02 삼성전자주식회사 반도체 소자
TWI750577B (zh) * 2019-02-01 2021-12-21 美商慧盛材料美國責任有限公司 用於含矽膜的組合物及使用其的方法
US10833191B2 (en) 2019-03-05 2020-11-10 International Business Machines Corporation Integrating nanosheet transistors, on-chip embedded memory, and extended-gate transistors on the same substrate
CN110400832A (zh) * 2019-06-12 2019-11-01 北海惠科光电技术有限公司 阵列基板的制备方法及阵列基板
CN112242299A (zh) * 2019-07-18 2021-01-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices
CN113496952B (zh) * 2020-04-08 2023-06-09 长鑫存储技术有限公司 半导体结构及其制作方法
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508067A (en) * 1993-09-24 1996-04-16 Applied Materials, Inc. Deposition of silicon nitride by plasma-enchanced chemical vapor deposition
JP2016063232A (ja) * 2014-09-17 2016-04-25 エーエスエム アイピー ホールディング ビー.ブイ. SiNの堆積

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4540803A (en) * 1983-11-28 1985-09-10 Dow Corning Corporation Hydrosilazane polymers from [R3 Si]2 NH and HSiCl3
US4868014A (en) 1986-01-14 1989-09-19 Canon Kabushiki Kaisha Method for forming thin film multi-layer structure member
DE4107108A1 (de) * 1991-03-06 1992-09-10 Bayer Ag Siliciumbornitridkeramik und vorlaeuferverbindungen, verfahren zu deren herstellung sowie deren verwendung
DE4241287A1 (de) * 1992-12-08 1994-06-09 Bayer Ag Siliciumaluminiumnitridkeramik und Vorläuferverbindungen, Verfahren zu ihrer Herstellung sowie deren Verwendung
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5436083A (en) * 1994-04-01 1995-07-25 Dow Corning Corporation Protective electronic coatings using filled polysilazanes
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) * 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
JP2011014838A (ja) 2009-07-06 2011-01-20 Toshiba Corp 不揮発性半導体記憶装置
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
JP2013077805A (ja) 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
JP2013187223A (ja) 2012-03-06 2013-09-19 Elpida Memory Inc 半導体装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5842750B2 (ja) 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
JP6529927B2 (ja) 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508067A (en) * 1993-09-24 1996-04-16 Applied Materials, Inc. Deposition of silicon nitride by plasma-enchanced chemical vapor deposition
JP2016063232A (ja) * 2014-09-17 2016-04-25 エーエスエム アイピー ホールディング ビー.ブイ. SiNの堆積

Also Published As

Publication number Publication date
JP2018056561A (ja) 2018-04-05
US20180090313A1 (en) 2018-03-29
CN107871654A (zh) 2018-04-03
TW201824357A (zh) 2018-07-01
TWI716609B (zh) 2021-01-21
JP7058962B2 (ja) 2022-04-25
KR20180034798A (ko) 2018-04-05
US9991112B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
CN107871654B (zh) 形成介电膜的方法及制作半导体装置的方法
KR102379873B1 (ko) 유전체 갭 채움
US7410913B2 (en) Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO
TWI759392B (zh) 製造半導體裝置的方法及形成介電層的方法
US11114545B2 (en) Cap layer and anneal for gapfill improvement
US10727064B2 (en) Post UV cure for gapfill improvement
US11903209B2 (en) Vertical semiconductor device and method for fabricating the same
KR20170010267A (ko) 반도체 소자 및 이의 제조방법
TWI615949B (zh) 三維記憶體元件及其製造方法
JP2022016371A (ja) 半導体装置及び方法
TWI785775B (zh) 一種半導體元件及其製造方法
KR102557598B1 (ko) 나노시트 전계 효과 트랜지스터 디바이스 및 형성 방법
TWI804087B (zh) 電晶體裝置及其製造方法
TWI807711B (zh) 積體電路結構及其形成方法
TWI813200B (zh) 積體電路結構及其形成方法
TW202310074A (zh) 半導體裝置
TW202320229A (zh) 半導體結構的形成方法
KR20240028313A (ko) 볼륨리스 불소 혼입 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant