KR20170096950A - 패턴 형성 방법 - Google Patents

패턴 형성 방법 Download PDF

Info

Publication number
KR20170096950A
KR20170096950A KR1020170018648A KR20170018648A KR20170096950A KR 20170096950 A KR20170096950 A KR 20170096950A KR 1020170018648 A KR1020170018648 A KR 1020170018648A KR 20170018648 A KR20170018648 A KR 20170018648A KR 20170096950 A KR20170096950 A KR 20170096950A
Authority
KR
South Korea
Prior art keywords
layer
acrylic resin
resin layer
pattern
intermediate layer
Prior art date
Application number
KR1020170018648A
Other languages
English (en)
Inventor
히데타미 야에가시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170096950A publication Critical patent/KR20170096950A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

얻어지는 패턴의 LER의 값을 저감할 수 있는 패턴 형성 방법을 제공하는 것.
하지층 상에, 아크릴 수지층을 형성하는 공정과, 상기 아크릴 수지층 상에, 중간층을 형성하는 공정과, 상기 중간층 위에, 패턴화된 EUV 레지스트층을 형성하는 공정과, 상기 EUV 레지스트층을 에칭 마스크로 하여, 상기 중간층 및 상기 아크릴 수지층을 에칭함으로써, 상기 아크릴 수지층에 패턴을 형성하는 공정과, 상기 아크릴 수지층에 패턴을 형성하는 공정 후, 상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정과, 상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정 후, 상기 아크릴 수지층의 표면을 평활화하는 공정을 갖는, 패턴 형성 방법에 따라 상기 과제를 해결한다.

Description

패턴 형성 방법{PATTERN FORMING METHOD}
본 발명은 패턴 형성 방법에 관한 것이다.
반도체 장치의 고집적화에 따라, 제조 프로세스에 요구되는 배선이나 분리폭의 패턴은, 미세화되는 경향에 있다. 이러한 미세한 패턴은, 레지스트 패턴을 에칭 마스크로 하여 하지층(下地層)을 에칭함으로써 형성된다(예컨대, 특허문헌 1 참조).
레지스트 패턴은, 예컨대, 하지층 상에 레지스트층을 형성하고, 포토리소그래피 기술을 이용하여 미리 정해진 형상으로 패터닝한 후, 예컨대, 플라즈마 에칭을 이용하여 표면을 평활화(스무징)함으로써 형성된다.
또한, 미리 정해진 형상으로 패터닝된 레지스트층의 표면을 평활화하는 방법으로서는, 플라즈마 에칭 대신에, 예컨대, 전자선이나 진공 자외광을 조사함으로써, 레지스트층에 포함되는 레지스트 재료의 주쇄(主鎖)를 절단하는 방법이 이용되는 경우도 있다.
특허문헌 1: 일본 특허 공개 제2011-060916호 공보
그러나, 종래의 플라즈마 에칭을 이용하여 표면을 평활화하는 방법에서는, EUV 레지스트를 이용하는 경우, 얻어지는 레지스트 패턴의 LER의 값에 대해서 충분한 저감 효과가 얻어지지 않는다.
또한, 전자선이나 진공 자외광을 조사함으로써 표면을 평활화하는 방법에서는, EUV 레지스트를 이용하는 경우, EUV 레지스트의 주쇄가 절단되기 어렵기 때문에, 얻어지는 레지스트 패턴의 LER의 값에 대해서 충분한 저감 효과가 얻어지지 않는다.
그래서, 본 발명의 하나의 안에서는, 얻어지는 패턴의 LER의 값을 저감할 수 있는 패턴 형성 방법을 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위해, 본 발명의 일양태에 따른 패턴 형성 방법은,
하지층 상에, 아크릴 수지층을 형성하는 공정과,
상기 아크릴 수지층 상에, 중간층을 형성하는 공정과,
상기 중간층 상에, 패턴화된 EUV 레지스트층을 형성하는 공정과,
상기 EUV 레지스트층을 에칭 마스크로 하여, 상기 중간층 및 상기 아크릴 수지층을 에칭함으로써, 상기 아크릴 수지층에 패턴을 형성하는 공정과,
상기 아크릴 수지층에 패턴을 형성하는 공정 후, 상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정과,
상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정 후, 상기 아크릴 수지층의 표면을 평활화하는 공정을 갖는다.
개시의 패턴 형성 방법에 따르면, 얻어지는 패턴의 LER의 값을 저감할 수 있다.
도 1은 본 실시형태의 패턴 형성 방법을 예시하는 흐름도이다.
도 2는 본 실시형태의 패턴 형성 방법의 각 공정(단계 S101)을 설명하는 도면이다.
도 3은 본 실시형태의 패턴 형성 방법의 각 공정(단계 S102)을 설명하는 도면이다.
도 4는 본 실시형태의 패턴 형성 방법의 각 공정(단계 S103)을 설명하는 도면이다.
도 5는 본 실시형태의 패턴 형성 방법의 각 공정(단계 S104)을 설명하는 도면이다.
도 6은 본 실시형태의 패턴 형성 방법의 각 공정(단계 S105)을 설명하는 도면이다.
도 7은 본 실시형태의 패턴 형성 방법의 각 공정(단계 S106)을 설명하는 도면이다.
도 8은 본 실시형태의 패턴 형성 방법의 작용·효과를 설명하는 도면이다.
이하, 본 발명의 실시형태에 대해서 첨부된 도면을 참조하면서 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 부호를 붙임으로써 중복하는 설명을 생략한다.
본 실시형태의 패턴 형성 방법은, 극단 자외광(EUV: Extreme Ultra Violet)으로 패턴 전사를 행하는 EUV 리소그래피 기술에 의해 미세한 패턴을 형성하는 것이며, 예컨대, ArF 리소그래피의 해상 한계 이하의 미세한 패턴을 형성하는 것이다.
본 실시형태의 패턴 형성 방법에서는, 패턴화된 EUV 레지스트층을 에칭 마스크로 하여 아크릴 수지층을 에칭함으로써 아크릴 수지층에 패턴을 형성(전사)한 후, 아크릴 수지층의 표면을 평활화한다. 이에 의해, 얻어지는 패턴의 LER의 값을 저감할 수 있다.
이하에서는, EUV 레지스트를 이용하는 패턴 형성에 있어서, 얻어지는 패턴의 LER의 값을 저감할 수 있는 본 실시형태의 패턴 형성 방법에 대해서 설명한다. 도 1은 본 실시형태의 패턴 형성 방법을 예시하는 흐름도이다.
도 1에 나타내는 바와 같이, 본 실시형태의 패턴 형성 방법은, 아크릴 수지층을 형성하는 공정(단계 S101), 중간층을 형성하는 공정(단계 S102), 패턴화된 EUV 레지스트층을 형성하는 공정(단계 S103), 아크릴 수지층에 패턴을 형성하는 공정(단계 S104), EUV 레지스트층 및 중간층을 제거하는 공정(단계 S105) 및 아크릴 수지층의 표면을 평활화하는 공정(단계 S106)을 갖는다.
이하, 각각의 공정에 대해서, 도 2 내지 도 7에 기초하여 설명한다. 도 2 내지 도 7은 본 실시형태의 패턴 형성 방법의 각 공정을 설명하는 도면이다. 또한, 도 2 내지 도 7에 있어서의 (a)는 각 공정에 있어서의 개략 사시도이며, (b)는 각 공정에 있어서의 개략 단면도이다.
단계 S101에서는, 아크릴 수지층을 형성한다. 구체적으로는, 도 2에 나타내는 바와 같이, 하지층(11) 상에, 예컨대 아크릴 수지를 포함하는 용액을 도포함으로써, 아크릴 수지층(12)을 형성한다. 또한, 아크릴 수지를 포함하는 용액을 도포한 후, 용매를 제거하기 위한 프리베이크를 행하여도 좋다. 아크릴 수지로서는, 예컨대 폴리메틸메타크릴레이트(PMMA), ArF 레지스트를 이용할 수 있다.
단계 S102에서는, 중간층을 형성한다. 구체적으로는, 도 3에 나타내는 바와 같이, 아크릴 수지층(12) 상에, 예컨대 스핀 도포에 의해, 중간층(13)을 형성한다. 중간층(13)은, 아크릴 수지 및 EUV 레지스트와 상용성(相溶性)을 갖지 않는 재료에 의해 형성되어 있으면 특별히 한정되지 않는다. 중간층(13)으로서는, 예컨대 스핀 온 글라스(SOG: Spin-On Glass), 실리콘 함유 반사 방지막(SiARC: Silicon-containing Anti-Reflective Coating)을 이용할 수 있다.
단계 S103에서는, 패턴화된 EUV 레지스트층을 형성한다. 구체적으로는, 도 4에 나타내는 바와 같이, 중간층(13) 상에, 예컨대 EUV 레지스트를 포함하는 용액을 도포함으로써, EUV 레지스트층(14)을 형성한다. 계속해서, 미리 정해진 패턴을 갖는 마스크를 노광 마스크로 하여, 파장 13.5 ㎚의 EUV에 의해 EUV 레지스트층(14)을 노광하여, 현상함으로써, EUV 레지스트층(14)을 패터닝한다. 이에 의해, 패턴화된 EUV 레지스트층(14)이 형성된다. 또한, EUV 레지스트를 포함하는 용액을 도포한 후, 노광하기 전에 용매를 제거하기 위한 프리베이크를 행하여도 좋다.
단계 S104에서는, 아크릴 수지층에 패턴을 형성한다. 구체적으로는, 도 5에 나타내는 바와 같이, 패턴화된 EUV 레지스트층(14)을 에칭 마스크로 하여, 예컨대 반응성 이온 에칭(RIE: Reactive Ion Etching) 등의 드라이 에칭에 의해, 중간층(13) 및 아크릴 수지층(12)을 에칭한다. 이에 의해, 아크릴 수지층(12)에 패턴이 형성된다.
단계 S105에서는, EUV 레지스트층 및 중간층을 제거한다. 구체적으로는, 도 6에 나타내는 바와 같이, 예컨대 플루오르화수소산 용액에 침지함으로써, 아크릴 수지층(12) 상에 잔존하고 있는 EUV 레지스트층(14) 및 중간층(13)을 제거한다.
단계 S106에서는, 아크릴 수지층의 패턴측 면을 평활화한다. 구체적으로는, 도 7에 나타내는 바와 같이, 아크릴 수지층(12)에 포함되는 아크릴 수지의 주쇄를 절단 가능한 에너지를 부여하여, 아크릴 수지의 주쇄를 절단함으로써, 아크릴 수지층(12)의 표면을 평활화(스무징)한다. 예컨대, 아크릴 수지층(12)에 전자선(EB: Electron Beam)을 조사함으로써, 아크릴 수지의 주쇄를 절단하여도 좋다. 또한, 아크릴 수지층(12)에 파장이 193 ㎚나 172 ㎚의 진공 자외광(VUV: Vacuum Ultra Violet)을 조사함으로써, 아크릴 수지의 주쇄를 절단하여도 좋다. 또한, 아크릴 수지층(12)을 미리 정해진 온도로 가열함으로써, 아크릴 수지의 주쇄를 절단하여도 좋다.
이상의 공정에 따라, 원하는 패턴을 형성할 수 있다.
다음에, 본 실시형태의 패턴 형성 방법의 작용·효과에 대해서 설명한다. 도 8은 본 실시형태의 패턴 형성 방법의 작용·효과를 설명하는 도면이다. 구체적으로는, 도 8의 (a)는 패턴화된 ArF 레지스트층에 전자선(EB)을 조사하기 전후의 LER을 나타내고 있다. 도 8의 (b)는 패턴화된 ArF 레지스트층에 전자선(EB)을 조사하기 전후의 라인의 치수(CD: Critical Dimension)를 나타내고 있다.
도 8의 (a)에 나타내는 바와 같이, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써, LER의 값을 저감할 수 있다. 도 8의 (a)에서는, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써, LER의 값이 약 2.7 ㎚에서 약 2.2 ㎚로 저감하였다. 이것은, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써 ArF 레지스트층에 포함되는 ArF 레지스트의 주쇄가 절단되어, ArF 레지스트층이 수축하여, ArF 레지스트층의 표면이 평활화하였기 때문이라고 생각된다.
도 8의 (b)에 나타내는 바와 같이, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써, CD의 값을 저감할 수 있다. 도 8의 (b)에서는, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써, CD의 값이 약 50 ㎚에서 약 44 ㎚로 저감하였다. 이것은, 패턴화된 ArF 레지스트층에 전자선을 조사함으로써, ArF 레지스트층에 포함되는 ArF 레지스트의 주쇄가 절단되어, ArF 레지스트층이 수축하였기 때문이라고 생각된다.
이상에 설명한 바와 같이, 본 실시형태의 패턴 형성 방법에서는, 패턴화된 EUV 레지스트층(14)을 에칭 마스크로 하여 아크릴 수지층(12)을 에칭함으로써 아크릴 수지층(12)에 패턴을 형성한 후, 아크릴 수지층(12)의 표면을 평활화한다. 이에 의해, 얻어지는 패턴의 LER의 값을 저감할 수 있다.
또한, 본 실시형태의 패턴 형성 방법에서는, 아크릴 수지층(12)에 패턴을 형성할 때, 아크릴 수지층(12)의 상면이 중간층(13)으로 보호되어 있기 때문에, 아크릴 수지층(12)의 상면이 에칭되는 일이 없다. 이에 의해, 패턴화된 아크릴 수지층(12)의 상면을 평활화할 수 있다.
이상, 본 발명의 바람직한 실시형태에 대해서 설명하였지만, 본 발명은 이러한 특정한 실시형태에 한정되는 것이 아니며, 특허청구의 범위 내에 기재된 본 발명의 요지의 범위 내에 있어서, 여러 가지의 변형·변경이 가능하다.
11: 하지층 12: 아크릴 수지층
13: 중간층 14: EUV 레지스트층

Claims (7)

  1. 하지층(下地層) 상에, 아크릴 수지층을 형성하는 공정과,
    상기 아크릴 수지층 상에, 중간층을 형성하는 공정과,
    상기 중간층 상에, 패턴화된 EUV 레지스트층을 형성하는 공정과,
    상기 EUV 레지스트층을 에칭 마스크로 하여, 상기 중간층 및 상기 아크릴 수지층을 에칭함으로써, 상기 아크릴 수지층에 패턴을 형성하는 공정과,
    상기 아크릴 수지층에 패턴을 형성하는 공정 후, 상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정과,
    상기 EUV 레지스트층 및 상기 중간층을 제거하는 공정 후, 상기 아크릴 수지층의 표면을 평활화하는 공정
    을 포함하는, 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 아크릴 수지층의 표면을 평활화하는 공정은, 상기 아크릴 수지층에 포함되는 아크릴 수지의 주쇄(主鎖)를 절단하는 공정을 포함하는 것인, 패턴 형성 방법.
  3. 제2항에 있어서,
    상기 아크릴 수지의 주쇄를 절단하는 공정은, 상기 아크릴 수지층에 전자선 또는 자외광을 조사하는 것인, 패턴 형성 방법.
  4. 제2항에 있어서,
    상기 아크릴 수지의 주쇄를 절단하는 공정은, 상기 아크릴 수지층을 미리 정해진 온도로 가열하는 것인, 패턴 형성 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 아크릴 수지층은, 폴리메틸메타크릴레이트 또는 ArF 레지스트에 의해 형성되어 있는 것인, 패턴 형성 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 중간층은, 아크릴 수지 및 EUV 레지스트와 상용성(相溶性)을 갖지 않는 재료에 의해 형성되어 있는 것인, 패턴 형성 방법.
  7. 제6항에 있어서,
    상기 중간층은, 스핀 온 글라스 또는 실리콘 함유 반사 방지막에 의해 형성되어 있는 것인, 패턴 형성 방법.
KR1020170018648A 2016-02-17 2017-02-10 패턴 형성 방법 KR20170096950A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-027600 2016-02-17
JP2016027600A JP6236481B2 (ja) 2016-02-17 2016-02-17 パターン形成方法

Publications (1)

Publication Number Publication Date
KR20170096950A true KR20170096950A (ko) 2017-08-25

Family

ID=59560360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170018648A KR20170096950A (ko) 2016-02-17 2017-02-10 패턴 형성 방법

Country Status (4)

Country Link
US (1) US10573530B2 (ko)
JP (1) JP6236481B2 (ko)
KR (1) KR20170096950A (ko)
TW (1) TWI773659B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102638489B1 (ko) * 2019-12-24 2024-02-21 내셔날 인스티튜트 오브 어드밴스드 인더스트리얼 사이언스 앤드 테크놀로지 유기 수식 금속 산화물 나노 입자, 그 제조 방법, euv 포토레지스트 재료 및 에칭 마스크의 제조 방법
US11714355B2 (en) * 2020-06-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04127157A (ja) * 1990-09-19 1992-04-28 Hitachi Ltd 半導体素子の製造方法
JP3264035B2 (ja) * 1993-04-26 2002-03-11 ソニー株式会社 ドライエッチング方法
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
JP3857692B2 (ja) * 2004-01-15 2006-12-13 株式会社東芝 パターン形成方法
JP2005243681A (ja) * 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
WO2006125803A1 (en) * 2005-05-24 2006-11-30 Laboratoires Serono S.A. Thiazole derivatives and use thereof
JP2007140151A (ja) * 2005-11-18 2007-06-07 Renesas Technology Corp 微細パターン形成用材料、微細パターン形成方法、それを用いた電子デバイスの製造方法、およびそれにより製造された電子デバイス
US20070269749A1 (en) * 2006-05-18 2007-11-22 Richard Elliot Schenker Methods to reduce the minimum pitch in a pattern
JP2008096880A (ja) * 2006-10-16 2008-04-24 Toppan Printing Co Ltd レジスト組成物
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7432191B1 (en) * 2007-03-30 2008-10-07 Tokyo Electron Limited Method of forming a dual damascene structure utilizing a developable anti-reflective coating
JP2009271259A (ja) * 2008-05-02 2009-11-19 Fujifilm Corp レジストパターン用表面処理剤および該表面処理剤を用いたレジストパターン形成方法
EP2154329A1 (en) * 2008-08-11 2010-02-17 Services Pétroliers Schlumberger Movable well bore cleaning device
EP2239238A1 (en) * 2009-04-06 2010-10-13 AGC Glass Europe Glass article
JP5486883B2 (ja) 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP2012028431A (ja) * 2010-07-21 2012-02-09 Toshiba Corp 半導体装置の製造方法
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012220638A (ja) * 2011-04-06 2012-11-12 Panasonic Corp パターン形成方法
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US20130189844A1 (en) * 2012-01-23 2013-07-25 Vigma Nanoelectronics Method to increase the pattern density of integrated circuits using near-field EUV patterning technique
JP5944302B2 (ja) * 2012-04-13 2016-07-05 富士フイルム株式会社 位相差フィルム、偏光板、及び液晶表示装置
JP2013235232A (ja) * 2012-04-13 2013-11-21 Fujifilm Corp 位相差フィルム、偏光板、及び液晶表示装置
US9086631B2 (en) * 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
JP2014160124A (ja) * 2013-02-19 2014-09-04 Tokyo Electron Ltd 半導体装置の製造方法及び半導体製造装置
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
JP6284849B2 (ja) * 2013-08-23 2018-02-28 富士フイルム株式会社 積層体
JP6159348B2 (ja) * 2014-02-14 2017-07-05 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、パターン形成方法、カラーフィルタの製造方法、固体撮像素子、および、画像表示装置
JP6239466B2 (ja) * 2014-08-15 2017-11-29 東京エレクトロン株式会社 半導体装置の製造方法
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
JP6394430B2 (ja) * 2015-02-13 2018-09-26 信越化学工業株式会社 化合物、高分子化合物、レジスト材料及びパターン形成方法
JP6431472B2 (ja) * 2015-12-24 2018-11-28 東京エレクトロン株式会社 パターン形成方法
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
JP6827372B2 (ja) * 2017-06-22 2021-02-10 東京エレクトロン株式会社 パターン形成方法

Also Published As

Publication number Publication date
JP2017147314A (ja) 2017-08-24
JP6236481B2 (ja) 2017-11-22
US10573530B2 (en) 2020-02-25
TWI773659B (zh) 2022-08-11
TW201740223A (zh) 2017-11-16
US20170236720A1 (en) 2017-08-17

Similar Documents

Publication Publication Date Title
CN103926796B (zh) 形成用于光刻的涂层的方法
US8907456B2 (en) Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US7922960B2 (en) Fine resist pattern forming method and nanoimprint mold structure
KR100843870B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR101800996B1 (ko) 기판 상의 콘택 개구 패터닝 방법
WO2006057745A2 (en) Direct imprinting of etch barriers using step and flash imprint lithography
JP2008517448A (ja) リバーストーン処理を利用したリセス構造の形成方法
US8822347B2 (en) Wet soluble lithography
WO2016100705A1 (en) Method of forming a mask for substrate patterning
US7541115B1 (en) Use of calcium fluoride substrate for lithography masks
JPH07140633A (ja) リム型の位相シフト・マスクの形成方法
KR20170096950A (ko) 패턴 형성 방법
US6329124B1 (en) Method to produce high density memory cells and small spaces by using nitride spacer
JP4939994B2 (ja) パターン形成方法及び半導体装置の製造方法
KR101096270B1 (ko) 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
US9651870B2 (en) Method and tool of lithography
Rothschild et al. Photolithography at 193 nm
RU2145156C1 (ru) Способ формирования структур в микроэлектронике
KR100587611B1 (ko) 미세 패턴 형성 방법
KR100810422B1 (ko) 반도체 소자의 패턴 형성 방법
KR100866725B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR100244765B1 (ko) 반도체 소자의 미세 패턴 방법
KR0137618B1 (ko) 포토레지스트 패턴 형성방법
US20050277066A1 (en) Selective etch process for step and flash imprint lithography
KR20240062563A (ko) 포토리소그라피 패터닝 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal