KR20160141873A - 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어 - Google Patents

액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어 Download PDF

Info

Publication number
KR20160141873A
KR20160141873A KR1020167033652A KR20167033652A KR20160141873A KR 20160141873 A KR20160141873 A KR 20160141873A KR 1020167033652 A KR1020167033652 A KR 1020167033652A KR 20167033652 A KR20167033652 A KR 20167033652A KR 20160141873 A KR20160141873 A KR 20160141873A
Authority
KR
South Korea
Prior art keywords
liquid
valve
zone
temperature
flow passage
Prior art date
Application number
KR1020167033652A
Other languages
English (en)
Inventor
하르미트 싱
키스 코멘던트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160141873A publication Critical patent/KR20160141873A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3471Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부가 제공된다. 기판 지지부는 베이스 부재 및 그 베이스 부재 위에 놓여진 열 전달 부재를 포함한다. 열 전달 부재는 그 열 전달 부재의 각각의 구역을 개별적으로 가열 및 냉각시키기 위해 다수의 구역들을 갖는다. 정전척은 그 열 전달 부재 위에 놓인다. 정전척은 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는다. 차가운 액체의 소스 및 뜨거운 액체의 소스는 각각의 구역에서 흐름 통로들과 유체 연통한다. 밸브 배열은, 흐름 통로들에서 순환하는 차가운 액체에 대한 뜨거운 액체의 혼합비를 조정함으로써 액체의 온도를 독립적으로 제어하도록 동작가능하다. 또 다른 실시형태에서, 공급 라인 및 전달 라인들을 따른 가열 엘리먼트들은, 흐름 통로들에서 순환하기 전에 액체 소스로부터의 액체를 가열시킨다.

Description

액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어{IMPROVED SUBSTRATE TEMPERATURE CONTROL BY USING LIQUID CONTROLLED MULTIZONE SUBSTRATE SUPPORT}
플라즈마 프로세싱 장치들은, 에칭, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 주입, 및 레지스트 제거를 포함하는 기술들에 의해 기판들을 프로세싱하는데 사용된다. 플라즈마 프로세싱에서 사용되는 일 타입의 플라즈마 프로세싱 장치는, 상부 및 하부 전극들을 포함하는 반응 챔버를 포함한다. 반응 챔버에서 기판들을 프로세싱하기 위해 프로세스 가스를 플라즈마 상태로 여기시키도록 전극들 사이에서 전기장이 확립된다. 피처 사이즈들의 축소 및 새로운 재료들의 구현으로 인해, 플라즈마 프로세싱의 조건들을 제어하기 위한 플라즈마 프로세싱 장치들에서의 개선이 요구된다.
일 실시형태에서, 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부가 제공된다. 기판 지지부는 베이스 부재 및 그 베이스 부재 위에 놓여진 열 전달 부재를 포함한다. 열 전달 부재는, 열 전달 부재의 제 1 구역 및 제 2 구역을 개별적으로 가열 및 냉각시키기 위해 액체가 순환될 수 있는, 적어도, 제 1 흐름 통로를 갖는 제 1 구역 및 제 2 흐름 통로를 갖는 제 2 구역을 포함하는 다수의 구역들을 갖는다. 정전척은 열 전달 부재 위에 놓여진다. 정전척은, 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는다. 차가운 액체의 소스 및 뜨거운 액체의 소스는 제 1 및 제 2 흐름 통로들과 유체 연통되어 있다. 밸브 배열은, 제 1 및 제 2 흐름 통로들에서 순환하는 차가운 액체에 대한 뜨거운 액체의 혼합비를 조정함으로써 제 1 및 제 2 구역에서 액체의 온도를 독립적으로 제어하도록 동작가능하다. 제어기는, 제 1 및 제 2 흐름 통로들에서 차가운 액체에 대한 뜨거운 액체의 혼합비를 조정함으로써 제 1 및 제 2 구역에서 온도를 독립적으로 제어하기 위한 밸브 배열을 제어한다.
또 다른 실시형태에서, 플라즈마 프로세싱 동안 반도체 기판의 온도를 제어하는 방법이 제공된다. 기판은 상술된 기판 지지부 상에 지지되고, 다수의 구역들과 열접촉하고 있다. 그 방법에서, 액체는 제 1 및 제 2 흐름 통로들을 통해 흐르고, 제 1 구역의 온도가 측정되며, 제 1 흐름 통로를 통해 흐르는 액체의 온도는, (a) 제 1 구역의 온도가 타겟 온도 미만이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 증가시킴으로써 증가되거나, (b) 제 1 구역의 온도가 타겟 온도 이상이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 감소시킴으로써 감소된다. 유사하게, 제 2 구역의 온도가 측정되며, 제 2 흐름 통로를 통해 흐르는 액체의 온도는, (a) 제 2 구역의 온도가 타겟 온도 미만이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 증가시킴으로써 증가되거나, (b) 제 2 구역의 온도가 타겟 온도 이상이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 감소시킴으로써 감소된다. 각각의 구역 내의 방위 온도 차이는 5℃ 미만인 것이 바람직하다.
또 다른 실시형태에서, 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부가 제공된다. 기판 지지부는 베이스 부재, 및 그 베이스 부재 위에 놓여진 열 전달 부재를 포함한다. 열 전달 부재는, 제 1 흐름 통로를 갖는 제 1 구역 및 제 2 흐름 통로를 갖는 제 2 구역을 갖는다. 흐름 통로들은, 열 전달 부재의 각각의 구역을 개별적으로 가열 및 냉각시키기 위해 유체를 순환시키도록 구성된다. 제 1 공통 라인은 제 1 흐름 통로와 유체 연통되고, 제 2 공통 라인은 제 2 흐름 통로와 유체 연통된다. 제 1 밸브는 제 1 공통 라인 및 뜨거운 액체 소스로부터의 제 1 공급 라인과 유체 연통된다. 제 1 밸브는, 제 1 공통 라인을 통한 뜨거운 액체 소스로부터의 뜨거운 액체의 흐름양을 제어하도록 동작가능하다. 제 2 밸브는 제 1 공통 라인 및 차가운 액체 소스로부터의 제 2 공급 라인과 유체 연통된다. 제 2 밸브는, 제 1 공통 라인을 통한 차가운 액체 소스로부터의 차가운 액체의 흐름양을 제어하도록 동작가능하다. 제 3 밸브는 제 2 공통 라인 및 뜨거운 액체 소스로부터의 제 1 공급 라인과 유체 연통한다. 제 3 밸브는, 제 2 공통 라인을 통한 뜨거운 액체의 흐름양을 제어하도록 동작가능하다. 제 4 밸브는 제 2 공통 라인 및 차가운 액체 소스로부터의 제 2 공급 라인과 유체 연통한다. 제 4 밸브는 제 2 공통 라인을 통한 차가운 액체의 흐름양을 제어하도록 동작가능하다. 제어기는, 제 1 흐름 통로로의 차가운 액체에 대한 뜨거운 액체의 제 1 혼합비를 조정하기 위해 제 1 밸브 및 제 2 밸브를 독립적으로 제어하며, 제 2 흐름 통로로의 차가운 액체에 대한 뜨거운 액체의 제 2 혼합비를 조정하기 위해 제 3 밸브 및 제 4 밸브를 제어하도록 동작가능하다. 정전척은 열 전달 부재 위에 놓인다. 정전척은, 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는다.
또 다른 실시형태에서, 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부가 제공된다. 기판 지지부는 베이스 부재, 및 그 베이스 부재 위에 놓여진 열 전달 부재를 포함한다. 열 전달 부재는, 제 1 흐름 통로를 갖는 제 1 구역 및 제 2 흐름 통로를 갖는 제 2 구역을 갖는다. 흐름 통로들은, 열 전달 부재의 각각의 구역을 개별적으로 가열 및 냉각시키기 위해 액체를 순환시키도록 구성된다. 공급 라인은 제 1 흐름 통로 및 액체 소스와 유체 연통된다. 제 1 가열 엘리먼트는 공급 라인을 따라 존재한다. 제 1 가열 엘리먼트는, 액체가 제 1 흐름 통로에서 순환되기 전에 액체 소스로부터 흐르는 액체를 제 1 온도로 가열시키도록 구성된다. 제 1 전달 라인은, 제 1 흐름 통로 및 제 2 흐름 통로와 유체 연통된다. 제 1 전달 라인은, 제 1 흐름 통로부터 제 2 흐름 통로로 액체를 흐르게 하도록 구성된다. 제 2 가열 엘리먼트는 제 1 전달 라인을 따라 존재한다. 제 2 가열 엘리먼트는, 제 2 흐름 통로에서 순환하기 전에 제 2 온도로 액체를 가열시키도록 구성된다. 제어기는, 각각의 가열 엘리먼트에 대한 전력을 조정함으로써 각각의 구역의 온도를 독립적으로 제어하기 위해 각각의 가열 엘리먼트를 제어한다. 정전척은 열 전달 부재 위에 놓인다. 정전척은 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는다.
도 1은 플라즈마 프로세싱 장치의 예시적인 실시형태의 단면도이다.
도 2는 유도성 커플링된 플라즈마 프로세싱 장치의 단면도이다.
도 3은 기판 지지부의 일 실시형태의 단면도이다.
도 4는, 열 전달 부재의 부분 두께를 통해 연장하는 열 장벽을 포함하는 기판 지지부의 부가적인 실시형태의 단면도이다.
도 5는 열 장벽없는 기판 지지부의 부가적인 실시형태의 단면도이다.
도 6은 단면선 C-C' 을 따라 취해진 도 3의 지지부의 단면 평면도이다.
도 7은, 차가운 액체의 소스, 뜨거운 액체의 소스, 밸브 배열 및 제어기를 포함하는 열 전달 부재의 일 실시형태의 부분적인 단면도이다.
도 8a는, 차가운 액체의 소스, 뜨거운 액체의 소스, 밸브 배열 및 제어기를 포함하는 열 전달 부재의 또 다른 실시형태의 부분 단면도이다.
도 8b는, 차가운 액체의 소스 및/또는 뜨거운 액체의 소스에 대한 복귀 라인을 포함하는 도 8a의 열 전달 부재의 실시형태의 부분적인 단면도이다.
도 9는, 액체의 소스, 가열 엘리먼트들 및 전달 라인들을 포함하는 열 전달 부재의 또 다른 실시형태의 부분적인 단면도이다.
도 10은 플라즈마 프로세싱 동안 반도체 기판의 3개의 예시적인 중심-에지 온도 프로파일들을 도시한다.
플라즈마 프로세싱 장치에서의 기판의 플라즈마 프로세싱의 균일성을 향상시키기 위해, 재료 증착 및/또는 에칭이 발생하는 기판의 노출된 표면에서 온도 분포를 제어하는 것이 바람직하다. 플라즈마 에칭 프로세스들에서, 기판의 노출된 표면에서의 기판 온도 및/또는 화학 반응 속도에서의 변화들은, 기판의 에칭 레이트뿐만 아니라 에칭 선택도 및 이방성에서의 바람직하지 않은 변화들을 초래할 수 있다. CVD 프로세스와 같은 재료 증착 프로세스들에서, 기판 상에 증착된 재료의 조성 및 특성들 및 증착 레이트는, 증착 동안 기판의 온도에 의해 현저하게 영향을 받을 수 있다.
도 1은 에칭을 위한 예시적인 반도체 재료 플라즈마 프로세싱 장치 (100) 를 도시한다. 플라즈마 프로세싱 장치 (100) 는, 기판 (106) 이 플라즈마 프로세싱 동안 지지되는 기판 지지부 (104) 를 포함하는 반응 챔버 (102) 를 포함한다. 반응 챔버 (102) 의 내부에서 기판 (106) 을 지지하기 위한 기판 지지부 (104) 는, 프로세싱 동안 기판 지지부 (104) 상에 기판 (106) 을 클램핑하도록 동작가능한 클램핑 디바이스, 바람직하게는 정전척을 포함할 수 있다.
도 1에 도시된 예시적인 플라즈마 프로세스 장치 (100) 는, 반응 챔버 (102) 의 벽을 형성하는 상부판 (108) 및 상부판 (108) 에 부착된 샤워헤드 전극 (110) 을 갖는 샤워헤드 전극 어셈블리를 포함한다. 가스 공급부 (112) 는, 샤워헤드 전극 (110) 을 통해 반응 챔버 (102) 의 내부로 프로세스 가스를 공급한다. 샤워헤드 전극 (110) 은, 샤워헤드 전극 (110) 과 기판 지지부 (104) 사이에 위치된 플라즈마 반응 챔버 (102) 에서 일 공간으로 프로세스 가스를 주입하기 위해 샤워헤드 전극 (110) 의 두께를 통해 연장하는 다수의 가스 통로들 (114) 을 포함한다. 가스 공급부 (112) 는, 듀얼 구역 가스 피드 배열에서 샤워헤드 전극 (110) 의 중심 및 외부 구역들을 피딩하는 내부 및 외부 공급 라인들을 포함할 수 있다.
프로세스 가스는 샤워헤드 전극 (110) 을 통해 그리고 반응 챔버 (102) 의 내부로 흐른다. 다음으로, 프로세스 가스는, 샤워헤드 전극 (110) 을 구동시키는 RF 소스와 같은 전원 (116A), 및/또는 약 0.3MHz 로부터 약 600MHz 까지의 하나 이상의 주파수들 (예를 들어, 2MHz, 13.56MHz, 60MHz) 에서 기판 지지부 (104) 내의 전극을 구동시키는 약 0.3MHz 로부터 약 600MHz 까지의 하나 이상의 주파수들 (예를 들어, 2MHz, 13.56MHz, 60MHz) 에서의 전원 (116B) 에 의해 플라즈마 프로세스 장치 (100) 에서 플라즈마 상태로 에너자이징된다. 샤워헤드 전극 (110) 에 인가된 RF 전력은, 예를 들어, 상이한 가스 조성들을 플라즈마 프로세스 장치 (110) 에 공급할 경우 상이한 프로세스 단계들을 수행하도록 변경될 수 있다. 또 다른 실시형태에서, 샤워헤드 전극 (110) 은 접지될 수 있다.
일 실시형태에서, 플라즈마는, 2개의 RF 소스들로부터 샤워헤드 전극 (110) 및/또는 기판 지지부 (104) 에 RF 에너지를 공급함으로써 플라즈마 프로세스 장치 (100) 의 내부에서 생성될 수 있거나, 샤워헤드 전극 (110) 은 전기적으로 접지될 수 있고 단일 주파수 또는 다수의 주파수들에서의 RF 에너지는 기판 지지부 (104) 에 공급될 수 있다.
도 2에 도시된 바와 같이 또 다른 실시형태에서, 유도성 커플링된 플라즈마 (ICP) 프로세싱 장치 (200) 는, 낮은 압력 (즉 100mTorr 미만) 에서 진공 챔버로 프로세스 가스를 공급함으로써 기판들 상에서의 재료들의 증착 (예를 들어, 플라즈마 향상된 화학 기상 증착 또는 PECVD) 및 플라즈마 에칭, 및 무선-주파수 (RF) 에너지의 가스로의 인가를 위해 사용될 수 있다. 도 2는 ICP 플라즈마 프로세싱 장치 (200) 의 일 실시형태의 단면도이다. ICP 플라즈마 프로세싱 챔버의 일 예는, 캘리포니아 프레몬트 소재의 램 리써치 코포레이션에 의해 제조된 TCP
Figure pat00001
에칭 또는 증착 시스템이다. 또한, 예를 들어, 그 전체가 참조로서 포함되는 공동-소유된 미국 특허 제 4,948,458 호에 ICP 플라즈마 프로세싱 장치가 설명되어 있다. 반응 챔버 (202) 는, 반응 챔버 (202) 의 내부에서 기판 (206) 을 지지하기 위한 기판 지지부 (204) 를 포함한다. 유전체 윈도우 (208) 는 반응 챔버 (202) 의 상부벽을 형성한다. 프로세스 가스들은 가스 분배 부재 (210) 를 통해 반응 챔버 (202) 의 내부에 주입된다. 가스 분배 부재 (210) 의 예들은 샤워헤드, 가스 주입기 또는 다른 적절한 배열을 포함한다. 가스 공급기 (212) 는 가스 분배 부재 (210) 를 통해 반응 챔버 (202) 의 내부에 프로세스 가스들을 공급한다.
일단 프로세스 가스들이 반응 챔버 (202) 의 내부로 도입되면, 반응 챔버 (202) 의 내부로 에너지를 공급하는 에너지 소스 (216) 에 의해 그 가스들은 플라즈마 상태로 에너자이징된다. 에너지 소스 (216) 는, RF 에너지를 반응 챔버 (202) 로 유도성 커플링시키기 위해 RF 소스 (218A) 및 RF 임피던스 매칭 회로 (218B) 에 의해 전력공급된 외부 평면 안테나인 것이 바람직하다. RF 전력의 평면 안테나로의 인가에 의해 생성된 전자기장은, 기판 (206) 위에서 고밀도 플라즈마 P (예를 들어, 1010 내지 1012 이온/cm3) 를 형성하기 위해 프로세스 가스를 에너자이징한다.
유전체 윈도우 (208) 는 평면 안테나 아래에 놓여져 있고, 가스 분배 부재 (210) 는 유전체 윈도우 (208) 아래에 배치된다. 플라즈마 P는, 기판 (206) 의 증착 또는 에칭을 위해 가스 분배 부재 (210) 와 기판 (206) 사이의 구역에서 생성된다.
기판의 플라즈마 프로세싱 동안, 플라즈마 가스의 반응성 이온들은 반도체 기판 (예를 들어, 규소, 갈륨 비소 또는 인듐 인화물 웨이퍼) 의 외면 상에서 재료의 일부들과 화학적으로 반응하여, 기판의 중심과 에지 사이에서 최대 50℃ 의 온도 차이를 초래한다. 그의 외면에 걸쳐 기판의 온도가 매우 많이 변하면, 기판의 외면에 걸쳐 재료의 불균일한 에칭 또는 증착이 발생할 수 있도록, 기판 상의 각각의 포인트에서의 로컬 기판 온도 및 화학 반응 속도가 상호관련된다. 이러한 조건을 완화시키기 위해, 배면 가스 냉각 시스템들은, 기판 지지부와 그 기판 지지부 상에서 지지되는 기판들 사이에서의 열 전달을 제공하도록 기판 지지부들에서 사용된다.
기반 지지부들은, 프로세싱 동안 기판 지지부로부터 열을 제거하기 위해 냉각제 흐름 통로들을 포함한다. 그러한 냉각 시스템들에서, 제어된 온도 및 세트 볼륨 흐름 레이트에서의 냉각제가 냉각제 흐름 통로들에 도입된다. 기판 지지부들은 냉각 시스템에서 하나의 공급 라인 및 하나의 복귀 라인을 포함한다. 그러나, 열이 기판 지지부로부터 제거됨에 따라, 현저한 온도 기울기가 입구로부터 출구로 통로의 길이에 따라 전개될 수 있다고 결정한다. 그 결과, 열 전달 가스 및 기판과 접촉하는 기판 지지부의 표면에서의 온도 균일도가 제어되지 않는다. 또한, 기판 홀더는 기판의 후면측에서 열 싱크를 제공한다. 기판으로부터 기판 홀더로의 열 전달을 초래하는 것은, 알려진 플라즈마 프로세싱 장치들에서 기판에 걸친 온도의 불균일도에 기여한다.
방위 (즉, 각 또는 원주) 온도 균일도 ≤5℃ 를 유지하면서 40℃ 만큼 많이 웨이퍼 또는 기판에 걸쳐 중심-에지 온도 프로파일 (즉, 방사상 온도 프로파일) 을 변경시키기 위한 능력은 임계 치수 (critical dimension) 균일도 제어에 중요하다. 몇몇 플라즈마 프로세싱 단계들은, 기판 상의 방사 위치의 함수로서 에칭 부산물 농도 변경과 같은 다른 인자들로 인한 불균일도를 보상하기 위한 최적의 프로세싱에 대한 방사상 온도 프로파일 제어를 요구한다. 예를 들어, 박막 또는 멀티-계층 구조들의 적층 (예를 들어, 게이트 산화물/폴리규소/실리사이드/하드마스크/반사방지 코팅 적층) 의 에칭 동안, 일 계층의 에칭은 에지 영역보다 더 뜨거운 중심 영역을 요구할 수도 있지만, 또 다른 계층의 에칭은 에지 영역보다 더 차가운 중심 영역을 요구할 수도 있다. 따라서, 40℃ 만큼 많이 웨이퍼 또는 기판에 걸친 중심-에지 온도 프로파일을 변경시키는 능력을 가지면서, ≤5℃ 의 방위 온도 균일도를 달성하기 위한 능력을 갖는 기판 지지부에 대한 필요성이 존재한다. 방위 온도 균일도가 ≤1℃ 인 것이 바람직하고, 방위 온도 균일도가 ≤0.5℃ 인 것이 더 바람직하다.
도 3은 기판 지지부 (300) 의 일 실시형태의 단면도를 도시한다. 기판 (326) 은 중심-에지 온도 프로파일을 더 효율적으로 제어하기 위한 능력을 제공하며, 이는 ≤1℃ 의 방위 온도 균일도를 유지하면서 최대 40℃ 의 중심-에지 온도 프로파일에 대해 스텝-변경가능할 수 있다. 기판 지지부 (300) 는 베이스 부재 (310), 베이스 부재 (310) 위에 놓여진 열 전달 부재 (320) 및 열 전달 부재 (320) 위에 놓여진 정전척 (322) 을 포함한다. 정전척 (322) 은 기판 (326) 을 지지하기 위한 지지면 (324) 을 포함한다. 그러한 정전척들은, 예를 들어, 그 전체가 참조로서 포함되는 공동-소유된 미국 특허 제 5,838,529호에 또한 설명되어 있다.
열 전달 부재 (320) 는 동심의 다수의 구역들 (328A 내지 328E) 로 추가적으로 세분된다. 각각의 구역은, 액체가 열 전달 부재 (320) 의 각각의 구역 (328A 내지 328E) 을 개별적으로 가열 및 냉각시키도록 순환될 수 있는 하나 이상의 흐름 통로들 (330A 내지 330E) 을 포함한다. 기판 지지부 (300) 의 가열은 흐름 통로들 (330A 내지 330E) 을 통해 뜨거운 액체를 순환시킴으로써 달성되며, 따라서, 열 전달 부재 (320) 에 삽입된 가열 엘리먼트 (예를 들어, 저항성 히터 또는 가열 테이프) 에 대한 필요성을 제거한다. 그 액체는 물 (예를 들어, 탈이온수), 에틸렌 글리콜, 규소 오일, 물/에틸렌 글리콜 혼합물들, FLUOROINERT
Figure pat00002
냉동제 (즉, 3M (Minnesota Mining and Manufacturing) 사로부터 입수가능한 과불화탄소 냉각 유체), GALDEN
Figure pat00003
유체 (즉, Solvay Solexis 로부터 입수가능한 저분자량 과불소 폴리에테르 열 전달 유체) 등일 수 있다. 5개의 구역들이 도 3에 도시되었지만, 구역들의 수는 원하는 온도 제어된 정도에 의존하여 2개 이상일 수 있음을 이해할 것이다.
도 3의 실시형태에서, 열 전달 부재 (320) 는 알루미늄 또는 질화 알루미늄과 같은 열 전도성 재료로 구성될 수 있다. 방사 열 전달 (즉, 개별 구역들 사이의 열 전달) 의 제어를 개선시키고, 기판에 걸친 원하는 온도 프로파일을 달성하기 위해, 열 장벽들 (332) 은 각각의 구역 (328A 내지 328E) 을 분리시킨다. 열 장벽들 (332) 은, (도 3에 도시된 바와 같이) 열 전달 부재 (320) 의 전체 두께, 또는 도 4에 도시된 바와 같이 열 전달 부재 (320) 의 부분 두께를 통해 연장될 수 있다. 열 장벽들 (332) 은 채워지지 않을 수 있거나 (즉, 빈 공간일 수 있거나), 약 0.1W/m-K 으로부터 약 4.0W/m-K 까지의 열 전도율을 달성하기 위해 필러 재료를 포함할 수 있다. 필러 재료들의 예는 에폭시 또는 실리콘을 포함한다. 필러 재료의 열 전도율은, 질화 붕소, 질화 알루미늄, 산화 알루미늄, 산화 규소 및 규소와 같은 첨가제들을 사용하여 조정될 수 있다.
도 5에 도시된 바와 같이, 또 다른 실시형태에서, 방사 열 전달은 열적으로 절연된 재료의 열 전달 부재 (320) 를 구성함으로써 제어된다. 열적으로 절연된 재료들의 예들은, 산화 알루미늄 또는 산화 이트륨과 같은 세라믹 또는 스테인리스 스틸과 같은 낮은 열 전도율을 갖는 금속 합금들을 포함한다.
도 3에 도시된 바와 같이, 결합 재료 (334) 는 열 전달 부재 (320) 와 베이스 부재 (310) 사이에 삽입될 수 있다. 확대된 영역 A에 도시된 바와 같이, 결합 재료 (334) 는 에폭시 또는 실리콘으로 구성될 수 있으며, 하나 이상의 필러 재료들 (334A) 로 충진될 수 있다. 예시적인 필러 재료들 (334A) 은 산화 알루미늄, 질화 붕소, 산화 규소, 알루미늄 또는 규소를 포함할 수 있다. 또 다른 실시형태에서, 확대된 영역 B에 도시된 바와 같이, 결합 재료는 금속성 납땜 (334B) 일 수 있다. 결합 재료 (334) 는, 약 0.1W/m-K 로부터 약 4W/m-K 까지의 열 전도율을 제공하고 약 1mil 로부터 약 200mil 까지의 두께를 갖도록 선택될 수 있다.
도 6은, 도 3으로부터의 단면선 C-C' 에 걸쳐 취해진 원형판으로서의 열 전달 부재 (320) 의 단면도를 도시한다. 도 6으로부터, 구역들 (328A 내지 328E) 은 원형판의 중심에 대해 상이한 거리들에서 동심적으로 배열되며, 흐름 통로들 (330A 내지 330E) 은 나선형 패턴을 갖는다. 열 장벽 (332) 은 각각의 구역을 분리시키는 환상 채널들이다.
도 7은 뜨거운 액체의 소스 (336) 및 차가운 액체의 소스 (338) 를 포함하는 열 전달 부재 (320) 의 부분적인 단면도를 도시하며, 그 양자의 소스들은 흐름 통로들 (330A 내지 330E) 과 유체 연통한다. 구역들 (328A 내지 328E) 은 열 장벽들 (332) 에 의해 분리된다. 밸브 배열 (340) 은, (차가운 액체의 소스 (338) 로부터의) 차가운 액체에 대한 (뜨거운 액체의 소스 (336) 로부터의) 뜨거운 액체의 혼합비를 조정함으로써 각각의 구역 (328A 내지 328E) 에서 개별적인 온도를 제어하도록 동작가능하다. 제어기 (342) 는, 밸브 배열 (340) 이 차가운 액체에 대한 뜨거운 액체의 적절한 혼합비를 조정하도록 독립적으로 지시하기 위해, 각각의 구역 (328A 내지 328E) 에서 온도 센서들 (344A 내지 344E) 로부터 입력 신호들을 수신한다. 또 다른 실시형태에서, 각각의 구역 (328A 내지 328E) 에 대한 온도 센서들은 정전척 (322) 에 삽입될 수 있다.
플라즈마 프로세싱 동안, 기판 (326) 은 기판 지지부 (300) 상에서 지지되며, 기판 (326) 은 구역들 (328A 내지 328E) 과 열 접촉 상태에 있다. 액체는, 구역들 (328A 내지 328E) 에 대응하는 흐름 통로들 (330A 내지 330E) 을 통해 흐른다. 각각의 개별적인 구역 (328A 내지 328E) 의 온도는 온도 센서들 (344A 내지 344E) 로 측정되며, 그 온도 센서들은 입력 신호들을 제어기 (342) 에 제공한다. 제어기 (342) 는, (i) 구역 (328A 내지 328E) 의 온도가 타겟 온도 미만이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 증가시킴으로써, 각각의 개별적인 흐름 통로 (330A 내지 330E) 를 통해 흐르는 액체의 온도를 증가시키거나, (ii) 구역 (328A 내지 328E) 의 온도가 타겟 온도 이상이면, 차가운 액체에 대한 뜨거운 액체의 혼합비를 감소시킴으로써, 각각의 개별적인 흐름 통로 (330A 내지 330E) 를 통해 흐르는 액체의 온도를 감소시킬 수 있다. 플라즈마 프로세싱 동안, 열 전달 부재 (320) 및 제어기 (342) 를 갖는 기판 지지부 (300) 는, 단일 웨이퍼의 플라즈마 프로세싱 동안 구역들 (328A 내지 328E) 의 온도들을 독립적으로 및 동적으로 변경시키기 위한 능력을 제공한다.
도 8a는, 각각의 흐름 통로 (430A 내지 430E) 및 온도 센서 (444A 내지 444E) 를 각각 갖는 구역들 (428A 내지 428E) 을 포함하는 열 전달 부재 (420) 의 또 다른 실시형태에 대한 부분적인 단면도를 도시한다. 구역들 (428A 내지 428E) 은 열 장벽 (432) 에 의해 분리된다. 뜨거운 액체의 소스 (436) 및 차가운 액체 (438) 의 소스는, 공통 라인들 (450A 내지 450E), 밸브들 (452A 내지 452E'), 제 1 공급 라인 (454) 및 제 2 공급 라인 (456) 을 통해 흐름 통로들 (430A 내지 430E) 과 유체 연통한다. 제 1 내지 제 5 밸브 (452A 내지 452E) 는, 뜨거운 액체 소스 (436) 로부터 뜨거운 액체를 공급하는 제 1 공급 라인 (454) 및 공통 라인들 (450A 내지 450E) 과 유체 연통한다. 또한, 제 6 내지 제 10 밸브 (452A' 내지 452E') 는, 차가운 액체 소스 (438) 로부터 차가운 액체를 공급하는 제 2 공급 라인 (456) 및 공통 라인들 (450A 내지 450E) 과 또한 유체 연통한다.
제어기 (442) 는, 각각의 흐름 통로에서 차가운 액체 소스 (438) 로부터 흐르는 차가운 액체에 대한 뜨거운 액체 소스 (436) 로부터 흐르는 뜨거운 액체와의 혼합비를 개별적으로 조정하기 위해 밸브들 (452A 내지 452E 및 452A' 내지 452E') 을 독립적으로 제어하도록 온도 센서들 (444A 내지 444E) 로부터 입력 신호들을 수신한다. 예를 들어, 제어기 (442) 는, (i) 공통 라인 (450A) 을 통해 흐름 통로 (430A) 로 흐르는 차가운 액체에 대한 뜨거운 액체의 제 1 혼합비를 조정하기 위한 제 1 밸브 (452A) 및 제 2 밸브 (452A'), (ii) 공통 라인 (450B) 을 통해 흐름 통로 (430B) 로 흐르는 차가운 액체에 대한 뜨거운 액체의 제 2 혼합비를 조정하기 위한 제 3 밸브 (452B) 및 제 4 밸브 (452B'), (iii) 공통 라인 (450C) 을 통해 흐름 통로 (430C) 로 흐르는 차가운 액체에 대한 뜨거운 액체의 제 3 혼합비를 조정하기 위한 제 5 밸브 (452C) 및 제 6 밸브 (452C'), (iv) 공통 라인 (450D) 을 통해 흐름 통로 (430D) 로 흐르는 차가운 액체에 대한 뜨거운 액체의 제 4 혼합비를 조정하기 위한 제 7 밸브 (452D) 및 제 8 밸브 (452D'), 및 (v) 공통 라인 (450E) 을 통해 흐름 통로 (430E) 로 흐르는 차가운 액체에 대한 뜨거운 액체의 제 5 혼합비를 조정하기 위한 제 9 밸브 (452E) 및 제 10 밸브 (452E') 를 제어할 수 있다.
도 8a의 실시형태는, 각각의 개별적인 구역 (428A 내지 428E) 의 온도를 제어함으로써, 플라즈마 프로세싱 동안 기판 (426) 의 반경에 따라 온도를 단조적으로 (즉, 온도에서의 연속적인 증가 또는 감소) 또는 비단조적으로 증가 또는 감소시키기 위한 능력을 제공한다. 예를 들어, 각각의 개별적인 구역 (428A 내지 428E) 의 온도는, 방사상 온도 프로파일이 포물선 또는 역포물선 (즉, 단조) 이도록 셋팅될 수 있다. 그러나, 또 다른 예에서는 각각의 구역 (428A 내지 428E) 의 온도가 개별적으로 제어될 수 있기 때문에, 방사상 온도 프로파일은 방사상 온도 프로파일이 정현파 (즉, 비-단조) 이도록 또한 셋팅될 수 있다.
도 8b에 도시된 바와 같이, 흐름 통로들 (430A 내지 430E) 은, 뜨거운 액체의 소스 (436) 및/또는 차가운 액체의 소스 (438) 와 유체 연통하는 복귀 라인 (446) 과 유체 연통한다. 따라서, 흐름 통로들 (430A 내지 430E) 을 떠나는 액체는, 뜨거운 액체의 소스 (436) 및/또는 차가운 액체의 소스 (438) 에 그 액체를 복귀시킴으로써 재활용될 수 있다.
뜨거운 액체의 소스 (436) 는 약 40℃ 로부터 약 150℃ 까지의 온도로 뜨거운 액체를 유지시키고, 차가운 액체의 소스 (438) 는 약 -10℃ 로부터 약 70℃ 까지의 온도로 차가운 액체를 유지시킬 수 있다. 따라서, 도 8a 및 도 8b의 실시형태는, 플라즈마 프로세싱 동안의 원하는 중심-에지 온도 프로파일에 의존하여, 각각의 구역 (428A 내지 428E) 에서 5개의 상이한 온도들을 달성할 능력을 갖는다. 5개의 구역들이 도 8a 및 도 8b에 도시되어 있지만, 구역들의 수가 원하는 방사상 온도 프로파일 제어의 정도에 의존하여 2개 이상일 수 있음을 이해할 것이다. 일 예에서, 차가운 액체의 소스는 ≥-10℃ 의 온도로 차가운 액체를 유지시키고, 뜨거운 액체의 소스는 ≤150℃ 의 온도로 뜨거운 액체를 유지시키며, 뜨거운 액체 온도는 차가운 액체 온도보다 더 크다.
도 9는, 각각의 흐름 통로들 (530A 내지 530E) 및 온도 센서들 (544A 내지 544E) 을 각각 갖는 구역들 (528A 내지 528E) 을 포함하는 열 전달 부재 (520) 의 또 다른 실시형태에 대한 부분적인 단면도를 도시한다. 구역들 (528A 내지 528E) 은 열 장벽들 (532) 에 의해 분리된다. 액체의 소스 (536) 는 공급 라인 (550), 제 1 내지 제 4 전달 라인 (552A 내지 552D) 및 복귀 라인 (554) 과 유체 연통한다. 제 1 가열 엘리먼트 (538A) 는 공급 라인 (550) 을 따라 위치되고, 제 2 내지 제 5 가열 엘리먼트 (538B 내지 538E) 는 제 1 내지 제 4 전달 라인 (552A 내지 552D) 을 따라 위치된다. 제 1 내지 제 5 가열 엘리먼트 (538A 내지 538E) 는, 공급 라인 (550) 및 제 1 내지 제 4 전달 라인 (552A 내지 552D) 을 통해 흐르는 액체의 온도를 제어한다.
제어기 (542) 는 가열 엘리먼트들 (538A 내지 538E) 을 독립적으로 제어하기 위해 온도 센서들 (544A 내지 554E) 로부터 입력 신호들을 수신한다. 온도 센서들 (544A 내지 544E) 에 의해 측정된 온도가 타겟 온도 미만이면, 제어기 (542) 는, 적절한 가열 엘리먼트들 (538A 내지 538E) 중 하나 이상을 활성화시킨다. 제 1 가열 엘리먼트 (538A) 는, 액체가 제 1 흐름 통로 (530A) 에서 순환되기 전에, 액체 소스 (536) 로부터 흐르는 그 액체를 제 1 온도로 가열시킨다. 제 1 전달 라인 (552A) 은 제 1 흐름 통로 (530A) 로부터 제 2 흐름 통로 (530B) 로 액체를 흐르게 하고, 제 2 가열 엘리먼트 (538B) 는, 제 2 흐름 통로 (530B) 에서 순환되기 전에, 제 1 전달 라인 (552A) 을 따라 흐르는 액체를 제 2 온도로 가열시킨다. 제 2 전달 라인 (552B) 은 제 2 흐름 통로 (530B) 로부터 제 3 흐름 통로 (530C) 로 액체를 흐르게 하며, 제 3 가열 엘리먼트 (538C) 는, 제 3 흐름 통로 (530C) 에서 순환하기 전에 제 2 전달 라인 (552B) 을 따라 흐르는 액체를 제 3 온도로 가열시킨다. 제 3 전달 라인 (552C) 은 제 3 흐름 통로 (530C) 로부터 제 4 흐름 통로 (530D) 로 액체를 흐르게 하며, 제 4 가열 엘리먼트 (538D) 는, 제 4 흐름 통로 (530D) 에서 순환하기 전에 제 3 전달 라인 (552C) 을 따라 흐르는 액체를 제 4 온도로 가열시킨다. 제 4 전달 라인 (552D) 은 제 4 흐름 통로 (530D) 로부터 제 5 흐름 통로 (530E) 로 액체를 흐르게 하며, 제 5 가열 엘리먼트 (538E) 는, 제 5 흐름 통로 (530E) 에서 순환하기 전에 제 4 전달 라인 (552D) 을 따라 흐르는 액체를 제 5 온도로 가열시킨다. 제 5 흐름 통로를 떠나는 액체는 복귀 라인 (554) 을 따라 액체 소스 (536) 로 복귀된다.
제 1 내지 제 4 전달 라인들 (552A 내지 552D) 을 통해 흐르는 액체는 (도 9의 화살표에 의해 표시된 바와 같이) 순방향 또는 (도 9에 표시되지 않은) 역방향으로 흐를 수 있다. 순방향의 액체 흐름 동안, 제 1 온도는, 제 4 온도보다 작은 제 3 온도보다 작은 제 2 온도보다 작으며, 구역 (528E) (즉, 중심 영역) 에서 최고의 온도를 발생시킨다. 유사하게, 역방향의 액체 흐름 동안, 제 1 온도는, 제 4 온도보다 큰 제 3 온도보다 큰 제 2 온도보다 크며, 구역 (528A) (즉, 에지 영역) 에서 최고의 온도를 발생시킨다.
도 9의 실시형태는, 플라즈마 프로세싱 동안 기판 (326) 의 반경을 따라 온도를 단조적으로 증가 또는 감소시키기 위한 능력을 제공한다. 예를 들어, 각각의 개별적인 구역 (528A 내지 528E) 의 온도는, 방사상 온도 프로파일이 포물선 또는 역포물선 (즉, 단조적) 이도록 셋팅될 수 있다.
플라즈마 프로세싱 (예를 들어, 반도체들, 금속들 또는 유전체들의 플라즈마 에칭; 또는 도전체 또는 유전체 재료들의 증착) 동안, 열 전달 부재 (320/420/520) 를 갖는 기판 지지부 (300) 는, ≤1℃ 더 바람직하게는 ≤0.5℃ 의 방위 온도 균일도를 유지하면서, 최대 40℃ 만큼 중심-에지 방사상 온도 프로파일을 변경시키기 위한 능력을 갖는다. 또한, 그러한 열 전달 부재들 (320/420/520) 은, (1) 균일한 온도 분포, 또는 (2) 방사상으로 변하는 온도 분포 (예를 들어, 뜨거운 에지 또는 뜨거운 중심) 를 위한 능력을 제공하며, 이들 양자는 최적의 멀티-계층 프로세싱을 가능하게 하기 위해 플라즈마 프로세싱 동안 스텝-변경가능한 온도 제어에 유용하다. 도 10은, (A) 에지 영역보다 뜨거운 중심 영역, (B) 에지 영역보다 차가운 중심 영역, 및 (C) 웨이퍼에 걸쳐 완전히 균일한 온도 분포와 같이, 열 전달 부재들 (320/420/520) 을 이용한 플라즈마 프로세싱 동안의 3개의 예시적인 중심-에지 온도 프로파일들에 대한, 반경 R을 갖는 웨이퍼 상의 방사 위치의 함수로서 방사상 온도를 도시한다.
본 발명이 그의 특정한 실시형태들을 참조하여 상세히 설명되었지만, 첨부된 청구항의 범위를 벗어나지 않고도, 다양한 변경들 및 변형들이 행해질 수 있으며, 등가물들이 이용될 수 있다는 것은 당업자에게는 명백할 것이다.

Claims (19)

  1. 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부로서,
    베이스 부재;
    상기 베이스 부재 위에 놓이는 열적으로 절연인 세라믹 재료의 열 전달 부재로서, 상기 열 전달 부재는, 상기 열 전달 부재의 제 1 구역 및 제 2 구역을 개별적으로 가열 및 냉각시키도록 액체가 순환될 수 있는, 제 1 나선형 흐름 통로를 갖는 상기 제 1 구역 및 제 2 나선형 흐름 통로를 갖는 상기 제 2 구역을 적어도 포함하는 다수의 구역들을 갖는, 상기 열 전달 부재;
    상기 열 전달 부재 위에 놓이는 정전척으로서, 상기 정전척은 상기 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는, 상기 정전척;
    제 1 공통 라인을 통하는 상기 제 1 흐름 통로 및 제 2 공통 라인을 통하는 상기 제 2 흐름 통로와 유체 연통하는 차가운 액체의 소스 및 뜨거운 액체의 소스;
    상기 제 1 공통 라인 및 상기 제 2 공통 라인에서 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 조정함으로써, 상기 제 1 구역 및 상기 제 2 구역에서 상기 액체의 온도를 독립적으로 제어하도록 동작가능한 밸브 배열; 및
    상기 제 1 공통 라인 및 상기 제 2 공통 라인에서 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 조정함으로써, 상기 제 1 구역 및 상기 제 2 구역에서 상기 온도를 독립적으로 제어하기 위한 상기 밸브 배열을 제어하는 제어기;
    상기 제 1 구역 및 상기 제 2 구역을 분리시키는 열 장벽으로서, 상기 열 장벽은 환상 채널인, 상기 열 장벽;
    상기 제 1 구역의 제 1 온도 센서 및 상기 제 2 구역의 제 2 온도 센서로서, 상기 온도 센서들은, 상기 제 1 구역 및 상기 제 2 구역의 온도를 측정하고 입력 신호들을 상기 제어기에 공급하도록 구성되는, 상기 제 1 온도 센서 및 상기 제 2 온도 센서; 및
    상기 열 전달 부재의 하면과 상기 베이스 부재의 상면 사이의 결합 재료를 포함하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 결합 재료는, 0.1W/m-K 로부터 4W/m-K 까지의 열 전도율 및 1mil 로부터 200mil 까지의 두께를 갖는, 기판 지지부.
  3. 제 1 항에 있어서,
    상기 차가운 액체의 소스는 ≥-10℃ 의 온도로 상기 차가운 액체를 유지시키고, 상기 뜨거운 액체의 소스는 ≤150℃ 의 온도로 상기 뜨거운 액체를 유지시키며, 상기 뜨거운 액체의 온도는 상기 차가운 액체의 온도보다 더 큰, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 열 전달 부재는 원형판이고, 각각의 구역은 상기 원형판의 중심에 대해 상이한 반경 거리에서 동심적으로 배열되고, 상기 구역들의 각각에서 방위 온도 균일도가 1℃보다 작은, 기판 지지부.
  5. 제 1 항에 있어서,
    상기 환상 채널은 비워져 있는, 기판 지지부.
  6. 제 1 항에 있어서,
    상기 결합 재료는 실리콘 또는 에폭시로 이루어져 있고 하나 이상의 필러 재료들을 포함하며,
    상기 필러 재료들은, 산화 알루미늄, 질화 붕소, 산화 규소, 알루미늄 또는 규소를 포함하거나, 상기 결합 재료는 금속성 납땜 접합으로 이루어진, 기판 지지부.
  7. 제 1 항에 있어서,
    상기 열 전달 부재는 산화 알루미늄 또는 산화 이트륨으로 이루어진, 기판 지지부.
  8. 플라즈마 프로세싱 동안 반도체 기판의 방위 온도 (azimuthal temperature) 를 제어하는 방법으로서,
    제 1 항에 기재된 기판 지지부 상에서 상기 반도체 기판을 지지하는 단계로서, 상기 반도체 기판은 상기 다수의 구역들과 열 접촉하는, 상기 반도체 기판을 지지하는 단계;
    상기 제 1 흐름 통로 및 상기 제 2 흐름 통로를 통해 상기 액체를 흐르게 하는 단계;
    상기 제 1 구역의 온도를 측정하여, 상기 제 1 구역의 온도가 상기 제 1 구역의 타겟 온도 미만이면 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 증가시킴으로써, 상기 제 1 흐름 통로를 통해 흐르는 액체의 온도를 증가시키거나, 상기 제 1 구역의 온도가 상기 타겟 온도를 초과하면 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 감소시킴으로써, 상기 제 1 흐름 통로를 통해 흐르는 액체의 온도를 감소시키는 단계; 및
    상기 제 2 구역의 온도를 측정하여, 상기 제 2 구역의 온도가 상기 제 2 구역의 타겟 온도 미만이면 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 증가시킴으로써, 상기 제 2 흐름 통로를 통해 흐르는 액체의 온도를 증가시키거나, 상기 제 2 구역의 온도가 상기 타겟 온도를 초과하면 상기 차가운 액체에 대한 상기 뜨거운 액체의 혼합비를 감소시킴으로써, 상기 제 2 흐름 통로를 통해 흐르는 액체의 온도를 감소시키는 단계를 포함하고,
    각각의 구역 내의 방위 온도 차이는 5℃ 미만인, 반도체 기판의 방위 온도 제어 방법.
  9. 제 8 항에 있어서,
    상기 다수의 구역들에 걸친 방위 온도 차이는 0.5℃ 미만이고,
    상기 반도체 기판에 걸친 방사상 온도 프로파일은, (a) 상기 반도체 기판에 걸쳐 완전히 균일한 온도, 또는 (b) 상기 반도체 기판에 걸쳐 불균일한 온도 사이에서 스텝-변경가능하며,
    상기 반도체 기판의 중심 영역은 상기 반도체 기판의 에지 영역보다 더 뜨겁거나, 상기 반도체 기판의 중심 영역은 상기 반도체 기판의 에지 영역보다 더 차가운, 반도체 기판의 방위 온도 제어 방법.
  10. 제 8 항에 있어서,
    상기 제 1 구역의 타겟 온도 및 상기 제 2 구역의 타겟 온도는, (a) 기판 반경을 따라 단조적으로 증가 또는 감소하거나, (b) 상기 기판 반경을 따라 비-단조적으로 증가 또는 감소하는, 반도체 기판의 방위 온도 제어 방법.
  11. 제 8 항에 있어서,
    상기 반응 챔버로 프로세스 가스를 도입하는 단계;
    상기 프로세스 가스를 플라즈마 상태로 에너자이징시키는 단계; 및
    상기 플라즈마로 상기 반도체 기판을 프로세싱하는 단계를 더 포함하며,
    상기 플라즈마로 상기 반도체 기판을 프로세싱하는 단계는, (a) 반도체 재료, 금속성 재료 또는 유전체 재료의 계층의 플라즈마 에칭하는 것, 또는 (b) 도전성 재료 또는 유전체 재료를 증착시키는 것 포함하는, 반도체 기판의 방위 온도 제어 방법.
  12. 제 1 항에 기재된 반도체 기판 지지부를 포함하는 플라즈마 프로세싱 장치로서,
    상기 플라즈마 프로세싱 장치는, 반도체, 금속성 재료 또는 유전체 재료를 에칭시키도록 구성된 플라즈마 에칭기이거나, 도전성 재료 또는 유전체 재료를 증착시키도록 구성된 증착 챔버인, 플라즈마 프로세싱 장치.
  13. 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부로서,
    베이스 부재;
    상기 베이스 부재 위에 놓이는 열적으로 절연인 세라믹 재료의 열 전달 부재로서, 상기 열 전달 부재는, 제 1 흐름 통로를 갖는 제 1 구역 및 제 2 흐름 통로를 갖는 제 2 구역을 갖고, 상기 흐름 통로들은 상기 열 전달 부재의 각각의 구역을 개별적으로 가열 및 냉각시키기 위해 액체를 순환시키도록 구성되는, 상기 열 전달 부재;
    상기 제 1 흐름 통로와 유체 연통하는 제 1 공통 라인;
    상기 제 2 흐름 통로와 유체 연통하는 제 2 공통 라인;
    뜨거운 액체 소스로부터의 제 1 공급 라인 및 상기 제 1 공통 라인과 유체 연통하는 제 1 밸브로서, 상기 제 1 밸브는 상기 제 1 공통 라인을 통한 상기 뜨거운 액체 소스로부터의 뜨거운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 1 밸브;
    차가운 액체 소스로부터의 제 2 공급 라인 및 상기 제 1 공통 라인과 유체 연통하는 제 2 밸브로서, 상기 제 2 밸브는 상기 제 1 공통 라인을 통한 상기 차가운 액체 소스로부터의 차가운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 2 밸브;
    상기 뜨거운 액체 소스로부터의 상기 제 1 공급 라인 및 상기 제 2 공통 라인과 유체 연통하는 제 3 밸브로서, 상기 제 3 밸브는 상기 제 2 공통 라인을 통한 상기 뜨거운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 3 밸브;
    상기 차가운 액체 소스로부터의 상기 제 2 공급 라인 및 상기 제 2 공통 라인과 유체 연통하는 제 4 밸브로서, 상기 제 4 밸브는 상기 제 2 공통 라인을 통한 상기 차가운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 4 밸브;
    (a) 상기 제 1 흐름 통로로의 상기 차가운 액체에 대한 상기 뜨거운 액체의 제 1 혼합비를 조정하기 위해 상기 제 1 밸브 및 상기 제 2 밸브를 독립적으로 제어하고, (b) 상기 제 2 흐름 통로로의 상기 차가운 액체에 대한 상기 뜨거운 액체의 제 2 혼합비를 조정하기 위해 상기 제 3 밸브 및 상기 제 4 밸브를 독립적으로 제어하도록 동작가능한 제어기; 및
    상기 열 전달 부재 위에 놓이며, 상기 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는 정전척을 포함하는, 기판 지지부.
  14. 제 13 항에 있어서,
    제 3 흐름 통로를 갖는 제 3 구역, 제 4 흐름 통로를 갖는 제 4 구역, 및 제 5 흐름 통로를 갖는 제 5 구역을 갖는, 상기 열 전달 부재;
    상기 제 3 흐름 통로와 유체 연통하는 제 3 공통 라인;
    상기 제 4 흐름 통로와 유체 연통하는 제 4 공통 라인;
    상기 제 5 흐름 통로와 유체 연통하는 제 5 공통 라인;
    상기 뜨거운 액체 소스로부터의 상기 제 1 공급 라인 및 상기 제 3 공통 라인과 유체 연통하는 제 5 밸브로서, 상기 제 5 밸브는 상기 제 3 공통 라인을 통한 상기 뜨거운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 5 밸브;
    상기 차가운 액체 소스로부터의 상기 제 2 공급 라인 및 상기 제 3 공통 라인과 유체 연통하는 제 6 밸브로서, 상기 제 6 밸브는 상기 제 3 공통 라인을 통한 상기 차가운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 6 밸브;
    상기 뜨거운 액체 소스로부터의 상기 제 1 공급 라인 및 상기 제 4 공통 라인과 유체 연통하는 제 7 밸브로서, 상기 제 7 밸브는 상기 제 4 공통 라인을 통한 상기 뜨거운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 7 밸브;
    상기 차가운 액체 소스로부터의 상기 제 2 공급 라인 및 상기 제 4 공통 라인과 유체 연통하는 제 8 밸브로서, 상기 제 8 밸브는 상기 제 4 공통 라인을 통한 상기 차가운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 8 밸브;
    상기 뜨거운 액체 소스로부터의 상기 제 1 공급 라인 및 상기 제 5 공통 라인과 유체 연통하는 제 9 밸브로서, 상기 제 9 밸브는 상기 제 5 공통 라인을 통한 상기 뜨거운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 9 밸브;
    상기 차가운 액체 소스로부터의 상기 제 2 공급 라인 및 상기 제 5 공통 라인과 유체 연통하는 제 10 밸브로서, 상기 제 10 밸브는 상기 제 5 공통 라인을 통한 상기 차가운 액체의 흐름양을 제어하도록 동작가능한, 상기 제 10 밸브;
    (c) 상기 제 3 흐름 통로로의 상기 차가운 액체에 대한 상기 뜨거운 액체의 제 3 혼합비를 조정하기 위해 상기 제 5 밸브 및 상기 제 6 밸브를 독립적으로 제어하고, (d) 상기 제 4 흐름 통로로의 상기 차가운 액체에 대한 상기 뜨거운 액체의 제 4 혼합비를 조정하기 위해 상기 제 7 밸브 및 상기 제 8 밸브를 독립적으로 제어하며, (e) 상기 제 5 흐름 통로로의 상기 차가운 액체에 대한 상기 뜨거운 액체의 제 5 혼합비를 조정하기 위해 상기 제 9 밸브 및 상기 제 10 밸브를 독립적으로 제어하도록 또한 동작가능한, 상기 제어기를 더 포함하는, 기판 지지부.
  15. 제 13 항에 있어서,
    상기 열 전달 부재는 원형판이며, 각각의 구역은 상기 원형판의 중심에 대해 상이한 반경 거리에서 동심적으로 배열되고, 상기 구역의 각각에서 방위 온도 균일도가 1℃보다 작은, 기판 지지부.
  16. 제 15 항에 있어서,
    상기 제 1 흐름 통로, 상기 제 2 흐름 통로, 상기 제 3 흐름 통로, 상기 제 4 흐름 통로, 및 상기 제 5 흐름 통로는, 복귀 라인과 유체 연통하며,
    상기 복귀 라인은 상기 뜨거운 액체 소스 또는 상기 차가운 액체 소스와 유체 연통하는, 기판 지지부.
  17. 플라즈마 프로세싱 장치의 반응 챔버에 유용한 기판 지지부로서,
    베이스 부재;
    상기 베이스 부재 위에 놓이는 열적으로 절연인 세라믹 재료의 열 전달 부재로서, 상기 열 전달 부재는, 제 1 흐름 통로를 갖는 제 1 구역 및 제 2 흐름 통로를 갖는 제 2 구역을 갖고, 상기 흐름 통로들은 상기 열 전달 부재의 각각의 구역을 개별적으로 가열 및 냉각시키기 위해 액체를 순환시키도록 구성되는, 상기 열 전달 부재;
    상기 제 1 흐름 통로 및 액체 소스와 유체 연통하는 공급 라인;
    상기 공급 라인을 따르는 제 1 가열 엘리먼트로서, 상기 제 1 가열 엘리먼트는, 액체가 상기 제 1 흐름 통로에서 순환되기 전에, 상기 액체 소스로부터 흐르는 액체를 제 1 온도로 가열시키도록 구성되는, 상기 제 1 가열 엘리먼트;
    상기 제 1 흐름 통로 및 상기 제 2 흐름 통로와 유체 연통하는 제 1 전달 라인으로서, 상기 제 1 전달 라인은 상기 제 1 흐름 통로로부터 상기 제 2 흐름 통로로 액체를 흐르게 하도록 구성되는, 상기 제 1 전달 라인;
    상기 제 1 전달 라인을 따르는 제 2 가열 엘리먼트로서, 상기 제 2 가열 엘리먼트는, 상기 제 2 흐름 통로에서 순환하기 전에, 액체를 제 2 온도로 가열시키도록 구성되는, 상기 제 2 가열 엘리먼트;
    각각의 가열 엘리먼트로의 전력을 조정함으로써 각각의 구역의 온도를 독립적으로 제어하기 위해 각각의 가열 엘리먼트를 제어하는 제어기; 및
    상기 열 전달 부재 위에 놓이며, 상기 플라즈마 프로세싱 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는 정전척을 포함하는, 기판 지지부.
  18. 제 17 항에 있어서,
    제 3 흐름 통로를 갖는 제 3 구역, 제 4 흐름 통로를 갖는 제 4 구역, 및 제 5 흐름 통로를 갖는 제 5 구역을 갖는, 상기 열 전달 부재;
    상기 제 2 흐름 통로 및 상기 제 3 흐름 통로와 유체 연통하는 제 2 전달 라인으로서, 상기 제 2 전달 라인은 상기 제 2 흐름 통로로부터 상기 제 3 흐름 통로로 액체를 흐르게 하도록 구성되는, 상기 제 2 전달 라인;
    상기 제 2 전달 라인을 따르는 제 3 가열 엘리먼트로서, 상기 제 3 가열 엘리먼트는 상기 제 3 흐름 통로에서 순환하기 전에, 액체를 제 3 온도로 가열시키도록 구성되는, 상기 제 3 가열 엘리먼트;
    상기 제 3 흐름 통로 및 상기 제 4 흐름 통로와 유체 연통하는 제 3 전달 라인으로서, 상기 제 3 전달 라인은 상기 제 3 흐름 통로로부터 상기 제 4 흐름 통로로 액체를 흐르게 하도록 구성되는, 상기 제 3 전달 라인;
    상기 제 3 전달 라인을 따르는 제 4 가열 엘리먼트로서, 상기 제 4 가열 엘리먼트는 상기 제 4 흐름 통로에서 순환하기 전에, 액체를 제 4 온도로 가열시키도록 구성되는, 상기 제 4 가열 엘리먼트;
    상기 제 4 흐름 통로 및 상기 제 5 흐름 통로와 유체 연통하는 제 4 전달 라인으로서, 상기 제 4 전달 라인은 상기 제 4 흐름 통로로부터 상기 제 5 흐름 통로로 액체를 흐르게 하도록 구성되는, 상기 제 4 전달 라인;
    상기 제 4 전달 라인을 따르는 제 5 가열 엘리먼트로서, 상기 제 5 가열 엘리먼트는 상기 제 5 흐름 통로에서 순환하기 전에, 액체를 제 5 온도로 가열시키도록 구성되는, 상기 제 5 가열 엘리먼트; 및
    상기 제 5 흐름 통로 및 상기 액체 소스와 유체 연통하는 복귀 라인으로서, 상기 복귀 라인은 상기 제 5 흐름 통로로부터 상기 액체 소스로 액체를 흐르게 하도록 구성되는, 상기 복귀 라인을 더 포함하는, 기판 지지부.
  19. 제 17 항에 있어서,
    각각의 구역에서 온도 센서를 더 포함하며,
    상기 온도 센서는, 각각의 구역에서 온도를 측정하고 상기 제어기에 입력 신호들을 공급하도록 구성되거나,
    상기 제 1 전달 라인은 순방향 또는 역방향으로 상기 제 1 흐름 통로로부터 상기 제 2 흐름 통로로 액체를 흐르게 하도록 구성되는, 기판 지지부.
KR1020167033652A 2008-11-12 2009-11-03 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어 KR20160141873A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/292,081 2008-11-12
US12/292,081 US20100116788A1 (en) 2008-11-12 2008-11-12 Substrate temperature control by using liquid controlled multizone substrate support
PCT/IB2009/054876 WO2010055441A2 (en) 2008-11-12 2009-11-03 Improved substrate temperature control by using liquid controlled multizone substrate support

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117010737A Division KR20110083666A (ko) 2008-11-12 2009-11-03 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어

Publications (1)

Publication Number Publication Date
KR20160141873A true KR20160141873A (ko) 2016-12-09

Family

ID=42164256

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167033652A KR20160141873A (ko) 2008-11-12 2009-11-03 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어
KR1020117010737A KR20110083666A (ko) 2008-11-12 2009-11-03 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117010737A KR20110083666A (ko) 2008-11-12 2009-11-03 액체 제어된 멀티구역 기판 지지부의 사용에 의한 개선된 기판 온도 제어

Country Status (7)

Country Link
US (1) US20100116788A1 (ko)
EP (1) EP2366039A4 (ko)
JP (1) JP5546552B2 (ko)
KR (2) KR20160141873A (ko)
CN (2) CN105603376A (ko)
TW (2) TWI589719B (ko)
WO (1) WO2010055441A2 (ko)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8221580B2 (en) * 2005-10-20 2012-07-17 Applied Materials, Inc. Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops
CN101921987A (zh) * 2009-06-10 2010-12-22 鸿富锦精密工业(深圳)有限公司 溅镀镀膜装置
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101644673B1 (ko) * 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
TWI495757B (zh) * 2011-09-16 2015-08-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US10256123B2 (en) 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极***
KR102074632B1 (ko) * 2011-11-23 2020-02-06 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
CN103205717B (zh) * 2012-01-13 2016-12-21 鸿富锦精密工业(深圳)有限公司 盖体
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR20150013627A (ko) * 2012-04-26 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
CN103792842B (zh) * 2014-01-22 2016-08-17 清华大学 一种可用于功率场空间分布精细控制的基台及控制方法
CN103792974B (zh) * 2014-01-22 2015-12-02 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR102411194B1 (ko) 2014-09-04 2022-06-20 삼성전자주식회사 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102307839B1 (ko) * 2014-10-24 2021-10-05 세메스 주식회사 웨이퍼 지지용 척 구조물
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) * 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104947088B (zh) * 2015-06-16 2017-11-14 清华大学 调节温度场和/或等离子场的阻抗可控模块
TWI703671B (zh) * 2015-08-06 2020-09-01 美商應用材料股份有限公司 螺接式晶圓夾具熱管理系統及用於晶圓處理系統的方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6584286B2 (ja) * 2015-10-26 2019-10-02 日本発條株式会社 ヒータユニット
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10764966B2 (en) 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101910347B1 (ko) * 2016-12-05 2018-10-23 주식회사 글로벌스탠다드테크놀로지 반도체 제조설비의 고도화 온도제어장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106893982A (zh) * 2017-03-30 2017-06-27 京东方科技集团股份有限公司 一种冷却板和蒸镀装置
CN110462812A (zh) * 2017-03-31 2019-11-15 朗姆研究公司 具有灵活的晶片温度控制的静电卡盘
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510564B2 (en) * 2018-01-10 2019-12-17 Lam Research Corporation Dynamic coolant mixing manifold
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
CN111441038B (zh) * 2020-03-20 2023-08-22 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN111415887A (zh) * 2020-03-27 2020-07-14 宁波润华全芯微电子设备有限公司 一种晶圆加热装置
KR102677038B1 (ko) * 2020-05-22 2024-06-19 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
CN113512665B (zh) * 2021-07-14 2021-12-21 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台
CN118382720A (zh) * 2021-10-29 2024-07-23 朗姆研究公司 控制喷头冷却的热传导性调节
WO2023239585A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Substrate temperature control with integrated thermoelectric cooling system
CN116313946B (zh) * 2023-05-24 2023-10-17 长鑫存储技术有限公司 温度调节***及调节方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5538529A (en) * 1993-09-10 1996-07-23 Bion Technologies, Inc. Bioconverted nutrient rich humus
JPH0863236A (ja) * 1994-08-22 1996-03-08 Komatsu Electron Kk 温度制御装置
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US6866094B2 (en) * 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
US6415858B1 (en) * 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
JPH11329926A (ja) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd 基板冷却装置および基板冷却方法
US5996353A (en) * 1998-05-21 1999-12-07 Applied Materials, Inc. Semiconductor processing system with a thermoelectric cooling/heating device
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
AU5448200A (en) * 1999-05-27 2000-12-18 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
JP2004507886A (ja) * 2000-07-21 2004-03-11 テンプトロニック コーポレイション 温度制御された自動試験用熱プラットフォーム
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
JP5000842B2 (ja) * 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
JP4549022B2 (ja) * 2001-04-30 2010-09-22 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
TWI260725B (en) * 2002-07-11 2006-08-21 Temptronic Corp Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules and method for supporting a workpiece with the workpiece chuck
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7663860B2 (en) * 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
CN100452306C (zh) * 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Also Published As

Publication number Publication date
WO2010055441A3 (en) 2010-07-08
TWI589719B (zh) 2017-07-01
TWI546408B (zh) 2016-08-21
CN102197156A (zh) 2011-09-21
TW201631190A (zh) 2016-09-01
US20100116788A1 (en) 2010-05-13
JP2012508991A (ja) 2012-04-12
CN105603376A (zh) 2016-05-25
KR20110083666A (ko) 2011-07-20
JP5546552B2 (ja) 2014-07-09
TW201033398A (en) 2010-09-16
EP2366039A4 (en) 2013-09-18
WO2010055441A2 (en) 2010-05-20
EP2366039A2 (en) 2011-09-21

Similar Documents

Publication Publication Date Title
TWI546408B (zh) 藉由液體控制之多區基板支座而改善基板溫度控制
US12002661B2 (en) Susceptor having cooling device
US7354501B2 (en) Upper chamber for high density plasma CVD
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
US7074298B2 (en) High density plasma CVD chamber
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
CN107527842B (zh) 基板支撑组件及导热基底
JP6076246B2 (ja) ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品
KR100904361B1 (ko) 기판의 온도제어방법 및 시스템
US9248509B2 (en) Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
JP2021525454A (ja) 極めて均一性が高い加熱基板支持アセンブリ
WO2014164449A1 (en) Multi-zone heated esc with independent edge zones
JP2008187063A (ja) プラズマ処理装置
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
US7811411B2 (en) Thermal management of inductively coupled plasma reactors
TW201438099A (zh) 包含各向異性材料之基板處理腔室部件
US20040161536A1 (en) Method for depositing a low-k material having a controlled thickness range
KR20230022212A (ko) 복수의 가열된 존들 및 열적 보이드들을 사용한 페데스탈 열적 프로파일 튜닝
WO2024072701A1 (en) Fluid conduit and flange for high bias applications
WO2021168027A1 (en) Coolant channel with internal fins for substrate processing pedestals
CN118382720A (zh) 控制喷头冷却的热传导性调节
WO2023239585A1 (en) Substrate temperature control with integrated thermoelectric cooling system

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment