KR20140041501A - 리소그라피 시스템에서 기판을 전달하기 위한 장치 - Google Patents

리소그라피 시스템에서 기판을 전달하기 위한 장치 Download PDF

Info

Publication number
KR20140041501A
KR20140041501A KR1020137031510A KR20137031510A KR20140041501A KR 20140041501 A KR20140041501 A KR 20140041501A KR 1020137031510 A KR1020137031510 A KR 1020137031510A KR 20137031510 A KR20137031510 A KR 20137031510A KR 20140041501 A KR20140041501 A KR 20140041501A
Authority
KR
South Korea
Prior art keywords
substrate
fingers
support structure
substrate support
load lock
Prior art date
Application number
KR1020137031510A
Other languages
English (en)
Other versions
KR101614475B1 (ko
Inventor
빈센트 실버스타 쿠퍼
에르윈 슬롯
마르셀 니콜라스 제이콥스 반 커빈크
가이도 데 보어
헨드릭 얀 데 종
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20140041501A publication Critical patent/KR20140041501A/ko
Application granted granted Critical
Publication of KR101614475B1 publication Critical patent/KR101614475B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

리소그라피 시스템 내에서 기판들을 전달하기 위한 장치로서, 상기 리소그라피 시스템은 클램핑된 기판을 형성하기 위해 기판 지지 구조 상에 기판을 클램핑하기 위한 기판 준비 유닛 및 언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스를 포함한다. 상기 장치는 언클램핑된 기판을 전달하기 위한 핑거들의 제 1 세트 및 기판 지지 구조를 전달하기 위한 핑거들의 제 2 세트가 제공된 바디를 포함하고, 상기 핑거들의 제 1 세트는 핑거들의 제 2 세트 아래에 위치되고 핑거들의 제 1 세트의 핑거들은 핑거들의 제 2 세트의 핑거들과 상이한 형상을 갖는다.

Description

리소그라피 시스템에서 기판을 전달하기 위한 장치{APPARATUS FOR TRANSFERRING A SUBSTRATE IN A LITHOGRAPHY SYSTEM}
본 발명은 리소그라피 시스템 내에서 기판들을 전달하기 위한 장치에 관한 것이며, 상기 리소그라피 시스템은 클램핑된 기판을 형성하기 위해 기판 지지 구조 상에 기판을 플램핑하기 위한 기반 준비 유닛 및 언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스를 포함한다.
[02]반도체 산업에서, 높은 정확도 및 신뢰도로 더 작은 구조들을 제조하고자 하는 계속 증가하는 요구는 웨이퍼 프로세싱 기술에 대한 중대한 요구사항들을 제시한다. 특히, 바닥 공간(floor space)의 과도한 이용 없이 그리고 최저 자본 비용들 및 운용 비용들을 유지면서 웨이퍼 프로세싱 장비의 웨이퍼 쓰루풋을 최대화하는 것이 중요하다. 반도체 제조 환경에서 바닥 공간은 대부분의 공간이 높은 표준의 청정실 조건들을 충족할 필요가 있기 때문에 고가이다. 그러므로 웨이퍼 프로세싱 장비에 의해 점유되는 바닥 공간, 즉, 이른바 풋프린트(footprint)는 바람직하게는 가능한 한 제한된다. 또한, 청정실 조건들이 유지될 수 있음을 보장하기 위해, 웨이퍼 프로세싱 장비는 바람직하게는, 청정실 내에서 서비스된다.
[03] 웨이퍼 상에서 집적 회로들을 제조하는 데 있어 매우 중요한 단계는 리소그라피이다. 리소그라피 프로세스에서, 미리 결정된 패턴이 종종 웨이퍼로서 지칭되는 반도체 기판 상에 전사된다. 현재, 리소그라피 장치로 패터닝되는 구조들의 최소의 치수는 크기가 약 70nm이다. 그러나 훨씬 더 복잡한 회로들을 생산하기 위해 더 작은 크기의 구조들이 요구된다.
[0004] 리소그라피 시스템들의 쓰루풋은 또한 중요한 팩터이다. 하전 입자 리소그라피 머신들은 극도로 작은 치수들로 기판들을 패터닝할 수 있지만, 쓰루풋이 낮다. 현재, 시간 당 약 100개의 웨이퍼들을 패터닝할 수 있는 광학 리소그라피 머신들이 이용 가능하다. 각각이 시간 당 약 10개의 웨이퍼들을 패터닝할 수 있는 10개의 하전 입자 리소그라피 머신들의 클러스터가 이 쓰루풋을 매칭할 수 있다.
[0005] 각각의 리소그라피 머신에 노출될 기판들의 효율적인 전달 및 각각의 리소그라피 머신으로부터 노출되는 기판들의 리트리브는 전체적으로 시스템의 쓰루풋을 최대화하는데 있어서 중요한 팩터이다.
[0006] 본 발명은 일 양상에 따라 리소그라피 시스템 내에서 기판들을 전달하기 위한 장치를 제공하며, 상기 리소그라피 시스템은 언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스 및 클램핑된 기판을 형성하기 위해 언클램핑된 기판을 기판 지지 구조에 클램핑하기 위한 기판 준비 유닛을 포함한다. 상기 장치는 언클램핑된 기판을 전달하기 위한 핑거들의 제 1 세트 및 클램핑된 기판을 전달하기 위한 핑거들의 제 2 세트가 제공된 바디를 포함한다(즉, 기판 및 기판 지지 구조는 함께 클램핑됨). 상기 핑거들의 제 1 세트는 상기 핑거들의 제 2 세트 아래에 위치되고 상기 핑거들의 제 1 세트의 핑거들은 상기 핑거들의 제 2 세트의 핑거들과 상이한 형상을 갖는다.
[7] 상기 핑거들의 제 1 및 제 2 세트들의 핑거들은 상기 바디로부터 동일한 방향으로 연장할 수 있다. 상기 핑거들의 제 1 세트의 핑거들은 충분히 상기 핑거들의 제 2 세트의 핑거들 아래에 배열되어서 상기 핑거들의 제 1 세트의 핑거들은 상기 핑거들의 제 2 세트에 의해 전달되는 기판 지지 구조와 간섭하지 않는다 기판들을 전달하기 위한 장치는 이에 따라 더 작고 더 가벼운 언클램핑된 기판 및 더 크고 더 무거운 클램핑된 기판들(클램핑된 기판은 기판 및 기판 지지 구조 양자를 포함하기 때문에) 양자를 전달하도록 배열된다.
[8] 상기 핑거들의 제 1 세트의 핑거들은 상기 언클램핑된 기판의 반경을 초과하는 바디로부터 연장하는 길이를 갖는 직선의 실질적으로 평행한 막대들의 형태를 취할 수 있다. 상기 핑거들의 제 1 세트의 핑거들은 언클램핑된 기판을 안전하게 리프팅하기 위한 안정적인 플랫폼을 제공하기 위해 언클램핑된 기판의 직경 미만의 거리들에 의해 분리될 수 있다. 상기 핑거들의 제 2 세트의 핑거들은 상기 기판 지지 구조의 반경을 초과하는 바디로부터 연장하는 길이를 갖는 대향하는 초승달 구조의 형태를 취할 수 있다. 상기 핑거들의 제 2 세트의 핑거들은 적어도 부분적으로 기판 지지 구조를 둘러싸도록 배열될 수 있고 그의 둘레 주위의 2개 이상의 지점들에서 기판 지지 구조와 맞물리고 이를 홀딩하도록 배열될 수 있다. 상기 바디는 실질적으로 수직 방향으로 이동 가능한 베이스(base) 및 실질적으로 수평 평면으로의 이동을 위한 하나 이상의 섹션들을 포함하는 로봇 암(robot arm) 상에 마운트될 수 있다. 베이스는 수직 레일 상에서 위로 및 아래로 이동할 수 있다. 이 섹션들은 연결된 암들의 형태를 취할 수 있으며 로봇 암은 축을 중심으로 선회하고 수평 방향으로 연장할 수 있는 2개의 연결된 암들을 포함한다. 기판들을 전달하기 위한 장치는 이에 따라 상이한 높이들의 위치들 사이에서, 예를 들어, 수직 적층 어레인지먼트로 배열되는 인터페이스, 기판 준비 유닛, 및 로드 록 사이에서 언클램핑된 및 클램핑된 기판들을 전달하도록 배열될 수 있다. 상기 베이스는 로봇 공간 내의 수직 이동을 위해 배열될 수 있고, 상기 로봇 암은 상이한 높이들의 위치들 사이에서 수평으로 상기 언클램핑된 및 클램핑된 기판들을 전달하도록 배열될 수 있고 상기 로봇 공간은 상이한 위치들 사이에서 기판들을 전달하기 위한 것이다.
[9] 기판 전달 장치는 상기 인터페이스로 그리고 상기 인터페이스로부터 언클램핑된 기판들을 전달하도록 배열된 수평 전달 장치를 더 포함할 수 있다. 상기 인터페이스는 3개 이상의 핀들을 포함하고, 상기 핀들은 상기 기판 전달 장치의 핑거들의 제 1 세트에 의해 액세스 가능한 제 1 위치로부터 상기 수평 전달 장치에 의해 액세스 가능한 제 2 위치로 언클램핑된 기판을 리프트(lift)하기 위한 수직 이동을 위해 배열된다.
[10] 다른 양상에 따라, 본 발명은 기판들이 리소그라피 시스템 유닛에서 로드 록 시스템 내에 클램핑되는 구조들을 전달하기 위한 로드 록 전달 장치를 제공한다. 상기 장치는 상기 기판 지지 구조를 전달하기 위한 적어도 2개의 핑거들이 제공되는 바디를 포함하고, 핑거들은 상이한 높이 레벨들로 위치된다. 상기 핑거들은 상기 기판 지지 구조의 반경을 초과하는, 바디로부터의 연장하는 길이를 갖는 대향하는 초승달 구조들의 형태를 취할 수 있다. 상기 핑거들은 상기 기판 지지 구조를 적어도 부분적으로 둘러싸도록 배열될 수 있다.
[11] 본 발명의 다른 양상은 리소그라피 시스템에 관한 것이며, 상기 리소그리피 시스템은 상기 기판을 패터닝하기 위해 진공 챔버에 배열된 리소그라피 장치; 상기 진공 챔버 내부로 및 외부로 기판들을 전달하기 위한 로드 록 시스템; 클램핑된 기판을 형성하도록 기판 지지 구조 상에 기판을 클램핑하기 위한 기판 준비 유닛; 언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스; 및 위에서 기술된 바와 같은 리소그라피 시스템 내에서 기판들을 전달하기 위한 기판 전달 장치를 포함한다. 상기 로드 록 시스템에는 위에서 기술된 바와 같이 기판이 클램핑되는 구조들을 전달하기 위한 로드 록 전달 장치가 제공되고, 상기 로드 록 전달 장치는 상기 기판 전달 장치로부터 기판 지지 구조들을 수용하고 상기 리소그라피 장치 내에 상기 기판 지지 구조들을 배치하도록 그리고 상기 리소그라피 장치로부터 기판 지지 구조들을 제거하고 상기 기판 지지 구조들을 상기 기판 전달 장치에 제공하도록 구성된다.
[12] 본 발명의 다른 양상은 리소그라피 시스템에서 이용하기 위한 기판을 전달하기 위한 기판 지지 구조에 관한 것이며, 상기 기판 지지 구조는, 기판을 수용하기 위한 표면이 제공되는 바디; 및 상기 기판 지지 구조의 둘레를 따라 위치되는 (립(lip)들 형태일 수 있는) 2개의 돌출부들을 포함하고, 상기 돌출부들은 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치된다. 상기 제 1 높이 레벨은 상기 기판 지지 구조 및 그 위에 클램핑된 기판의 조합의 질량의 중심 위에 있을 수 있다. 상기 2개의 돌출부들은 상기 기판 지지 구조의 한 측을 따라 위치될 수 있다. 상기 기판 지지 구조는 기판 지지 구조의 다른 대향하는 측에 위치되는 추가의 돌출부를 더 포함할 수 있다. 이 어레인지먼트는 기판들을 전달하기 위한 장치의 핑거들이 돌출부들과 맞물리도록 허용하고 이에 따라 그것을 안전하게 전달하도록 그의 둘에 주위의 3개의 지점들에서 기판 지지 구조와 맞물리게 된다.
[13] 상기 구조는 3개의 부가적인 돌출부들을 더 포함할 수 있고, 상기 부가적인 돌출부들 중 2개는 상기 기판 지지 구조의 한 측을 따라 위치되는 2개의 돌출부들 사이에 제 1 높이 레벨로 제공되고, 상기 부가적인 돌출부들의 제 3 돌출부는 제 2 높이 레벨로 상기 기판 지지 구조의 다른 대향하는 측에 제공될 수 있고, 상기 제 2 높이 레벨은 상기 제 1 높이 레벨보다 낮다. 이 어레인지먼트는 기판 지지 구조가 상이한 높이들의 핑거들을 갖는 기판들을 전달하기 위한 장치에 의해 전달되도록 허용하며, 상위 핑거는 제 1 높이 레벨에서 돌출부들과 맞물리도록 배열되고 하위 핑거는 제 2 높이 레벨에서 제 3 돌출부와 맞물리도록 배열된다. 돌출부들의 높이 차이에 매칭하는 상이한 높이들의 필더들을 갖는 전달 장치 및 상이한 높이들의 돌출부들을 가짐으로써, 기판 지지 구조는 둘 간의 기판 지지 구조의 핸드-오프를 용이하게 하면서 안전하게 전달될 수 있다.
[14] 본 발명의 다른 양상은 표면 상에 기판이 제공되는 기판 지지 구조를 핸드 오버(hand over)하기 위한 방법에 관한 것이다. 기판 지지 구조는 상기 기판을 수용하기 위한 표면이 제공되는 바디; 상기 기판 지지 구조의 둘레 주위에 위치되는 2개 이상의 제 1 돌출부들 - 상기 제 1 돌출부들 중 적어도 하나는 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치됨 - ; 상기 기판 지지 구조의 둘레 주위에 위치되는 2개 이상의 제 2 돌출부들 - 상기 제 2 돌출부들 중 적어도 하나는 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치되고, 상기 제 2 돌출부들 중 적어도 하나는 상기 제 1 높이 레벨보다 낮은 제 2 높이로 위치로 위치된다. 상기 방법은 위에서 기술된 바와 같은 기판 전달 장치로 상기 기판 지지 구조를 픽업(pick up)하여 상기 핑거들의 제 2 세트의 핑거들이 상기 제 1 돌출부와 맞물리게 하는 단계; 위에서 기술된 바와 같은 로드 록 전달 장치로 상기 기판 지지 구조를 이동시키는 단계; 상기 로드 록 전달 장치의 핑거들이 상기 제 2 돌출부들과 맞물리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계; 및 상기 기판 전달 장치들의 핑거들이 상기 제 1 돌출부들로부터 맞물림이 풀리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계; 및 상기 기판 지지 구조가 상기 로드 록 전달 장치에 의해 전달되도록 상기 기판 전달 장치를 접는 단계를 포함한다.
[15] 상기 로드 록 전달 장치의 핑거들이 상기 제 2 돌출부들과 맞물리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계는, 상기 기판 전달 장치의 핑거들 중 적어도 하나 및 상기 로드 록 전달 장치의 핑거들 중 적어도 하나가 상기 기판 지지 구조의 둘레 주위에 상이한 높이들로 서로 중첩하도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계를 포함한다.
[16] 현재 발명된 원리들은 다양한 방식들로 실시되도록 세팅될 수 있다는 것이 자명할 것이다.
[17]본 발명의 다양한 양상들은 도면들에서 도시되는 실시예들을 참조하여 추가로 설명될 것이다.
도 1은 하전 입자 리소그라피 장치의 일 실시예의 단순화된 개략도.
도 2는 모듈러 리소그리피 장치의 단순화된 블록도.
도 3a는 리소그라피 시스템의 레이아웃의 상면도.
도 3b는 도 3a의 리소그라피 시스템의 부분의 측면 단면도를 개략적으로 도시하는 도면.
도 3c는 도 3a의 리소그라피 시스템의 다른 부분의 측면도를 개략적으로 도시하는 도면.
도 4는 클러스터된 하전 입자 리소그라피 시스템 내의 리소그라피 시스템을 개략적으로 도시하는 도면.
도 5는 리소그라피 시스템 유닛에서 기판 핸들링 로봇의 예시적인 궤도(trajectory)를 개략적으로 도시하는 도면.
도 6은 클러스터된 리소그라피 시스템을 도시하는 도면.
도 7은 그의 커버가 제거된 클러스터된 리소그라피 시스템의 부분을 도시하는 도면.
도 8a, 8b는 기판 전달의 상이한 스테이지들에서 기판 전달 시스템과 준비 시스템 간의 인터페이스를 도시하는 도면.
도 9a, 9b는 본 발명의 일 실시예에 따른 캐리어를 개략적으로 도시하는 도면.
도 10은 로드 록 시스템에서 이용하기 위한 클램핑된 기판 핸들링 유닛을 개략적으로 도시하는 도면.
도 11은 기판이 클램핑되는 기판 지지 구조의 배치를 위한 기판 준비 유닛을 도시하는 도면.
도 12는 로드 록 시스템에서 사용하기 위한 클램핑된 기판 핸들링 로봇을 개략적으로 도시하는 도면.
도 13a는 기판 준비 유닛으로부터 로드 록 시스템으로 클램핑된 기판의 전달을 도시하는 도면.
도 13b는 도 13a에서 도시된 로드 록 시스템의 보다 상세한 도면을 도시하는 도면.
도 14a, 14b는 로드 록 시스템으로부터 기판 준비 유닛으로 프로세싱된 클램핑된 기판의 전달을 개략적으로 도시하는 도면들.
도 15a, 15b는 로드 록 시스템 내에서 클램핑된 기판들의 변위의 2개의 상이한 스테이지들을 도시하는 도면들.
도면들을 참조하여, 그리고 단지 예로서 주어지는 본 발명의 다양한 실시예들의 설명이 이어진다.
[39] 도 1은 하전 입자 리소그라피 장치(100)의 실시예의 단순화된 개략도를 도시한다. 이러한 리소그라피 시스템들은 예를 들어, 미국 특허 번호 제6,897,458호 및 제6,958,804호 및 제7,019,908호 및 제7,084,414호 및 제7,129,502호, 미국 특허 출원 공개 번호 제2007/0064213호 및 공동-계류중인 미국 특허 출원 번호 제61/031,573호 및 제61/031,594호 및 제61/045,243호 및 제61/055,839호 및 제61/058,596호 및 제61/101,682호에서 기술되며, 이들 모두는 본 발명의 소유자에게 양도되었으며 이들 모두는 그에 의해 그 전체가 인용에 의해 포함된다.
[40] 도 1에서 도시된 실시예에서, 리소그라피 장치(100)는 확장 전자 빔(120)을 생성하기 위한 전자 소스(101)를 포함한다. 확장 전자 빔(120)은 시준기 렌즈 시스템(102)에 의해 시준된다. 시준된 전자 빔(121)은 복수의 빔렛(beamlet)들(122)을 생성하도록 빔의 일부를 차단하는 구멍 어레이(103)에 부딪힌다. 시스템은 매우 다수의 빔렛들(122), 바람직하게는 약 10,000 내지 1,000,000개의 빔렛들을 생성한다.
[41] 전자 빔렛들(122)은 전자 빔렛들 중 하나 이상을 편향시키기 위한 복수의 블랭커들을 포함하는 빔 블랭커 어레이(105)의 평면에서 전자 빔렛들(122)을 포커싱하는 콘덴서 렌즈 어레이(104)를 통과한다. 편향된 및 비편향된 전자 빔렛들(123)은 복수의 구멍들을 갖는 빔 정지 어레이(108)에 도달한다. 빔렛 블랭커 어레이(105) 및 빔 정지 어레이(108)는 빔렛들(123)을 통과 또는 차단하도록 함께 동작한다. 빔렛 블랭커 어레이(105)가 빔렛을 편향시키는 경우, 빔렛은 빔 정지 어레이(108) 내의 대응하는 구멍을 관통하는 것이 아니라, 대신 차단될 것이다. 그러나 빔렛 블랭커 어레이(105)가 빔렛을 편향시키지 않는 경우, 빔렛은 빔 정지 어레이(108) 내의 대응하는 구멍 및 빔 편향기 어레이(109) 및 프로젝션 렌즈 어레이들(110)을 통과할 것이다.
[42] 빔 편향기 어레이(109)는 타겟 또는 기판(130)의 표면에 걸쳐서 빔렛들을 스캔하도록 비편향된 빔렛들의 방향에 실질적으로 수직인 X 및/또는 Y 방향으로 각각의 빔렛(124)의 편향을 제공한다. 이어서, 빔렛들(124)은 프로젝션 렌즈 어레이들(110)을 통과하고 기판(130) 상에 프로젝팅된다. 프로젝션 렌즈 어레인지먼트는 바람직하게는 약 100 내지 500배의 축소를 제공한다. 빔렛들(124)은 기판을 전달하기 위한 이동 가능한 스테이지(132) 상에 위치되는 기판(130)의 표면에 부딪힌다. 리소그라피 애플리케이션들에 대해, 기판은 보통 하전-입자 민감층 또는 레지스트 층이 제공된 웨이퍼를 포함한다.
[43] 하전 입자 리소그라피 장치(100)는 진공 환경에서 동작한다. 진공은, 하전 입자 빔들에 의해 이온화되고 소스로 끌어당겨질 수 있고, 분리되어 기계 컴포넌트 상에 증착될 수 있고, 하전 입자 빔들을 분산시킬 수 있는 입자들을 제거하기 위해 요구된다. 적어도 10-6 bar의 진공이 통상적으로 요구된다. 진공 환경을 유지하기 위해, 하전 입자 리소그라피 시스템은 진공 챔버(140)에 위치된다. 리소그라피 장치(100)의 모든 주요한 엘리먼트들은 바람직하게는, 하전 입자 소스, 빔렛들을 기판 상에 프로젝팅하기 위한 프로젝터 시스템 및 이동 가능한 스테이지를 포함하는 공통 진공 챔버에 하우징된다.
[44] 일 실시예에서 하전 입자 소스 환경은 10-10 mbar까지의 상당히 더 높은 진공으로 상이하게 펌핑된다. 이러한 실시예에서, 소스는 별개의 챔버, 즉 소스 챔버에 위치될 수 있다. 소스 챔버의 압력 레벨을 펌핑 다운하는 것은 다음의 방식으로 수행될 수 있다. 우선, 진공 챔버 및 소스 챔버는 진공 챔버의 레벨로 펌핑 다운된다. 이어서 소스 챔버는 부가적으로 원하는 더 낮은 압력으로, 바람직하게는 당업자에 의해 알려진 방식으로 화학적 게터(chemical getter)에 의해 펌핑된다. 게터와 같이 재생적인, 화학적인 그리고 이른바 패시브 펌프(passive pump)를 이용함으로써, 소스 챔버 내의 압력 레벨은 이 목적을 위해 진공 터보 펌프를 필요로 하지 않고도 진공 챔버의 압력 레벨보다 낮은 레벨이 될 수 있다. 게터의 이용은, 진공 터보 펌프 또는 유사한 것이 이러한 목적을 위해 이용될 경우일 바와 같이, 진공 챔버의 내부 또는 중간 외부 부근이 음향적 및/또는 기계적 진동들을 받게 되는 것을 방지한다.
[45] 도 2는 모듈러 리소그라피 장치(200)의 주요한 엘리먼트들을 예시하는 단순화된 블록도를 도시한다. 리소그라피 장치(200)는 바람직하게는 유지보수(maintenanc)의 용이함을 허용하도록 모듈러 방식으로 설계된다. 주요한 서브시스템들은 바람직하게는, 독립적이고 제거 가능한 모듈들로 구성되어서, 이들은 가능한 한 다른 서브시스템들로의 교란이 적게 리소그라피 장치로부터 제거될 수 있다. 이는 머신으로의 액세스가 제한되는 경우 진공 챔버 내에 밀폐된 리소그라피 머신에 대해 특히 유리하다. 따라서 결함이 있는 서브시스템은 불필요하게 다른 시스템들을 단절하거나 교란함 없이 빠르게 제거 및 교체될 수 있다.
[46] 도 2에서 도시된 실시예에서, 이들 모듈러 서브시스템들은 하전 입자 빔 소스(101) 및 빔 시준 시스템(102)을 포함하는 조명 광학 모듈(201), 구멍 어레이(103) 및 콘덴서 렌즈 어레이(104)를 포함하는 구멍 어레이 및 콘덴서 렌즈 모듈(202), 빔렛 블랭커 어레이(105)를 포함하는 빔 스위칭 모듈(203), 및 빔 정지 어레이(108), 빔 편향기 어레이(109) 및 프로젝션 렌즈 어레이들(110)을 포함하는 프로젝션 광학 모듈(204)을 포함한다. 모듈들은 정렬 프레임으로부터 안으로 및 밖으로 미끄러지도록 설계된다. 도 2에서 도시된 실시예에서, 정렬 프레임은 정렬 내부 서브프레임(205) 및 정렬 외부 서브프레임(206)을 포함한다. 프레임(208)은 진동 댐핑 마운트들(207)을 통해 정렬 서브프레임들(205 및 206)을 지지한다. 기판(130)은 차례로 척(210) 상에 배치되는 기판 지지 구조(209) 상에 얹혀진다. 척(210)은 스테이지 쇼트 스트로크(stage short stroke)(211) 및 롱 스트로크(212) 상에 안착된다. 리소그라피 머신은 mu-금속 차폐 층 또는 층들(215)을 포함할 수 있는 진공 챔버(240) 내에 밀폐된다. 머신은 프레임 부재들(221)에 의해 지지되는 베이스 플레이트(220) 상에 얹혀진다.
[47] 각각의 모듈은 매우 다수의 전기 신호들 및/또는 광학 신호들 및 그의 동작을 위한 전기 전력을 요구한다. 진공 챔버(240) 내부의 모듈들은 챔버(240) 외부에 통상적으로 위치되는 제어 시스템들로부터 이들 신호들을 수신한다. 진공 챔버(240)는 케이블들 주위로 진공 밀봉을 유지하면서 제어 시스템들로부터 진공 하우징 내로 신호들을 전달할 수 있는 케이블들을 허여하기 위해 포트들로서 지칭되는 개구를 포함한다. 각각의 모듈은 바람직하게는 그 모듈에 전용되는 하나 이상의 포트들을 통해 라우팅되는 전기, 광학 및/또는 전력 케이블 접속들로 이루어진 자신의 집합물(collection)을 갖는다. 이는 다른 모듈들 중 임의의 것에 대한 케이블들을 교란함 없이 특정한 모듈에 대한 케이블들이 단절되고, 제거되고, 교체되는 것을 가능하게 한다.
[48] 도 3a는 본 발명의 일 실시예에 따른 리소그라피 시스템 유닛들의 그룹을 포함하는 리소그라피 시스템(300)의 레이아웃의 상면도를 도시한다. 이하, 레이아웃은 리소그라피 시스템(300) 또는 클러스터(300)로서 지칭될 수 있다. 도 3b는 리소그라피 시스템(300)의 부분의 측면 단면도를 개략적으로 도시한다.
[49] 이 특정한 실시예에서, 리소그라피 시스템(300)은 10개의 리소그라피 시스템 유닛들의 그룹을 포함한다. 리소그라피 시스템 유닛들은 5개의 2 로우(row)들로 연달아 배열된다. 클러스터(300) 바로 가까이에, 바닥 공간이 서비스 영역(305)으로서 보존된다. 각각의 리소그라피 시스템 유닛은 그 자신의 진공 챔버에 포함되는 리소그라피 장치(301)를 포함하며, 각각의 진공 챔버의 한 측면은 다른 로우의 리소그라피 시스템 유닛을 마주하는 반면에, 반대 측면은 클러스터(300)의 주변, 특히 서비스 영역(305)을 마주한다.
[50] 하전 입자 리소그라피 장치의 경우에, 진공 챔버는 바람직하게는, 하전 입자 소스, 하전 입자 빔렛들을 패터닝될 기판 상으로 프로젝팅하기 위한 프로젝터 시스템 및 이동 가능한 기판 스테이지들을 포함해서, 리소그라피 프로세싱을 가능하게 하는 모든 엘리먼트들을 포함한다. 예를 들어, 진공 챔버는 도 2를 참조하여 논의되는 챔버(240)에 대응할 수 있다.
[51] 서비스 목적들을 위해 제공되는 자유 영역에 마주하는 리소그라피 시스템 유닛의 한 측은 진공 챔버 내로 및 외부로 기판들을 전달하기 위한 로드 록 시스템(310)을 포함하고, 이러한 서비스 목적들을 위해 개방될 수 있는 액세스 도어(330)를 또한 포함한다.
[52] 리소그라피 시스템 유닛들에는 로드 록 시스템(310)과 동일한 측에 도어(330)가 제공된다. 도어(330)는 제거 가능하게 부착 가능하게 될 수 있으며, 예를 들어, 전달 유닛(340)을 이용함으로써 그 전체가 제거 가능하게 될 수 있다. 전달 유닛(340)은 도어(330)를 지지하도록 배열될 수 있으며 휠들 또는 레일들과 같은 하나 이상의 전달 엘리먼트들(345)을 포함할 수 있다. 리소그라피 장치(301)는 상승된 위치로 리소그라피 장치를 위치시키기 위해 지지 구조(335)에 의해 지지될 수 있다.
[53] 로드 록 시스템 및 액세스 도어가 위치되는 측의 자유 영역은 바람직하게는, 도어 및 로드 록의 풋프린트를 수용하기에 충분히 크다. 또한, 자유 영역은 리소그라피 장치의 컴포넌트들을 전달하기 위한 어레인지먼트(arrangement)의 풋프린트를 수용하기에 충분히 크다.
[54] 리소그라피 시스템(300)은 따라서 주변들을 향하는, 보다 구체적으로 리소그라피 시스템(300) 주변의 서비스 영역(305)을 향하는 로드 록 시스템(310) 및 도어(330)를 갖는 복수의 리소그라피 시스템 유닛들을 포함한다. 로드 록 시스템들(310) 및 도어들(330)의 "바깥쪽(outward)" 배향으로 인해, 진공 챔버들 내의 리소그라피 장치들(301)을 포함하는 리소그라피 시스템 유닛들은 서비스 영역(305)으로부터 직접 액세스 가능하다. 직접적인 액세스는 리소그라피 시스템(300)의 서비스를 단순화하며 리소그라피 시스템 또는 그의 부분의 고장시간(downtime)을 감소시킬 수 있다. 서비싱을 위해 단일의 특정한 진공 챔버를 개방하는 것은 리소그라피 시스템(300) 내의 다른 리소그라피 시스템 유닛들의 쓰루풋에 영향을 주지 않고 행해질 수 있다.
[55] 리소그라피 시스템 유닛들의 연달아 있는 레이아웃은 제한된 "풋프린트"를 리소그라피 시스템(300)에 제공한다. 공장 내의 바닥 공간은 귀중하고, 공장 바닥 공간의 효율적인 이용이 이에 따라 중요하다.
[56] 로드 록 시스템(310)은 도어(330) 내에 통합될 수 있다. 로드 록 시스템(310) 및 도어(330)의 통합은 리소그라피 시스템 유닛을 제조하는데 이용되는 물질의 양을 감소시킨다. 도어(330)의 부분은 로드 록 시스템(310)의 측벽들 중 하나로서 직접 이용될 수 있다. 물질 감소는 도어 및 로드 록 시스템 조합이 서비싱 동안 핸들링하기 더 쉽다는 이점을 갖는다. 또한, 제조 동안 더 적은 물질이 필요하기 때문에, 리소그라피 시스템을 제조하는 비용들이 또한 감소된다.
[57] 리소그라피 시스템(300)은 추가로 기판 공급 시스템(315)을 포함한다. 기판 공급 시스템(315)은 리소그라피 시스템(300)에 의해 프로세싱될 기판들을 수용하고 프로세싱을 위해 이들 기판들을 리소그라피 시스템 유닛들에 제공하도록 배열된다. 이는 유효하게는, 기판 공급 시스템(315)이 사전-프로세싱 목적들을 위해 준비 시스템(320)에 기판을 제공한다는 것을 의미할 수 있다. 패터닝 이후에, 기판 공급 시스템(315)은 패터닝된 기판들을 수집할 수 있다. 기판 공급 시스템(315)의 이용은 리소그라피 시스템(300)이 공장 내의 다른 장비와 효율적으로 협력하는 것을 가능하게 하는데, 그 이유는, 기판 공급 시스템(315)이 현재 이용되는 리소그라피 시스템들의 비교적 쉬운 교체를 허용하기 때문이다.
[58] 도 3c는 도 3a의 리소그라피 시스템(300)의 다른 측면 뷰를 개략적으로 도시한다. 도시된 실시예에서, 리소그라피 시스템(300)은 추가로 기판 공급 시스템(315)으로부터 기판들을 받고 및/또는 기판들을 기판 공급 시스템(315)에 보내기 위한 기판 전달 시스템(350)을 포함한다. 기판 전달 시스템(350)은 적합한 컨베이어 시스템, 예를 들어, 실질적으로 수평 방향으로 연장하는 컨베이어 시스템의 형태를 취할 수 있다.
[59] 바람직하게는, 기판 전달 시스템(350)은 리소그라피 시스템 유닛들의 도어들(330)에 간섭하지 않도록 설계된다. 이는 도 3c에서 도시된 바와 같이 달성될 수 있다. 이 실시예에서, 기판 전달 시스템(350)은 실질적으로 수평 방향으로 연장하고, 로드 록 시스템들(310)은 물론 리소그라피 시스템 유닛들의 준비 유닛들(220) 위에 배열된다. 그 결과, 리소그라피 시스템(300) 내의 단일의 리소그라피 시스템 유닛의 도어는 서비싱 목적들을 위해 개방될 수 있는 반면에, 기판 전달 시스템(350)은 기판 공급 시스템(315)과 리소그라피 시스템(300) 내의 다른 리소그라피 시스템 유닛들 간의 기판들의 전달을 지속할 수 있다.
[60] 도 3a 내지 도 3c를 참조하여 기술되는 레이아웃들은 제한된 복잡도를를 갖는 리소그라피 시스템 유닛들의 클러스터를 제공한다. 레이아웃은 더 쉽게 스케일링될 수 있다. 예를 들어, 리소그라피 시스템(300)이 80% 용량으로 동작할 필요가 있는 경우, 10개의 리소그라피 시스템 유닛들 중 8개만이 동작 및/또는 설치될 필요가 있다.
[61] 또한, 리소그라피 시스템(300)은 신뢰할 수 있는 쓰루풋을 제공할 수 있다. 하나의 리소그라피 시스템 유닛이 오작동하고 및/또는 서비싱을 요구하는 경우, 클러스터(300) 내의 다른 리소그라피 시스템 유닛들이 그들의 동작을 지속할 수 있다. 그 결과, 시간당 10개의 기판들 또는 웨이퍼들의 쓰루풋(wph)을 갖는 10개의 리소그라피 시스템 유닛들의 경우에, 하나의 리소그라피 시스템 유닛의 오작동은 클러스터(300)가 90% 효율로 작동을 지속하도록 허용한다. 즉, 리소그라피 시스템(300)은 이상적인 100wph 대신 9 x 10 wph = 90 wph의 쓰루풋으로 동작한다. 비교하면, 최신의 광학 리소그라피 장치는 100wph의 쓰루풋으로 동작할 수 있다. 그러나 이러한 광학 리소그라피 장치 내의 몇몇 컴포넌트가 오작동하는 경우, 전체 장치가 셧다운될 필요가 있어서 쓰루풋을 0wph까지 감소시킨다.
[62] 진공 챔버에 진입하기 이전에, 기판은 통상적으로 클램핑, 사전-정렬 및 펌프다운의 동작들을 거친다. 이 맥락에서, 클램핑은 이하 "클램프(clamp)"로서 지칭되는 단일의 구조를 형성하도록 기판 지지 구조 상에 기판을 제공하는 것으로서 정의된다. 또한, 용어 "클램핑된 기판(clamped substrate)"은 기판 지지 구조에 클램핑되는 기판을 지칭하도록 이용된다. 사전-정렬은 특정한 배향의 기판의 미리 결정된 부분 상에서 패터닝이 수행될 수 있도록 기판 및/또는 클램프를 정렬하는 것에 관련된다. 펌프 다운은 오염을 최소화하고 리소그라피 장치(301) 내로의 삽입 시에 진공 챔버 압력에 관한 기판의 영향을 감소시키기 위해 기판 주변의 압력을 감소시키는 단계에 관련된다.
[63] 리소그라피 장치(301)에 의해 수행되는 패터닝 동작 이후에, 기판은 통상적으로 벤팅(venting) 동작, 및 언클램핑 동작, 즉 기판 지지 구조로부터 기판을 분리하는 동작에 노출된다. 벤팅 및 언클랩핑 동작들 간에, 기판은 전달될 수 있다.
[64] 로드 록 시스템(310)은 진공 챔버 내의 진공 환경에 대한 인터페이스를 형성한다. 시스템(310)은 통상적으로 위에서 기술된 벤팅 동작 및 펌프 다운 동작을 위해 이용된다. 이 목적을 위해, 로드 록 시스템(310)은 압력이 레귤레이팅될 수 있는 하나 이상의 챔버들을 포함한다. 로드 록 시스템(310)은 펌프 다운 및 벤팅 동작들 둘 다에 적합한 단일의 챔버를 포함할 수 있다. 대안적으로 시스템(310)은 펌프 다운 및 벤팅을 위해 별개의 챔버들을 포함한다. 펌프 다운 동작을 위해, 시스템(310)은 챔버 내의 압력을 감소된 압력으로, 예를 들어, 리소그라피 장치(301)로 클램핑된 기판 및 기판 지지부의 전달에 적합한 진공으로 펌핑 다운하기 위한 펌프들을 포함한다. 벤팅 동작을 위해, 로드 록 시스템(310)은 리소그라피 장치(301)에서 클램핑된 기판의 프로세싱 이후에 압력을 증가시키도록 챔버를 벤팅하기 위한 벤트(vent)들을 포함한다.
[65] 클램핑 및/또는 언클램핑은 준비 시스템들(320)에서 수행될 수 있다. 대안적으로, 클램핑은 예를 들어, 공통 공급 시스템(315) 내에서 준비 시스템들(320)에 기판을 제공하기 이전에 상이한 위치에서 수행될 수 있다. 또 다른 대안에서, 클램핑 및/또는 언클램핑은 로드 록 시스템(310) 내에서 수행될 수 있다.
[66] 클램핑 및 언클램핑은 별개의 유닛들에서 수행될 수 있지만, 동일한 유닛에서 또한 실행될 수 있다. 이하, 표현 "클램핑 유닛"은 클램핑 및/또는 언클램핑을 위한 유닛을 지칭한다.
[67] 도 4는 펌프 다운을 위한 제 1 로드 록 챔버(310a), 벤팅을 위한 제 2 로드 록 챔버(310b) 및 다수의 기판 준비 유닛들(360a 내지 360d)을 포함하는 준비 시스템(320)이 제공되는 리소그라피 시스템 유닛을 개략적으로 도시한다. 이 실시예에서, 클램프(clamp)는 준비 시스템(320) 내의 적합한 기판 준비 유닛(360a 내지 360d)에서 형성되고 이어서 제 1 로드 록 챔버(310a)를 통해 진공 챔버 내로 삽입된다. 리소그라피 장치(301)에 의한 기판의 패터닝 이후, 클램프는 언클램핑을 위한 제 2 로드 록 챔버(310b)를 통해 준비 시스템(320) 내의 적합한 기판 준비 유닛(360a 내지 360d)에 역으로 전달된다.
[68] 도 4의 실시예에서 도시된 바와 같이, 준비 시스템(320)은 추가로 제 1 로드 록 챔버(310a)를 통해 리소그라피 장치(301) 내로의 진입 이전에 기판을 사전-정렬하기 위한 사전-정렬 유닛(370)을 포함할 수 있다. 사전-정렬은 기판 지지 구조 상의 기판의 위치 및/또는 배향이 리소그라피 장치(301) 내에서 정확한 노출에 적합하다는 것을 보장하기 위해 필요로 될 수 있다. 사전-정렬 유닛(370)에서의 사전-정렬 이후에, 기판은 추가의 프로세싱을 위해 제 1 로드 록 챔버(310a)에 제공된다.
[0069] 사전-정렬은 기판이 클램프되기 dl전에 개별 기판 상에서 수행될 수 있다. 이러한 경우에 사전-정렬이 기판 준비 유닛(360a 내지 360d) 내에서 행해질 수 있으며, 이는 리소그라피 시스템 유닛에 의해 점유되는 공간을 감소시킬 것이다. 기판이 별개의 사전-정렬 유닛(370)에서 사전-정렬되는 경우에, 기판은 바람직하게는, 기판 지지 구조 상에 클램핑되는 동안 사전-정렬된다. 클램핑된 기판의 사전-정렬은 기판이 기판 지지 구조 상에 클램핑되는 요구되는 정확도를 감소시킨다.
[70] 준비 시스템(320)은 추가로 하나 이상의 부가적인 유닛들을 포함할 수 있다. 예를 들어, 준비 시스템(320)은 리소그라피 장치(301)에서 노출하기 이전에 클램핑된 기판들 및/또는 언클램핑된 기판들을 컨디셔닝하기 위한 컨디셔닝 유닛을 포함할 수 있다. 컨디셔닝 유닛은 당업자들에게 알려져 있는 바와 같이, 예를 들어, 리소그라피 패터닝의 정확도를 개선하기 위해 기판(및 기반 지지 구조)으로부터 열 에너지를 제거함으로써 클램핑된 또는 언클램핑된 기판의 열적 컨디셔닝을 위해 배열될 수 있다.
[71] 기판들 및/또는 클랩프들은 로봇 공간(400) 내에서 동작하는 로봇을 이용함으로써 상이한 유닛들 간에 전달될 수 있다. 도 4의 예시적인 실시예에서, 로봇은 실질적으로 수직 방향으로 이동할 수 있는 캐리어(401)를 포함한다. 그러므로 이러한 로봇은 이하 수직 전달 로봇 또는 VTR로서 지칭될 것이다. 캐리어(401)는 로드 록 챔버들(310, 301b), 기판 준비 유닛들(360a 내지 360d) 및 사전-정렬 유닛(370) 사이에서 기판들 및/또는 클램프들을 적합하게 이송하기 위해 배열된다. 또한, 로봇(401)은 추가로 기판 전달 시스템(350)과의 기판 교환을 핸들링하도록 배열될 수 있다. 도 4에서 캐리어(401)는 그 상부에 클램핑된 기판(405)을 갖는 기판 지지 구조(403)를 포함하는 클램프를 전달(carry)한다.
[72] 리소그라피 시스템 유닛은 추가로 기판들을 일시적으로 저장하기 위해 저장 유닛(410)을 포함할 수 있다. 저장된 기판은 여전히 리소그라피 장치(301)에 의해 패터닝될 필요가 있는 기판들일 수 있다. 대안적으로 또는 부가적으로, 기판 저장 유닛(410)은 기판 전달 시스템(350)을 통한 전달을 대기하는 패터닝된 기판들을 저장하도록 배열될 수 있다. 도 4에서 도시된 실시예에서, 저장 유닛(410)은 기판 전달 시스템(350)에 결합된다. 대안적으로 또는 부가적으로, 저장 유닛(410)은 교체 가능한 유닛에 결합될 수 있고, 이른바 FOUP(front opening unified pod)의 형태를 취할 수 있다. FOUP들은 (청정실) 환경 내의 하나의 FOUP에서 몇 개의 기판들의 비교적 안전한 전달을 가능하게 한다. 또 다른 실시예에서, 저장 유닛(410)은 교체 가능한 유닛, 예를 들어, FOUP이다.
[73] 부가적으로, 도 4는 리소그라피 장치(420)의 적절한 동작을 보장하기 위해 필요한 전자기기(420)가 리소그라피 장치(301)의 상부 상에 배치될 수 있다는 것을 개략적으로 도시한다. 마치 도 3b에서 도시된 실시예와 마찬가지로, 도어(330)은 예를 들어, 하나 이상의 전달 엘리먼트들(345)을 포함하는 전달 유닛(340)에 의해 진공 챔버 외부의 다른 컴포넌트들과 함께 제거될 수 있다.
[74] 도 4에서 서로의 상부 상에 상이한 컴포넌트들이 도시되었지만, 컴포넌트들 중 하나 이상이 실질적으로 수평 방향으로 서로에 가까이 위치되는 대안적인 실시예들이 또한 예견된다. 또한 상이한 컴포넌트들의 순서는 상이할 수 있다.
[75] 도 4에서 도시되지 않은 리소그라피 시스템의 다른 실시예들에서, 클램핑 및/또는 언클램핑은 로드 록 시스템(310) 내에서 수행된다. 이들 동작들을 실행할 수 있는 로드 록 시스템들(310)은 이어서 사실상 더욱 정교해질 필요가 있다.
[76] 클램핑 방법들은 예를 들어, 본 발명의 소유자에게 양도되고 그에 의해 그 전체가 인용에 의해 포함되는 미국 특허 출원 2010/0265486에서 기술된 바와 같이 모세관력들(capillary forces)을 이용하는 것에 의한 클램핑 을 포함(그러나 이들로 제한되지 않음)한다. 진공을 적용함으로써 클램핑하는 것, 기판 지지 구조에 대해 기판을 얼림으로써 클램핑하는 것, 및 전자기력을 이용하여 클램핑하는 것은 가능한 대안들 중 일부이다. 클램핑의 타입은 기판 상에서 이용될 후속 프로세싱의 타입에 의존할 수 있다.
[77] 로드 록 시스템들(310a, 310b)은 물론 리소그라피 시스템 내의 다른 유닛들, 예를 들어, 사전-정렬 유닛들(370), 클램핑/언클램핑 유닛들(360) 및 기판 저장 시스템들(410)과 같이 준비 시스템들(320)의 하나 이상의 유닛들은 제어된 압력 환경을 생성하기 위해 하나 이상의 밸브들을 포함할 수 있다. 제어된 압력 환경에서 기판들 및/또는 클램프들을 유지하는 것은 감소된 오염 환경이 기판 주위에서 유지되도록 허용한다. 제어된 압력 환경은 대기 압력과 리소그라피 장치(301)의 높은 진공 간의 중간 진공일 수 있다. 중간 진공은 큰 부피가 높은 진공에서 유지되는 것을 방지하면서 오염의 감소를 가능하게 한다. 특히, 아직 패터닝되지 않은 기판들의 경우에, 중간 진공은 리소그라피 장치의 진공 환경에서 추후의 프로세싱을 위해 기판을 준비하는데 도움을 준다.
[0078] 클램핑 및/또는 언클램핑 유닛들이 리소그라피 시스템 유닛 내에, 예를 들어, 도 4에서 도시되 바와 같이 준비 시스템(320) 내에, 또는 로드 록 시스템(310) 내에 제공되는 리소그라피 시스템은 "로컬화된 클러스터(localized cluster)" 또는 로컬화된 언클랩핑된 기판 서플라이를 갖는 클러스터된 리소그라피 시스템(300)으로서 식별될 수 있다. 로컬화된 클러스터에서 언클램핑된 기판들은 이들이 프로세싱되는 리소그라피 장치(301) 부근에 가까운 지역에 이송된다. 이어서, 기판들은 기판 지지 구조 상에 클램핑되고 마지막으로 클램프들, 즉 기판 지지 구조 상에 클램핑된 기판들은 리소그라피 장치(301)에 제공된다. 다수의 컴포넌트들이 상이한 리소그라피 시스템 유닛들 사이에서 공유되진 않기 때문에, 리소그라피 시스템 유닛의 부가 및/또는 제거는 단지 기껏해야 기판들이 제공되는 방식에 대한 조정들이 이루어져야 할 필요가 있다는 것을 의미한다는 점에서 로컬화된 클러스터들은 비교적 쉽게 스케일링될 수 있다.
[79] 도 5는 리소그라피 시스템 유닛에서 기판을 프로세싱하기 위한 다른 동작 흐름을 개략적으로 도시한다. 기판의 전달은 기판 핸들링 로봇을 이용하여 달성될 수 있으며, 도 5는 전달의 시퀀스를 만들기 위한 로봇의 궤도(trajectory)를 예시한다. 로봇은 도 4에서의 캐리어(401)와 같은 캐리어의 형태를 취하거나 및/또는 이를 포함할 수 있다. 도 5에서, 기판 전달 시스템과 로봇 간의 인터페이스는 "IF"에 의해 표시된다. 또한, 예시적인 리소그라피 시스템 유닛은 리소그라피 장치에 결합된 저장 유닛(SU), 제 1 준비 시스템 유닛(PSU-1), 제 2 준비 시스템 유닛(PSU-2), 및 로드-록(LL)을 포함한다.
[80] 앞서 언급된 바와 같이, 인터페이스(IF)는 도 4를 참조하여 위에서 기술된 리소그라피 시스템 유닛과 기판 전달 시스템(350) 간의 인터페이스에 대응할 수 있다. 저장 유닛(SU)은 도 4를 참조하여 위에서 기술된 저장 유닛(410)에 대응할 수 있다. 준비 유닛들(PSU-1 및 PSU-2)은 예를 들어, 위에서 기술된 2개의 기판 준비 유닛들(360)을 포함할 수 있다. 마지막으로 로드 록(LL)은 도 4를 참조하여 위에서 기술된 로드 록 시스템(310)에 대응할 수 있다. 대안적으로 로드 록(LL)은 로드 록(LL) 내에서 2개 이상의 기판의 핸들링을 가능하게 하기 위해 하나 이상의 캐리어들을 포함하는 로드 록 챔버를 포함할 수 있다. 실제로 로봇이 기판을 전달하는 이동은 실선 화살표들에 의해 표현된다. 기판을 전달하지 않는 로봇의 단순한 이동은 점선 화살표들에 의해 표시된다.
[81] 도 5의 궤도는 로봇이 인터페이스(IF)에 위치되는 것으로 시작한다. 제 1 이동은 동작(501)에서 일시적인 저장을 위해 인터페이스(IF)로부터 저장 유닛(SU)을 향해 노출될 새로운 언클램핑된 기판의 전달들을 포함한다. 동작(501) 에서 이러한 전달 이전에, 기판은 예를 들어, 기판 노치 등의 방위의 검출에 의해 비교적 거친(coarse) 방식으로 정렬될 수 있다는 것에 주의한다. 저장 유닛(SU) 내에 기판의 배치 이후에, 로봇은 동작(502)에서 제 1 준비 시스템 유닛(PSU-1)을 향해 이동한다. 준비 시스템 유닛(PSU-1)에서, 로봇은 동작(503)에서 노출된 언클램핑된 기판을 픽업하고, 이 기판을 인터페이스(IF)에 전달하여 리소그라피 시스템 유닛으로부터 기판의 제거를 허용하게 한다. 로봇은 이어서 동작(501)의 종료 시에 동작(504)에서, 저장 유닛(SU)에 배치된 노출용 언클램핑된 기판을 픽업하기 위해 저장 유닛(SU)으로 역으로(back) 이동한다. 동작(505)에서, 언클램핑된 기판은 저장 유닛(SU)으로부터 픽업되고 준비 시스템 유닛(PSU-1)으로 전달된다. PSU-1에서 언클램핑된 기판의 배치 이후에, 로봇은 동작(506)에서 준비 시스템 유닛(PSU-2)으로 이동한다. 로봇은 이어서 동작(507)에서 노출될 클램핑된 기판을 픽업하고 리소그라피 장치에서 노출을 위해 클램핑된 기판을 로드 록(LL)에 전달한다. 로드 록에서 클램핑된 기판의 제거 이후에, 로봇은 동작(508)에서, 노출된 클랩핑된 기판을 픽업하고 언클램핑을 위해 준비 시스템 유닛(PSU-2)에 이 기판을 전달한다. 마지막으로, 로봇은 동작(509)에서 기판을 전달함 없이 인터페이스(IF)로 이동한다. 일련의 동작들(501 내지 509)은 "사이클 A"로서 지칭된다.
[82] 도 5에서의 궤도는 이어서 인터페이스(IF)에서, 동작(501)과 유사한 동작(511)을 지속한다. 그러나 노출될 새로운 언클램핑된 기판의 배치 이후에, 로봇은 동작(502)에서와 같이 준비 시스템 유닛(PSU-1)으로 이동하는 것이 아니라, 대신 동작(512)에서 준비 시스템 유닛(PSU-2)으로 이동한다. 후속적으로, 동작(513)에서, 로봇은 준비 시스템 유닛(PSU-2)에서 존재하는 노출된 클램핑된 기판을 픽업하고 리소그라피 시스템 유닛으로부터 기판의 제거를 가능하게 하기 위해 인터페이스(IF)에 이 기판을 전달한다. 로봇은 이어서 동작(504)에서 행해졌던 것과 유사한 방식으로 동작(514)에서 저장 유닛(SU)으로 이동한다. 로봇은 이어서 동작(515)에서 저장 유닛(SU)으로부터 노출될 언클램핑된 기판을 픽업하고 준비 시스템 유닛(PSU-2)에 이 기판을 전달한다. 이 언클램핑된 기판의 전달 이후에, 로봇은 동작(516)에서 준비 시스템 유닛(PSU-1)으로 이동하고, 동작(517)에서 노출될 클램핑된 기판을 픽업하고 리소그라피 장치에서의 노출을 위해 클램핑된 기판을 로드 록(LL)에 전달한다. 로드 록에서 클램핑된 기판의 제거 이후에, 로봇은 동작(518)에서 노출된 클램핑된 기판을 픽업하고 언클램핑을 위해 준비 시스템 유닛(PSU-1)에 이 기판을 전달한다. 마지막으로, 로봇은 동작(519)에서 기판을 운반함 없이 인터페이스(IF)로 이동한다. 일련의 동작들(511 내지 519)은 "사이클 B"로서 지칭된다.
[83] 로봇은 이제 도 5의 궤도를 반복할 수 있으며, 이는 유효하게는, 로봇이 다음의 사이클 A 및 사이클 B 사이에서 교번한다는 것을 의미하며, 여기서 2개의 사이클들 간의 차이는 준비 시스템 유닛(PSU-1)과 준비 시스템 유닛(PSU-2)의 역할이다. 도 5에서 도시된 궤도는 준비 시스템 유닛에서의 클램핑 동작이 전체 사이클의 지속기간보다 더 많은 시간을 소요하는 경우에 기판들의 연속적인 흐름을 보장하는데 특히 유용하다.
[0084] 제한된 크기의 리소그라피 시스템을 갖고자 하는 요구를 고려하여, 리소그라피 시스템 유닛 내의 컴포넌트들의 저장 용량은 바람직하게는 제한된다. 특히, PSU-1 및 PSU-2는 일반적으로 단지 단일 기판의 준비를 용이하게 할 수 있다. 유사하게, 저장 유닛(SU)은 바람직하게는 단일 기판을 저장한다. 로드 록(LL)은 바람직하게는 대응하는 기판 지지 구조들 상에 클램핑되는 2개의 기판들을 저장할 수 있다. 로드 록(LL)에 2개의 클램핑된 기판들을 수용하기 위한 가능성은 앞서 프로세싱된 기판을 우선 제거할 필요 없이 로드 록(LL)에 클램핑된 기판의 배치를 가능하게 한다. 로드 록(LL)은 단일의 로드 록 챔버를 포함할 수 있다. 대안적으로 로드 록(LL)은 예를 들어, 도 4를 참조하여 기술된 바와 같이 2개 이상의 로드 록 챔버를 포함한다. 다중-챔버 실시예에서, 각각의 로드 록 챔버는 바람직하게는 기판 지지 구조 상에 클램핑된 단일의 기판을 수용하도록 배열된다.
[0085] 단지 단일의 기판이 저장 유닛(SU), 준비 시스템 유닛(PSU-1) 및 준비 시스템 유닛(PSU-2)에 저장되는 경우에서, 다음이 도 5를 참조하여 기술되는 바와 같은 궤도를 따라 프로세싱되는 웨이퍼(N)에 관하여 상기될 수 있다. 웨이퍼(N)는 선택적으로는 인터페이스(IF)에서 정렬 프로시저의 결과로서 웨이퍼의 방위가 변경된 이후 동작(501)에서 인터페이스(IF)로부터 저장 유닛(SU)으로 전달될 것이다. 웨이퍼(N)는 이어서 동작(505)에서 제 1 준비 시스템 유닛(PSU-1)에 전달된다. 단일의 웨이퍼의 용량을 갖는 저장 유닛(SU)의 이용의 경우에, 저장 유닛(SU)는 이에 따라 이어서 비게 될 것이다. 웨이퍼(N)는 이어서 클램핑되고 클램핑된 기판은 동작(517)에 따라 로드 록(LL)에 전달된다. 클램핑 외에, 다른 동작들이 또한 준비 시스템 유닛(PSU-1)에서 수행될 수 있다. 예를 들어, 특히 웨이퍼(N)가 클램핑되는 기판 지지 구조에 관한 웨이퍼(N)의 방위에 대한 비교적 미세한 정렬이 클램핑 이전에 짧은 시간 기간에 실행될 수 있다. 로드 록(LL)을 통해, 웨이퍼(N)는 리소그라피 노출을 위해 리소그라피 장치에 전달된다. 리소그라피 장치 내에서, 하나 이상의 추가의 동작들은 노출 이전에 수행될 수 있다. 이러한 동작들은 정렬 마크 측정, 빔 포지셔닝 측정, 및 빔 전류 측정과 같은 하나 이상의 측정을 포함할 수 있다. 이러한 측정에 관련되는 동작들은 초점 평면 센서에 대한 웨이퍼(N)의 이동, x, y, z, Rx, Ry 및 Rz와 같이 상이한 방향들에서 측정 글로벌 방위들(measure global orientations), 웨이퍼(N) 상의 필드들 주위의 스캔 마크들, 정렬 센서 상에서 칼날 정렬 마크들(knife edge alignment marks)과 같은 마크들에 대한 웨이퍼(N)의 이동, 빔 포지셔닝 센서에 대한 웨이퍼(N)의 이동을 포함(그러나 이들로 제한되지 않음)할 수 있다. 노출 이후에, 웨이퍼(N)는 이용되는 준비 시스템 유닛에 의존하여 동작(508) 또는 동작(518)에 대응하는 언클램핑을 위해 로드 록 챔버(LL)로 역으로(back) 전달되고 로봇에 의해 제거되고 준비 시스템 유닛에 전달된다. 마지막으로, 웨이퍼(N)는 기판 전달 시스템에 의해 리소그라피 시스템 유닛으로부터 프로세싱된 웨이퍼(N)의 제거를 가능하게 하기 위해 동작(509) 또는 동작(519)에서 인터페이스로 이동된다.
[0086] 위에서 기술된 시나리오에서, 웨이퍼(N) 이후에 프로세싱되는 웨이퍼, 즉 웨이퍼(N+1)는 동작(511)에서 인터페이스(IF)로부터 저장 유닛(SU)로 웨이퍼(N+1)를 전달하는 로봇의 결과로서 저장 유닛(SU)에서 웨이퍼(N)에 의해 개방된 채로 있는 장소를 점유한다. 기판은 이어서 동작(515)에서 준비 시스템 유닛(PSU-2)으로 이동된다. 준비 이후에, 웨이퍼(N+1)는 로드 록(LL)으로 전달된다. 바람직하게는, 이 시간에, 웨이퍼(N)는 또한 로드 록(LL) 내에 존재하며 로드 록으로부터 제거되어 동작(508)에서 로봇에 의해 준비 시스템 유닛(PSU-2)으로 전달될 준비를 한다. 이러한 시나리오에서, 웨이퍼(N)는 이에 따라 준비 시스템 유닛(PSU-2)에서 웨이퍼(N+1)에 의해 앞서 점유된 장소를 유효하게 차지할 것이다.
[0087] 위에서 기술된 시나리오에서, 웨이퍼(N) 이전에 프로세싱되는 웨이퍼, 즉 웨이퍼(N-1)는 동작(517)의 결과로서 웨이퍼(N)가 그 내부에 배치될 때 로드 록(LL)에 상주하는 웨이퍼이다. 웨이퍼(N-1)는 이어서 로드 록(LL)으로부터 제거되고 동작(518)에서 기판 준비 유닛(PSU-1)에 전달되어 웨이퍼(N)에 의해 앞서 점유된 장소를 차지한다.
[0088] 도 6은 리소그라피 시스템(300)의 사시도를 도시한다. 이러한 리소그라피 시스템(300)에서, 모든 컴포넌트들은 적합한 하우징 또는 케이스(600)에 의해 외부 환경으로부터 보호될 수 있다. 하우징(600)은 리소그라피 시스템(300) 내의 컴포넌트들의 유지보수, 수리, 및 동작 조정을 용이하게 하기 위해 제거 가능한 부분들을 포함하거나, 그 전체가 제거 가능하게 될 수 있다. 하우징(600)에는 운용자가 리소그라피 시스템(300) 내의 파라미터들을 모니터링 및/또는 조정하도록 허용하는 하나 이상의 인터페이스들이 제공될 수 있다. 인터페이스들은 이들 목적들을 위해 디스플레이(610) 및/또는 키보드(620)를 포함할 수 있다.
[0089] 도 7은 그의 커버의 부분이 제거되는 도 6의 클러스터된 리소그라피 시스템의 부분을 도시한다. 도 7은 5개의 리소그라피 시스템 유닛들에 대해 기판의 전달 및 준비를 위해 이용되는 엘리먼트들을 도시한다. 기판들은 실질적으로 수평 방향으로 이동하는 전달 로봇(650)(이하 수평 전달 로봇 또는 HTR(650)로서 지칭됨)을 포함하는 기판 전달 시스템(350)을 통해 제공된다. HTR(650)은 리소그라피 시스템 유닛 쪽으로 프로세싱될 기판들을 전달하고 리소그라피 시스템 유닛 반대로 프로세싱된 기판을 전달하도록 배열된다. 기판 전달 시스템(350)과 리소그라피 시스템 간의 기판들의 교환은 인터페이스(640)를 통해 수행된다.
[0090] 각각의 리소그라피 시스템 유닛에는 추가로 적어도 3개의 기판들 또는 클램프들의 수용을 위해 배열된 적어도 2개의 기판 준비 유닛(360), 저장 유닛(410) 및 로드 록(310)이 제공된다. 리소그라피 시스템 유닛은 예를 들어, 도 5를 참조하여 논의되는 바와 같은 궤도를 따라 상이한 유닛들 사이에서 기판들 및/또는 클램프들을 이동시키기 위한 캐리어(401)를 포함한다. 캐리어(401)가 실질적으로 수직 방향으로 이동할 것이기 때문에, 이하 캐리어는 수직 전달 로봇 또는 VTR(401)로서 지칭될 수 있다.
도 8a, 8b는 기판 전달의 상이한 스테이지들에서 리소그라피 시스템 유닛과 기판 전달 시스템(350) 간의 인터페이스(640)의 보다 상세한 도면을 제공한다. 인터페이스(640)는 개구(642)를 통해 기판(405)이 전달되도록 허용하기에 충분히 큰 개구(642)가 제공된 상부 벽이 제공되는 챔버(641)를 포함한다. 챔버(641)는 추가로 지지 표면(643) 및 적어도 3개의 연장 가능한 핀들(644)을 포함한다. 적어도 3개의 연장 가능한 핀들(644)은 지지 표면(643)에 위치되고 실질적으로 수징 방향으로 이동할 수 있다. 핀들(644)은 안정된 방식으로 이들이 기판(405)을 지지할 수 있도록 하는 방식으로 서로에 관해 배치된다. 또한, 핀들(644)은 이들이 HTR(650) 및 VTR(401)과 간섭하지 않도록 하는 방식으로 위치되어 이들 로봇들은 핀들(644)에 의해 힌지로 결합(hinge)됨 없이 기판(405)을 전달할 수 있다.
[0091] HTR(650)은 안내 레일(652)을 따라 이동할 수 있는 바디(651)를 포함한다. 바디(651)에는 하나 이상의 확장들 또는 "핑거(fingers)"가 제공될 수 있는 2개의 대향하는 지지 유닛들(653)이 제공된다. 2개의 대향하는 지지 유닛들(653)은 안정된 위치에 기판(405)을 홀딩하도록 배열된다. HTR(650)은 그의 컴포넌트들이 HTR(650)과 리소그라피 시스템 유닛 간의 기판 전달을 가능하게 하도록 개구(641)의 에지에 위치되면서 핀들(644)과 간섭하지 않는 방식으로 구성된다.
[0092] 리소그라피 시스템 유닛으로 기판(405)의 공급은 다음의 방식으로 수행될 수 있다. 우선, HTR(650)에는 지지 유닛들(653)의 상부 상에 상주하는 기판(405)이 제공된다. HTR(650)은 이어서 기판(405)이 개구(461) 위에 위치될 때까지 안내 레인(652)을 따라 실질적으로 수평 방향으로 바디(651)의 이동에 의해 기판(405)을 전달한다. HTR(650)은 다수의 상이한 형태들을 가질 수 있고 HTR(650)을 이동시키는 수단은 또한 도 8a, 8b에서 도시된 방식과 상이할 수 있다는 것이 이해될 것이다. 후속적으로, 핀들(644)은 이들이 기판(405)과 맞물릴 때까지 홀을 통해 위로 이동할 것이다. 그 지점에서, 핀들(644)은 HTR(650)의 지지 유닛(653)으로부터 기판(405)을 리프트(lift)하도록 추가로 조금 더 위로 이동할 것이다. HTR(650)은 이어서 도 8b에서 도시된 바와 같이 개구(641)로부터 멀리 이동된다. 마지막으로, 핀들(644)은 기판(405)이 인터페이스 챔버(461)에 진입하도록 낮춰진다. 핀들(644)의 단부 위치가 리소그라피 시스템 유닛에서 이용되는 VTR(401)의 특정한 크기 및 형상에 의해 결정된다. 리소그라피 시스템 유닛으로부터 기판(405)의 제거는 역순으로 위에서 기술된 동작들을 수행함으로써 수행될 수 있다.
[0093] 도 9a, 9b는 본 발명의 일 실시예에 따른 캐리어(401)를 개략적으로 도시한다. 캐리어(401)는 레일(683)을 따라 이동될 수 있는 베이스(681a)를 포함하는 로봇 암 상에 제공된 바디(680)를 포함하며, 상기 레일은 실질적으로 수직 방향으로 배향된다. 로봇 암(681)은 추가로 암이 2차원 평면에서, 통상 실질적으로 수평 평면에서 기판을 병진 및 회전시키는 것을 가능하게 하는 상이한 섹션들(681b, 681c)을 포함한다. 바디(680)에는 기판(405)을 전달하기 위해 적어도 2개의 연장된 부분들 또는 핑거들(684a, 684b)이 제공된다. 부가적으로, 바디(680)에는 기판(405)이 클램핑될 수 있는 기판 지지 구조(403)를 전달하기 위해 적어도 2개의 추가로 연장된 부분들 또는 핑거들(685a, 685b)이 제공된다. 바람직하게는, 기판(403)을 전달하기 위한 핑거들(684a, 684b)은 핑거들(685a, 685b) 아래의 레벨로 위치된다. 바람직하게는, 높이의 차이는 핑거들(684a, 684b)이 핑거들(685a, 685b)의 전달 성능을 방해하지 않음을 보장하기 위해 기판 지지 구조(403)의 두께를 초과한다. 최적은 설계에서, 핑거들(684a, 684b)은 클램프가 캐리어(401)에 의해 전달되는 경우에 부가적인 지원을 제공할 수 있다.
[0094] 핑거들(684a, 684b)은 바람직하게는 단일 방향으로 연장하는데, 즉 이들은 직선 막대들의 형태를 취한다. 가장 바람직하게는, 핑거들(684a, 684b)은 실질적으로 서로 평행한 방향들로 연장한다. 핑거들(685a, 685b)은 바람직하게는 아치형 또는 초승달 형상을 가지며, 핑거들(685a, 685b)의 단부들을 서로 대향한다. 핑거들(684a, 684b 및 685a, 685b) 둘 다는 이들이 지지하도록 설계된 구조 중간을 초과하여 아래로 연장하도록 충분히 긴 길이를 갖는다. 원 형상의 경우에, 이러한 길이는 이에 따라 전달될 기판의 반경을 초과해야 한다.
[0095] VTR(401)은 도 8a, 8b를 참조하여 논의되는 바와 같은 인터페이스 챔버(641)로부터 기판을 취하고 기판(405)을 기판 준비 유닛(360) 또는 저장 유닛(410)에 전달한다. 후자의 경우에, 점선 화살표에 의해 도 10에서 도시된 바와 같이, VTR(401)은 다른 적합한 준비 동작들을 수행하고 기판 지지 구조로의 클램핑을 가능하게 하도록 기판 유닛(410)으로부터 기판 준비 유닛(360)으로 기판(405)을 전달한다. 저장 유닛(410)은 지지 표면(411)을 포함하고 실질적으로 수직 방향으로 연장될 수 있는 핀들(414)을 포함할 수 있다. 기판의 삽입 또는 제거의 경우에, 핀들(414)은 기판(405)을 지지하는 핑거들(684a, 684b)이 핀 단부들보다 더 낮은 높이의 핀들(411) 중 일부를 지나 슬라이딩하도록 허용하기 위해 적합하게 연장된다. 핑거들(684a, 684b)이 올바른 위치에 있을 때, 즉 핑거들(684a, 684b)에 의해 지지되는 기판(405)이 핀들(414) 위에 적합하게 배치되도록 삽입 이전에, 및 핑거들(648a, 684b)이 핀들(414)에 의해 지지되는 기판(405) 아래에 적합하게 배치되도록 제거 이전에, 핀들(414)은 핀들(414)과 핑거들(684a, 684b) 사이에서 기판(405)으로부터의 전달을 허용하도록 이동한다.
[0096] 삽입의 경우에, 핀들(414)은 이어서 이들이 기판(405)에 충분히 접촉할 때까지 위로 이동한다. 그 스테이지에서, 핀들(414)은 추가로 조금 더 위로 이동하거나 VTR(401)이 아래로 이동되어 VTR(401)로부터 기판을 분리하고 기판(405)의 지지가 핀들(414)에 의해 완전히 대체(take over)되도록 허용한다. 충분한 분리 이후에, VTR(401)은 저장 유닛(410) 밖으로 철회된다.
[0097] 기판 제거의 경우에, 핀들(414)은 VTR(401)의 핑거들(684a, 684b)이 기판(405)과 충분히 접촉할 때까지 아래로 이동한다. 그 스테이지에서, VTR은 위로 이동하거나 핀들(411)이 아래로 이동되어 핀들(414)로부터 기판(405)을 분리하고 기판의 지지(405)가 VTR(401)에 의해 완전히 대체되도록 허용한다. 충분한 분리 이후에, VTR(401)은 저장 유닛(410) 밖으로 철회된다.
[0098] 도 11은 기판(405)이 클램핑되는 기판 지지 구조(403)가 배치되는 기판 준비 유닛(360)을 도시한다. 기판은 도 10을 참조하여 논의되는 핀들(414)과 유사한 방식으로 동작하는 핀들(364) 상에 지지된다. 바람직하게는, 기판 지지 구조(403)에는 노치들(361)이 제공되며, 노치들(361)은 이러한 노치(361)가 없었을 경우 형성되었을 기판 지지 구조(403)의 실질적으로 원 둘레 내에 핀들(364)의 수용을 가능하게 한다. 노치들(361)의 이용은 기판 지지 구조(403) 및 핀들(364)의 조합에 의해 점유되는 공간을 제한한다. 또한, 핀들(364)이 노치들(361)을 통해 연장하도록 허용함으로써, 기판(405)은 기판 지지 구조(403) 상에 클램핑될 때 더 큰 영역 위에서 지지 구조(403)와 접촉하게 되며, 이는 클램핑 품질을 개선할 수 있다. 마지막으로, 기판 지지 구조에서 노치들의 이용은 몇몇 형태의 거친 사전-정렬을 가능하게 할 수 있다.
[0099] 클램핑 방법들은 예를 들어, 본 발명의 소유자에게 양도되고 그에 의해 그 전체가 인용에 의해 포함되는 미국 특허 출원 2010/0265486에서 기술되는 바와 같이 모세관력들을 이용하는 것에 의한 클램핑을 포함(그러나 이것으로 제한되지 않음)한다. 진공을 적용함으로써 클램핑하는 것, 기판 지지 구조에 대해 기판을 얼림으로써 클램핑하는 것, 및 전자기력을 이용함으로써 클램핑하는 것은 가능한 대안들 중 일부이다. 클램핑의 타입은 기판(405) 상에서 이용될 후속 프로세싱의 타입에 의존할 수 있다. 유체들의 공급은 예를 들어, 모세관력들을 이용함으로써 클램핑하는 경우, 또는 공기의 제거 예를 들어, 진공을 적용함으로써 클램핑하는 경우는 하나 이상의 튜브들(365)을 통해 실행될 수 있다. 기판(405)을 수용하기 위한 기판 지지 구조(403)의 표면에는 클램핑 프로세스를 강화하기 위해 마디(burl)들과 같은 홈들의 및/또는 다른 상승된 구조들의 패턴이 제공될 수 있다.
[00100] 기판 지지 구조(403)에는 추가로 다수의 돌출부들 또는 립들(lips)(362)이 제공된다. 이들 립들(362)은 기판 지지 구조(403)의 둘레를 따라 위치된다. 립들(362)은 VTR(401)의 핑거들(685a, 685b)과 맞물리게 하는데 이용된다. 도 11에서, 립들(362)은 기판(405)이 클램핑되는 기판 지지 구조(403)의 표면에 가까이 있는 높이 레벨로 위치된다. 전달 동안 안정성을 강화하기 위해, 립들(362)은 바람직하게는 기판 지지 구조(403)의 질량의 중심 위에 그리고 바람직하게는 또한 기판 지지 구조(403)와 그 위에 클램핑된 기판(405)의 조합의 질량의 중심 위에 위치된다. 몇몇 실시예들에서, 다른 립(362)은 VTR(401)의 바디(680)와 맞물리게 하는데 이용될 수 있다.
[00101] 몇몇 실시예들에서, 도 11에서 도시된 실시예와 같이, 기판 지지 구조(403)에는 추가의 돌출부들 또는 립들(363, 366)이 제공된다. 적어도 2개의 립들(366)(도 11에서 단지 하나의 립이 도시됨)이 립들(362)과 동일한 높이 레벨로 제공된다. 립(633)은 더 낮은 높이 레벨로 제공된다. 아래에서 논의되는 실시예에서, 이들 립들(363, 366)은 로드 록 시스템(310)의 핸들링 로봇에 의해 이용된다.
[00102] 바람직하게는, VTR 핑거들(685a, 685b)과 맞물리게 하는데 이용되는 립들(362)은 기판 지지 구조(403)의 한 측을 따라 위치되며, 그 측은 VTR 바디(680) 반대쪽을 향하는 측이다. 이러한 어레인지먼트(arrangement)는 전달 동안 기울어지거나 뒤집어지는 위험을 감소시킨다.
[00103] 적어도 2개의 립들(366) 및 적어도 하나의 립(363)을 이용하는 실시예들에서, 적어도 2개의 립들(366)은 바람직하게는 VTR 핑거들(685a, 685b)과 맞물리게 하는데 이용되는 립들(362) 사이에 위치된다. 적어도 하나의 립(363)은 VTR 바디(680)를 향하는 측에 위치된다.
[00104] 도 12는 로드 록 시스템(310)에서 이용하기 위한 클램핑된 기판 핸들링 로봇을 개략적으로 도시한다. 핸들링 로봇은 통로(710)를 통해 VTR(401)로부터 프로세싱될 클램핑된 기판을 수용하고 도어(330) 내의 통로(705)를 통해 클램핑된 기판을 리소그라피 장치로 전달한다. 유사하게, 핸들링 로봇은 통로(705)를 통해 리소그라피 장치로부터 프로세싱된 클램핑된 기판들을 수용하고 통로(710)를 통해 진입하는 VTR(401)로 기판을 핸드오버한다.
[00105] 핸들링 로봇은 로봇 암 상에 제공되는 바디(701)를 포함한다. 바디(701)에는 기판(405)이 클램핑되는 기판 지지 구조(403)를 전달하기 위해 적어도 2개의 연장된 부분들 또는 핑거들(702a, 702b)이 제공된다. 바람직하게는,핑거들(702a, 702b)은 아치 또는 초승달 형상을 가지며 이들이 지지하도록 설계된 기판 중간을 초과하여 아래로 연장하기에 충분힌 긴 길이를 갖는다. 핑거(702a)는 핑거(702b)와 상이한, 즉 더 높은 레벨을 갖는다. 높이 레벨의 이러한 차이의 이유는 도 13b를 참조하여 논의될 것이다.
[00106] 도 13a는 기판 준비 유닛(360)으로부터 로드 록 시스템(310)으로 클램핑된 기판의 전달을 도시한다. 로드 록 시스템(310)은 2개의 핸들링 바디들(701a, 701b)이 서로 위에 부착되는 로봇 암(720)을 포함하는 클램핑된 기판 핸들링 로봇을 포함한다.
[00107] 도 13b는 상위 핸들링 바디(701a)로의 클램핑된 기판의 전달 직후의 시간에 로드 록 시스템(310)의 보다 상세한 도면을 도시한다. 도 13b에서, 단지 로봇 암(720)의 부분, 즉 상위 핸들링 바디(701a)에 관련되는 부분만이 도시된다. 로봇 암(720)은 레일(721c)을 따라 이동될 수 있는 베이스(721a)를 포함하며, 이 레일(721c)은 실질적으로 수직 방향으로 배향된다. 로봇 암(720)은 추가로 2-차원 평면으로 핑거들(702a, 702b)에 의해 홀딩되는 클램프를 암이 병진 및 회전시키는 것을 가능하게 하는, 바디(701a) 및 베이스(721a)에 접속된 상이한 섹션들(721b)을 포함한다.
[00108] 도 13b에서 도시된 실시예에서, 기판 지지 구조(403)에는 VTR 바디(680) 반대를 향하는 기판 지지 구조(403)의 측(도 13b의 좌측)을 따라 위치되는 VTR 핑거들(685a, 685b)과 맞물리게 하는데 이용되는 립들(362)이 제공된다. 또한, 기판 지지 구조(403)의 다른 측 상에 위치된 부가적인 립(362)은 VTR 바디(680)와 맞물리게 하는데 이용된다. 또한 2개의 립들(366)(하나만 도시됨)은 핸들링 로봇의 상위 바디(701a)로부터 연장하는 상위 핑거(702a)와 맞물리게 하는데 이용되고 립(363)은 상위 바디(701a)가 기판 지지 구조(403)를 독립적으로 전달할 수 있도록 핸들링 로봇의 상위 바디(701a)로부터 연장하는 하위 핑거(702b)와 맞물리게 하는데 이용된다. 서로에 대해 핑거들의 2개의 세트들(702a, 702b 및 685a, 685b)의 상이한 방위와 조합하는(즉, 비스듬히) 핑거들(702a, 702b)의 위치(하나는 높고, 하나는 낮음)는 핑거들의 양자의 세트들이 서로 간섭함 없이 동시에 기판 지지 구조를 홀딩하도록 허용한다. 그 결과, 핑거들의 세트들 중 하나가 접히는 경우, 기판 지지 구조(403)는 핑거들의 다른 세트에 의해 홀딩될 것이다. 각각의 핸들링 로봇들의 설계, 즉, 로드 록 시스템(310)에서 즉 VTR(401) 및 클램핑된 기판 핸들링 로봇은 직접적인 방식으로 기판 지지 구조(403)를 핸드오버(hand over) 하는 것이 가능하다. 이러한 핸드오버는 기판 지지 구조 전달을 위해 필요한 공간을 감소시키며, 이는 리소그라피 시스템 유닛의 크기를 가능한 작게 유지하는데 도움을 준다.
[00109] 도 14a, 14b는 VTR(401)(점선을 참조)에 의해 로드 록 시스템(310)으로부터 기판 준비 유닛(360)으로 프로세싱된 클램핑된 기판의 전달을 개략적으로 도시한다. 도 14a에서, VTR(401)은 핸들링 로봇의 하위 핸들링 바디(701b)와의 핸드오버 이후에 클램핑된 기판을 픽업한다. 도 14b에서, VTR(401)은 언클랩핑을 위해 기판 준비 유닛(360)에 클램핑된 기판을 배치한다.
[110] 로드 록 시스템(310)에 남겨진 빈 공간은 이제 도 15a에서 도시된 바와 같이 리소그라피 장치로부터 수용되는 프로세싱된 클램프에 의해 점유될 수 있다. 최근에 넣어진 프로세싱될 클램핑된 기판(도 13b 참조)은 이어서 도 15b에서 도시된 바와 같이 프로세싱을 위해 리소그라피 장치 내에 삽입될 수 있다.
[00111] 대안적으로, 프로세싱될 클램핑된 기판(상위 바디(701a)에 의해 홀딩됨)은 프로세싱된 클램핑된 기판의 제거 이후에 리소그라피 장치 내에 진입된다. 이러한 경우에, 하위 바디(701b)는 리소그라피 장치에 최근에 넣어진 클램핑된 기판이 처리될 때까지 또는 프로세싱될 새로운 클램핑된 기판이 VTR(401)에 의해 제공될 때까지 어떠한 클램핑된 기판을 홀딩할 수 없을 수 있다.
[112] 본 발명의 몇몇 실시예들이 10개의 리소그라피 시스템 유닛들을 포함하는 리소그라피 시스템을 참조하여 기술되었지만, 리소그라피 시스템 내의 리소그라피 시스템 유닛들의 수는 변할 수 있다. 예를 들어, 10개의 리소그라피 시스템 유닛 대신, 1개 초과의 임의의 다른 수의 리소그라피 시스템 유닛들이 이용될 수 있다.
[113] 본 발명은 위에서 논의된 특정한 실시예들을 참조하여 기술된다. 이 실시예들은 본 발명의 사상 및 범위로부터 벗어남 없이 당업자들에게 잘 알려진 다양한 수정안 및 대안 형태들이 허여될 수 있다는 것이 인지될 것이다. 이에 따라, 특정한 실시예들이 기술되지만, 이들은 단지 예들이며 첨부된 청구항들에서 정의되는 본 발명의 범위를 제한하지 않는다.

Claims (21)

  1. 리소그라피 시스템 내에서 기판들을 전달하기 위한 장치로서, 상기 리소그라피 시스템은 언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스 및 클램핑된 기판을 형성하기 위해 언클램핑된 기판을 기판 지지 구조에 클램핑하기 위한 기판 준비 유닛을 포함하고,
    상기 장치는,
    언클램핑된 기판을 전달하기 위한 핑거들의 제 1 세트 및 클램핑된 기판을 전달하기 위한 핑거들의 제 2 세트가 제공된 바디를 포함하고,
    상기 핑거들의 제 1 세트는 상기 핑거들의 제 2 세트 아래에 위치되고 상기 핑거들의 제 1 세트의 핑거들은 상기 핑거들의 제 2 세트의 핑거들과 상이한 형상을 갖는,
    기판들을 전달하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 핑거들의 제 1 및 제 2 세트들의 핑거들은 상기 바디로부터 동일한 방향으로 연장하고, 상기 핑거들의 제 1 세트의 핑거들은 충분히 상기 핑거들의 제 2 세트의 핑거들 아래에 배열되어서 상기 핑거들의 제 1 세트의 핑거들은 상기 핑거들의 제 2 세트에 의해 전달되는 기판 지지 구조와 간섭하지 않는,
    기판들을 전달하기 위한 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 핑거들의 제 1 세트의 핑거들은,
    상기 언클램핑된 기판의 반경을 초과하는 바디로부터 연장하는 길이를 갖는 직선의 실질적으로 평행한 막대들의 형태를 취하는,
    기판들을 전달하기 위한 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 핑거들의 제 2 세트의 핑거들은,
    상기 기판 지지 구조의 반경을 초과하는 바디로부터 연장하는 길이를 갖는 대향하는 초승달 구조의 형태를 취하는,
    기판들을 전달하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 핑거들의 제 2 세트의 핑거들은,
    적어도 부분적으로 기판 지지 구조를 둘러싸도록 배열되는,
    기판들을 전달하기 위한 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 바디는,
    실질적으로 수직 방향으로 이동 가능한 베이스(base) 및 실질적으로 수평 평면으로의 이동을 위한 하나 이상의 섹션들을 포함하는 로봇 암(robot arm) 상에 마운트되는,
    기판들을 전달하기 위한 장치.
  7. 제 6 항에 있어서,
    상기 장치는,
    상이한 높이들로 배열되는 복수의 위치들 사이에서 양자의 언클램핑된 및 글램핑된 기판들을 전달하도록 배열되고,
    상기 베이스는 로봇 공간 내의 수직 이동을 위해 배열되고, 상기 로봇 암은 상이한 높이들의 위치들 사이에서 수평으로 상기 언클램핑된 및 클램핑된 기판들을 전달하도록 배열되고 상기 로봇 공간은 사익 위치들 사이에서 기판들을 전달하기 위한 것인,
    기판들을 전달하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 인터페이스로 그리고 상기 인터페이스로부터 언클램핑된 기판들을 전달하도록 배열된 수평 전달 장치
    를 더 포함하는,
    기판들을 전달하기 위한 장치.
  9. 제 8 항에 있어서,
    상기 인터페이스는,
    3개 이상의 핀들을 포함하고,
    상기 핀들은 상기 기판 전달 장치의 핑거들의 제 1 세트에 의해 액세스 가능한 제 1 위치로부터 상기 수평 전달 장치에 의해 액세스 가능한 제 2 위치로 언클램핑된 기판을 리프트(lift)하기 위한 수직 이동을 위해 배열되는,
    기판들을 전달하기 위한 장치.
  10. 기판들이 리소그라피 시스템 유닛에서 로드 록 시스템 내에 클램핑되는 기판 지지 구조들을 전달하기 위한 로드 록 전달 장치로서,
    상기 장치는 상기 기판 지지 구조를 전달하기 위한 적어도 2개의 핑거들이 제공되는 바디를 포함하고,
    상기 핑거들 중 적어도 2개는 상이한 높이 레벨들로 배열되는,
    로드 록 전달 장치.
  11. 제 10 항에 있어서,
    상기 핑거들은,
    상기 기판 지지 구조의 반경을 초과하는, 바디로부터의 연장하는 길이를 갖는 대향하는 초승달 구조들의 형태를 취하는,
    로드 록 전달 장치.
  12. 제 10 항 또는 제 11 항에 있어서,
    상기 핑거들은,
    상기 기판 지지 구조를 적어도 부분적으로 둘러싸도록 배열되는,
    로드 록 전달 장치.
  13. 리소그라피 시스템으로서,
    상기 기판을 패터닝하기 위해 진공 챔버에 배열된 리소그라피 장치;
    상기 진공 챔버 내부로 및 외부로 기판들을 전달하기 위한 로드 록 시스템;
    클램핑된 기판을 형성하도록 기판 지지 구조 상에 기판을 클램핑하기 위한 기판 준비 유닛;
    언클램핑된 기판들을 수용하기 위한 기판 공급 시스템과의 인터페이스; 및
    제 1 항 내지 제 9 항 중 어느 한 항에 따른 리소그라피 시스템 내에서 기판들을 전달하기 위한 기판 전달 장치
    를 포함하는,
    리소그라피 시스템.
  14. 제 13 항에 있어서,
    상기 로드 록 시스템에는 제 10 항 내지 제 12 항 중 어느 한 항에 따른 로드 록 전달 장치가 제공되고, 상기 로드 록 전달 장치는 상기 기판 전달 장치로부터 기판 지지 구조들을 수용하고 상기 리소그라피 장치 내에 상기 기판 지지 구조들을 배치하고 상기 리소그라피 장치로부터 기판 지지 구조들을 제거하고 상기 기판 지지 구조들을 상기 기판 전달 장치에 제공하도록 배열되는,
    리소그라피 시스템.
  15. 제 13 항 또는 제 14 항에 따른 리소그라피 시스템에서 이용하기 위한 기판을 전달하기 위한 기판 지지 구조로서,
    상기 기판 지지 구조는,
    기판을 수용하기 위한 표면이 제공되는 바디; 및
    상기 기판 지지 구조의 둘레를 따라 위치되는 2개의 돌출부들
    을 포함하고,
    상기 돌출부들은 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치되는,
    리소그라피 시스템.
  16. 제 15 항에 있어서,
    상기 제 1 높이 레벨은 상기 기판 지지 구조 및 그 위에 클램핑된 기판의 조합의 질량의 중심 위에 잇는,
    리소그라피 시스템.
  17. 제 15 항 또는 제 16 항에 있어서,
    상기 2개의 돌출부들은,
    상기 기판 지지 구조의 한 측을 따라 위치되는,
    리소그라피 시스템.
  18. 제 17 항에 있어서,
    상기 기판 지지 구조의 다른 대향하는 측에 위치되는 추가의 돌출부
    를 더 포함하는,
    리소그라피 시스템.
  19. 제 17 항에 있어서,
    상기 구조는,
    3개의 부가적인 돌출부들
    을 더 포함하고,
    상기 부가적인 돌출부들 중 2개는 상기 기판 지지 구조의 한 측을 따라 위치되는 2개의 돌출부들 사이에 제 1 높이 레벨로 제공되고, 상기 부가적인 돌출부들의 제 3 돌출부는 제 2 높이 레벨로 상기 기판 지지 구조의 다른 대향하는 측에 제공되고, 상기 제 2 높이 레벨은 상기 제 1 높이 레벨보다 낮은,
    리소그라피 시스템.
  20. 표면 상에 기판이 제공되는 기판 지지 구조를 핸드 오버(hand over)하기 위한 방법으로서,
    상기 기판을 수용하기 위한 표면이 제공되는 바디;
    상기 기판 지지 구조의 둘레 주위에 위치되는 2개 이상의 제 1 돌출부들 - 상기 제 1 돌출부들 중 적어도 하나는 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치됨 - ;
    상기 기판 지지 구조의 둘레 주위에 위치되는 2개 이상의 제 2 돌출부들 - 상기 제 2 돌출부들 중 적어도 하나는 상기 기판 지지 구조의 질량의 중심 위에 제 1 높이 레벨로 위치되고, 상기 제 2 돌출부들 중 적어도 하나는 상기 제 1 높이 레벨보다 낮은 제 2 높이로 위치로 위치되고,
    상기 방법은,
    제 1 항 내지 제 9 항 중 어느 한 항에 따른 기판 전달 장치로 상기 기판 지지 구조를 픽업(pick up)하여 상기 핑거들의 제 2 세트의 핑거들이 상기 제 1 돌출부와 맞물리게 하는 단계;
    제 10 항 내지 제 12 항 중 어느 한 항에 따른 로드 록 전달 장치로 상기 기판 지지 구조를 이동시키는 단계;
    상기 로드 록 전달 장치의 핑거들이 상기 제 2 돌출부들과 맞물리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계; 및
    상기 기판 전달 장치들의 핑거들이 상기 제 1 돌출부들로부터 맞물림이 풀리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계; 및
    상기 기판 지지 구조가 상기 로드 록 전달 장치에 의해 전달되도록 상기 기판 전달 장치를 접는 단계
    를 포함하는,
    기판 지지 구조를 핸드오버 하기 위한 방법.
  21. 제 20 항에 있어서,
    상기 로드 록 전달 장치의 핑거들이 상기 제 2 돌출부들과 맞물리도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계는,
    상기 기판 전달 장치의 핑거들 중 적어도 하나 및 상기 로드 록 전달 장치의 핑거들 중 적어도 하나가 상기 기판 지지 구조의 둘레 주위에 상이한 높이들로 서로 중첩하도록 상기 기판 전달 장치 또는 상기 로드 록 전달 장치를 이동시키는 단계를 포함하는,
    기판 지지 구조를 핸드오버 하기 위한 방법.
KR1020137031510A 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치 KR101614475B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161480163P 2011-04-28 2011-04-28
US61/480,163 2011-04-28
PCT/EP2012/057959 WO2012146789A1 (en) 2011-04-28 2012-05-01 Apparatus for transferring a substrate in a lithography system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009917A Division KR101892460B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치

Publications (2)

Publication Number Publication Date
KR20140041501A true KR20140041501A (ko) 2014-04-04
KR101614475B1 KR101614475B1 (ko) 2016-04-21

Family

ID=46017897

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020137031509A KR101607618B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 프로세싱하는 방법
KR1020167009917A KR101892460B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치
KR1020137031510A KR101614475B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치
KR1020187007800A KR20180031082A (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020137031509A KR101607618B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 프로세싱하는 방법
KR1020167009917A KR101892460B1 (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187007800A KR20180031082A (ko) 2011-04-28 2012-05-01 리소그라피 시스템에서 기판을 전달하기 위한 장치

Country Status (7)

Country Link
US (4) US9176397B2 (ko)
EP (1) EP2702452B1 (ko)
JP (2) JP5805851B2 (ko)
KR (4) KR101607618B1 (ko)
CN (3) CN106896650A (ko)
TW (2) TWI514089B (ko)
WO (2) WO2012146788A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160053446A (ko) * 2014-11-04 2016-05-13 세메스 주식회사 인터페이스 모듈 및 이를 포함하는 기판 처리 장치 및 방법

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2579533C2 (ru) * 2010-12-14 2016-04-10 МЭППЕР ЛИТОГРАФИ АйПи Б. В. Литографическая система и способ обработки подложек в такой литографической системе
JP6049367B2 (ja) 2012-09-13 2016-12-21 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理システム
NL2010624C2 (en) * 2013-04-08 2014-10-09 Mapper Lithography Ip Bv Cabinet for electronic equipment.
WO2015170972A1 (en) 2014-05-07 2015-11-12 Mapper Lithography Ip B.V. Enclosure for a target processing machine
EP3218926A2 (en) 2014-11-14 2017-09-20 Mapper Lithography IP B.V. Load lock system and method for transferring substrates in a lithography system
NL2015784B1 (en) * 2015-11-13 2017-06-02 Mapper Lithography Ip Bv Load lock system and method for transferring substrates in a lithography system.
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
CN108287454A (zh) * 2018-01-29 2018-07-17 信利(惠州)智能显示有限公司 掩膜板装载***
WO2020094371A1 (en) 2018-11-06 2020-05-14 Asml Netherlands B.V. Systems and methods for thermally conditioning a wafer in a charged particle beam apparatus
KR101977100B1 (ko) * 2018-11-15 2019-05-10 이지메카시스템(주) 렌즈 어셈블리 어닐링 시스템
US10910239B1 (en) * 2019-07-10 2021-02-02 Mikro Mesa Technology Co., Ltd. Method of transferring micro devices and device transfer system
JP2021086889A (ja) * 2019-11-27 2021-06-03 株式会社日立ハイテク 荷電粒子線装置

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3157308A (en) 1961-09-05 1964-11-17 Clark Mfg Co J L Canister type container and method of making the same
US3159408A (en) 1961-10-05 1964-12-01 Grace W R & Co Chuck
US4524308A (en) 1984-06-01 1985-06-18 Sony Corporation Circuits for accomplishing electron beam convergence in color cathode ray tubes
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH05136247A (ja) * 1991-11-13 1993-06-01 Fuji Electric Co Ltd 半導体ウエハ処理装置
AU6449994A (en) 1993-04-30 1994-11-21 Board Of Regents, The University Of Texas System Megavoltage scanning imager and method for its use
JPH0729787A (ja) 1993-07-15 1995-01-31 Toshiba Mach Co Ltd 恒温部材の温度保持装置
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
DE4446489C1 (de) 1994-12-23 1996-05-15 Fraunhofer Ges Forschung Verfahren zum Manipulieren von Mikrobauteilen und Vorrichtung zur Durchführung des Verfahrens
EP0766405A1 (en) 1995-09-29 1997-04-02 STMicroelectronics S.r.l. Successive approximation register without redundancy
JP3892494B2 (ja) * 1996-01-26 2007-03-14 東京エレクトロン株式会社 基板搬送装置
JP3579228B2 (ja) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
US5944476A (en) * 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JPH11121362A (ja) 1997-10-20 1999-04-30 Canon Inc 露光装置およびデバイス製造方法
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP3019260B1 (ja) 1999-03-26 2000-03-13 株式会社日立製作所 電子ビ―ム描画装置
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2002009139A (ja) 2000-06-20 2002-01-11 Nikon Corp 静電チャック
EP1401617A1 (en) * 2000-09-01 2004-03-31 Asyst Technologies, Inc. Edge grip aligner with buffering capabilities
TW559855B (en) * 2000-09-06 2003-11-01 Olympus Optical Co Wafer transfer apparatus
KR100960773B1 (ko) 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
EP1369904B1 (de) 2000-10-31 2009-01-21 Sez Ag Vorrichtung zur Flüssigkeitsbehandlung von scheibenförmigen Gegenständen
US20030035711A1 (en) 2001-07-14 2003-02-20 Ulysses Gilchrist Centering double side edge grip end effector with integrated mapping sensor
JP2003045947A (ja) 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
JP2003068600A (ja) 2001-08-22 2003-03-07 Canon Inc 露光装置、および基板チャックの冷却方法
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
CN101650528B (zh) * 2002-02-22 2012-09-26 Asml控股股份有限公司 使用两件式盖子保护模版的***和方法
WO2004021411A2 (en) 2002-08-31 2004-03-11 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
EP2302458B1 (en) 2002-10-25 2016-09-14 Mapper Lithography Ip B.V. Lithography system
KR101119890B1 (ko) 2002-10-30 2012-03-13 마퍼 리쏘그라피 아이피 비.브이. 전자 빔 노출 시스템
EP1577421A1 (en) * 2002-11-15 2005-09-21 Ebara Corporation Substrate processing apparatus and method for processing substrate
CN1759465B (zh) 2003-03-10 2010-06-16 迈普尔平版印刷Ip有限公司 用于产生多个小波束的装置
EP1457829A1 (en) 2003-03-11 2004-09-15 ASML Netherlands B.V. Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
TWI234692B (en) * 2003-03-11 2005-06-21 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
JP2004281474A (ja) 2003-03-12 2004-10-07 Seiko Epson Corp 製造対象物の受け渡し装置および製造対象物の受け渡し装置を有する搬送システム
JP3674864B2 (ja) 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
KR101175523B1 (ko) * 2003-05-28 2012-08-21 마퍼 리쏘그라피 아이피 비.브이. 대전 입자 빔렛 노광 시스템
KR101100136B1 (ko) 2003-07-30 2011-12-29 마퍼 리쏘그라피 아이피 비.브이. 변조기 회로
US7158211B2 (en) 2003-09-29 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007537606A (ja) 2004-05-14 2007-12-20 ザ・ビーオーシー・グループ・インコーポレーテッド 低圧環境で物品を処理するための装置及び方法
JP2006066690A (ja) 2004-08-27 2006-03-09 Hitachi High-Technologies Corp 電子線描画装置、電子線描画装置の温度制御方法、および回路パターン製造装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
ATE493757T1 (de) * 2005-02-22 2011-01-15 Oc Oerlikon Balzers Ag Verfahren zur positionierung eines wafers
TWI424260B (zh) 2005-03-18 2014-01-21 尼康股份有限公司 A board member, a substrate holding device, an exposure apparatus and an exposure method, and a device manufacturing method
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
WO2007008941A2 (en) * 2005-07-11 2007-01-18 Brooks Automation, Inc. Substrate transport apparatus with automated alignment
KR20070029032A (ko) * 2005-09-08 2007-03-13 주성엔지니어링(주) 이동식 이송챔버와 이를 포함하는 기판처리장치
US7709815B2 (en) 2005-09-16 2010-05-04 Mapper Lithography Ip B.V. Lithography system and projection method
DE102006021647A1 (de) 2005-11-09 2007-11-15 Coenen, Wolfgang, Dipl.-Ing. Verfahren zur Vereinzelung von scheibenförmigen Substraten unter Nutzung von Adhäsionskräften
KR100655079B1 (ko) 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
US8325321B2 (en) 2006-07-28 2012-12-04 Mapper Lithography Ip B.V. Lithography system, method of heat dissipation and frame
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080225261A1 (en) 2007-03-13 2008-09-18 Noriyuki Hirayanagi Exposure apparatus and device manufacturing method
WO2008144668A1 (en) 2007-05-17 2008-11-27 Brooks Automation, Inc. Side opening substrate carrier and load port
US7817241B2 (en) 2007-07-05 2010-10-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI514090B (zh) 2007-07-13 2015-12-21 Mapper Lithography Ip Bv 微影系統及用於支撐晶圓的晶圓台
US8705010B2 (en) * 2007-07-13 2014-04-22 Mapper Lithography Ip B.V. Lithography system, method of clamping and wafer table
US20090110532A1 (en) * 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
JP5408674B2 (ja) 2008-02-26 2014-02-05 マッパー・リソグラフィー・アイピー・ビー.ブイ. 投影レンズ構成体
TWI377593B (en) * 2008-02-26 2012-11-21 Mapper Lithography Ip Bv A charged particle multi-beamlet system for exposing a target using a plurality of beamlets
NL1036673A1 (nl) 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8757026B2 (en) 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
KR20110015555A (ko) * 2008-04-15 2011-02-16 마퍼 리쏘그라피 아이피 비.브이. 비임렛 블랭커 배열체
KR20110030466A (ko) 2008-05-23 2011-03-23 마퍼 리쏘그라피 아이피 비.브이. 이미징 시스템
EP2297766B1 (en) 2008-06-04 2016-09-07 Mapper Lithography IP B.V. Writing strategy
EP2406810B1 (en) 2008-10-01 2014-09-17 Mapper Lithography IP B.V. Electrostatic lens structure
JP5548430B2 (ja) * 2008-11-26 2014-07-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5000627B2 (ja) 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
WO2010094802A1 (en) 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Preparation unit for lithogrpahy machine
CN102414781B (zh) * 2009-02-22 2015-07-15 迈普尔平版印刷Ip有限公司 基板支撑结构、箝制准备单元及微影***
JP5249098B2 (ja) * 2009-03-17 2013-07-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
EP2948980B1 (en) 2013-01-22 2021-08-25 Brooks Automation, Inc. Substrate transport
CN107407595B (zh) 2015-04-03 2018-09-07 株式会社日立高新技术 光量检测装置、利用其的免疫分析装置及电荷粒子束装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160053446A (ko) * 2014-11-04 2016-05-13 세메스 주식회사 인터페이스 모듈 및 이를 포함하는 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
CN106896650A (zh) 2017-06-27
WO2012146788A1 (en) 2012-11-01
EP2702452A1 (en) 2014-03-05
USRE48903E1 (en) 2022-01-25
KR20180031082A (ko) 2018-03-27
TWI486723B (zh) 2015-06-01
JP2014513429A (ja) 2014-05-29
CN106919006A (zh) 2017-07-04
KR101892460B1 (ko) 2018-08-28
JP5787331B2 (ja) 2015-09-30
EP2702452B1 (en) 2016-08-03
CN103649837B (zh) 2017-04-12
WO2012146789A1 (en) 2012-11-01
KR20160047590A (ko) 2016-05-02
JP5805851B2 (ja) 2015-11-10
KR101607618B1 (ko) 2016-04-11
TW201250403A (en) 2012-12-16
TW201250402A (en) 2012-12-16
US9575418B2 (en) 2017-02-21
KR101614475B1 (ko) 2016-04-21
US9176397B2 (en) 2015-11-03
KR20140025495A (ko) 2014-03-04
CN106919006B (zh) 2020-03-13
US8936994B2 (en) 2015-01-20
US20130044305A1 (en) 2013-02-21
CN103649837A (zh) 2014-03-19
US20130034421A1 (en) 2013-02-07
TWI514089B (zh) 2015-12-21
JP2014514769A (ja) 2014-06-19
US20160004173A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
USRE48903E1 (en) Apparatus for transferring a substrate in a lithography system
KR101907433B1 (ko) 리소그라피 시스템 및 이러한 리소그라피 시스템에서 기판을 프로세싱하는 방법
JP5273437B2 (ja) イオンビーム注入装置用のワークピース移送システム及びその処理方法
JP2003173756A (ja) 電子線装置及び該装置を用いたデバイス製造方法
EP0880794A1 (en) Ion beam shield for implantation systems
TWI559377B (zh) 離子植入機與離子植入法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination