KR20100126190A - 중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법 - Google Patents

중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법 Download PDF

Info

Publication number
KR20100126190A
KR20100126190A KR1020100038148A KR20100038148A KR20100126190A KR 20100126190 A KR20100126190 A KR 20100126190A KR 1020100038148 A KR1020100038148 A KR 1020100038148A KR 20100038148 A KR20100038148 A KR 20100038148A KR 20100126190 A KR20100126190 A KR 20100126190A
Authority
KR
South Korea
Prior art keywords
holes
opening
domain
substrate
openings
Prior art date
Application number
KR1020100038148A
Other languages
English (en)
Inventor
조이 정
카파이 라이
와이-킨 리
영혜 나
찰스 토마스 레트너
다니엘 폴 샌더스
다 양
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20100126190A publication Critical patent/KR20100126190A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0353Holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

본원에는 블록 공중합체의 자기조립(self-assembly)를 포함하는 방법이 기술되어 있으며, 상기 방법에서는 표적화 CD(임계 치수)를 갖는 개구부(하나 이상의 기판에서)로 개시함으로써, 홀이 규칙 배열 또는 임의 정렬로 형성된다. 유의하게도, 형성된 홀의 평균 직경에서의 변동 백분율은 초기 개구부의 평균 직경에서의 변동 백분율보다 더 작다. 그 형성된 홀(또는 바이어스)는 하부 기판으로 전사될 수 있고, 이어서 그 홀은 금속 전도체와 같은 물질에 의해 역충전될 수 있다. 본 발명의 바람직한 양태는, 22 nm 이하의 기술 노드에서도, 보다 치밀한 피치 및 보다 우수한 CD 균일성을 지닌 바이어스의 형성을 가능하게 한다.

Description

중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법{METHOD OF FORMING SUB-LITHOGRAPHIC FEATURES USING DIRECTED SELF-ASSEMBLY OF POLYMERS}
본 발명은 나노스케일 수준에서 구조물을 형성시키는 방법에 관한 것이다. 보다 구체적으로, 본 발명은 블록 공중합체를 사용하여 직경이 비교적 균일한 홀을 갖는 구조물을 형성시키는 것에 관한 것이다.
반도체 장치는 전형적으로 기판 위에 형성되어 있는 회로의 네트워크를 포함한다. 그 장치는 회로 배선의 여러 층으로 구성될 수 있으며, 여기에서는 이들 층을 서로 그리고 임의의 하부 트랜지스터에 접속시키는데 다양한 인터커넥트가 사용되고 있다. 일반적으로, 제조 공정의 부분으로서, 바이어스 또는 콘택트 홀은 패턴화 층에서 형성되고, 하부 층으로 전사되며, 그리고 이어서 금속으로 충전되어 인터커넥트를 형성하므로, 회로의 다양한 층이 서로 전기적으로 소통하게 된다.
인터커넥트를 형성시키는 종래 기술 방법은 일반적으로 바이어스의 위치 및 치수를 한정하고, 또한 상응하는 인터커넥트의 위치 및 치수를 한정하는 일련의 리쏘그래픽 및 에칭 단계에 의존한다. 이를 위해, 포토레지스트 및 포토마스크가 사용될 수 있다. 그러나, 볼륨 제조에 대한 통상적인 광학 리쏘그래피 기법(예를 들면, 193 nm 건조 및 함침 리쏘그래피)을 이용하여 형성된 피처의 치수는 리쏘그래픽 도구의 해상도 한계에 이르게 되었다. 보다 치밀한 피치에서 적당한 임계 치수(CD: critical dimension) 균일성을 지닌 바이어스의 형성은 미래 기술 노드(furture technology node)에 있어 주요 과제 중 하나이다. 반도체에 대한 국제 기술 로드맵(ITRS: International Technology Roadmap for Semiconductor)은 적당한 장치 성능을 보장하기 위해서 CD의 10% 미만의 전체 CD 변동(3 시그마 변동, 여기서 시그마는 임계 치수의 표준 편차임)을 요구한다(ITRS Lithography Roadmap, 2007 Edition, pp. 12-13 참조). 그러나, 이것은, 고가의 복잡한 이중 패턴화 공정, 해상도 강화 기법(resolution enhancement technology)(컴퓨테이셔날 리쏘그래피) 및 엄격한 레이아웃 디자인 제한에 의한 경우일지라도, 통상적인 강화 리쏘그래피를 이용하여 22 nm 노드를 넘어서는 것이 어려울 것으로 예상된다.
블록 공중합체의 패턴화는 보다 작은 치수를 지닌 패턴을 생성하는 문제에 대한 가능한 해결책으로서 주의를 끌고 있다. 정 조건 하에, 그러한 공중합체의 블록은 마이크로도메인(또한 "마이크로상 분리된 도메인" 또는 "도메인"으로서 공지되어 있음)으로 분리되어 총 자유 에너지를 감소시키게 되고, 그 공정에서는 비유사한 화학 조성의 나노스케일 피처가 형성된다. 그러한 피처를 형성할 수 있는 블록 공중합체의 성능은 나노패턴화에서의 그 용도를 권장하고, 이것은 보다 작은 CD를 지닌 피처가 형성될 수 있을 정도로, 통상적인 리쏘그래피를 이용하여 달리 인쇄하기 어려운 피처의 구성을 가능하도록 해야 한다.
유도된 자기조립(DSA: directed self-assembly)은 리쏘그래픽적으로 한정된 패턴화 기판 상에 자기조립 나노스케일 도메인에 의해 현행 리쏘그래피의 해상도 한계를 능가하는 잠재적 후보 방법이다. 이는 특정한 자기조립된 BCP 도메인의 공간 배열을 제어하기 위해서 자기조립의 양태와 리쏘그래픽적으로 한정된 기판을 조합하는 방법이다. 하나의 DSA 기법은 자기조립이 리쏘그래픽으로 프리패턴화된 기판의 토포그래픽 피처에 의해 안내되는 그라포에피택시(graphoepitaxy)이다. BCP 그라포에피택시는 프리패턴화 자체의 것보다 더 작은 특징적인 치수를 갖는 서브리쏘그래픽 자기 조립된 피처(sublithographic self-assembled feature)이다.
본원에서 방법은 CD 변동을 감소시키고, 패턴 충실도를 개선시키며, 그리고 임의로 위치한 바이어스 및 이러한 바이어스에서 형성된 버티칼형 인터커넥트에 대한 공정 윈도우(예를 들면, 노출 허용도)를 증가시킨다. 본 발명의 바람직한 양태는 조밀한 피치(예를 들면, 22 nm 노드 미만)에서 적당한 CD 균일성을 지닌 바이어스의 형성을 가능하게 한다. 본 발명에는 내부에 개구부의 콜렉션을 갖는 기판에 블록 공중합체를 도포하는 방법이 개시되어 있다. 자기조립된 도메인이 형성되는데, 이는 각각의 개구부에서 중심 도메인을 유도한다. 이어서, 그 중심 도메인은 선택적으로 제거됨으로써 홀을 형성할 수 있고, 이어서 그 홀은 하부 기판으로 전사될 수 있다.
초기 개구부 각각이 실린더형으로 형상화되는 것을 의미하는 경우, 그 개구부 각각은 이상적으로 균일한 직경을 가져야 하고, 더구나 각 개구부의 직경이 동일해야 한다. 그러나, 실제로, 이러한 개구부 각각은 그러한 이상적인 것으로부터 편차가 일 수 있으므로, 형상이 실질적으로 실린더형인 단일 개구부의 CD에 적합한 측정기준은 그 평균 직경이다. 또한, CD는 개구부마다 편차가 있을 수 있다. 그럼에도 불구하고, (예를 들면, 하나의 실질적으로 실린더형인 개구부의 평균 직경이 다른 개구부의 직경과 다소 상이할 때) 각각의 임계 치수에서의 비교적 큰 변동을 갖는 초기 개구부의 콜렉션의 경우에도, 본원에서 개시된 방법은 결과적으로 초기 개구부의 홀과 비교하여, 비교적 보다 균일한 CD의 홀 형성(도포된 중합체의 자기조립 및 에칭 단계 후)을 생기게 한다.
본 발명의 한 양태는 표적화 임계 치수(CD)가 200 nm 미만인 불연속 개구부를 지닌 기판을 제공하는 단계로서, 개구부는 3⑨개구부가 평균 CD개구부의 10% 이상인, 표준 편차 ⑨개구부 및 평균 CD개구부를 특징으로 하는 CD에서의 통계적 변동을 갖는 것인 단계를 포함한다. 그 방법은 또한 기판 위에, 블록 공중합체를 포함하는 층을 도포하는 단계로서, 그 공중합체의 성분들은 서로 혼화성인 것인 단계를 포함한다. 그 중합체는, 각 개구부의 내부에, 주위 자기조립된 도메인 내에 자기조립된 중심 도메인을 형성하도록 된다. 그 중심 도메인은 선택적으로 제거됨으로써, 각 중심 도메인이 위치해 있던 곳에 홀을 형성하며, 그 홀은 ⑨/평균 CD이 ⑨개구부/평균 CD개구부의 0.8 미만(또는 0.7 미만, 또는 0.5 미만)인, 표준 편차 ⑨ 및 평균 CD을 특징으로 하는 CD에서의 통계적 변동을 갖는다. 상기 방법은 그 홀을 기판으로 전사하는 단계 및 그 홀을 임의 물질로 역충전(backfilling)하는 단계를 추가로 포함한다. 그 기판은 레지스트, 하드마스크 또는 반사방지 코팅 중 하나 이상을 포함할 수 있다. 그 중합체는 어닐링 처리되어 자기조립된 중심 도메인 및 자기조립된 주위 도메인의 형성을 유도할 수 있다. 표적화 CD를 갖는 개구부의 수는 100 이상, 또는 훨씬 더 1000 이상일 수 있다. 불연속 개구부는 원형일 수 있으며, 이 경우, 표적화 임계 치수는 원형 개구부의 직경의 측정치일 수 있다. 대안으로, 불연속 개구부는 난형 형상일 수 있다. 형성된 홀은 주기적 배열로 정렬될 수 있거나, 또는 그 홀은 주기적 배열을 형성하지 않을 수 있다.
본 발명의 다른 양태는 복수의 기판을 제공하는 단계로서, 각 기판은 표적화 직경이 200 nm 미만이고 이러한 표적화 직경이 기판 각각에 대하여 동일한 것인 단계를 포함한다. 그 개구부는 3⑨개구부가 표적화 직경의 10% 이상인, 표준 편차 ⑨개구부 및 평균 직경개구부를 특징으로 하는 통계적 변동(기판에서 개구부의 모든 경우)을 갖는 평균 직경을 보유한다. 각 기판 위에는 블록 공중합체를 포함하는 중합체 층이 도포되며, 공중합체의 성분들은 서로 혼화성이다. 그 중합체는, 각 기판에서 각각의 개구부 내부에, 주위 자기조립된 도메인 내부에 자기조립된 중심 도메인을 형성하도록 된다. 중심 도메인은 각 기판으로부터 선택적으로 제거됨으로써, 각 중심 도메인이 위치했던 홀을 형성한다. 그 홀은 각각의 직경을 가지며, 그리고 표준 편차 ⑨ 및 평균 직경을 특징으로 하는 직경에서의 통계적 변동(기판에서 홀의 모든 경우)을 갖는다. ⑨/평균 직경비율은 ⑨개구부/평균 직경의 0.8 미만이다. 상기 방법은 기판들에 동시적으로 적용할 수 있거나(예를 들면, 여러 기판은 한번에 처리될 수 있거나), 또는 대안적으로 상기 방법은 기판들에 순차적으로 적용할 수 있다(조립 라인 공정에서와 같이, 하나의 기판과 이어서 또다른 기판의 순서로 처리될 수 있다).
본 발명의 다른 양태는 내부에 개구부를 지닌 표면을 보유하는 기판을 제공하는 단계로서, 그 개구부는 표적화 직경이 200 nm 미만인 중복 홀로부터 형성된 것과 등가인 경계부를 갖는다. 홀은 돌출부를 한정하는 측벽을 가지며, 그 돌출부에서는 측벽이 인접하고, 중복 홀은 각각의 직경을 가지며, 그리고 표준 편차 ⑨중복 홀 및 평균 직경중복 홀을 특징으로 하는 그 직경에서의 통계적 변동을 갖는다. 블록 공중합체를 포함하는 중합체 층은 기판 표면 위에 도포하고, 여기서 공중합체의 성분들은 서로 혼화성이다. 그 중합체는 홀들 중 하나의 홀에 상응하는 개구부의 각 부분 내부에 단일의 불연속 분리된 도메인을 형성하게 된다. 이어서, 그 불연속 분리 도메인 중 적어도 일부는 제거됨으로써, 홀을 형성하게 되고, 그 형성된 홀은 각각의 직경을 가지며, 그리고 그 홀은 표준 편차 ⑨형성된 홀 및 평균 직경형성된 홀을 특징으로 하는 그 직경에서의 통계적 변동을 갖는다. 각 불연속 분리 도메인의 위치는 하나 이상의 측벽 및 이 하나 이상의 측벽의 적어도 일부를 형성하는 돌출부에 의해 선결정된다. 또한, 불연속 분리 도메인은 각각의 기하학적 중심을 가지며, 그리고 임의의 제시된 도메인의 경우, 제시된 도메인의 중심 및 제시된 도메인의 가장 근접한 이웃 도메인의 중심은 제시된 도메인 및 이 제시된 도메인의 가장 근접한 이웃 도메인의 위치를 선결정하는 측벽에 상응하는 홀의 평균 곡률 반경의 합보다 더 작은 거리에 의해 분리된다. 또한, ⑨형성된 홀/평균 직경형성된 홀은 ⑨중복 홀/평균 직경중복 홀보다 더 작으며, 예를 들면 그것은 0.8 배 미만일 수 있다. 상기 방법은 또한 형성된 홀을 기판으로 전사하는 단계를 포함한다. 하나의 예에서, 3⑨중복 홀은 표적화 직경의 10% 이상이다.
본 발명의 방법은, 22 nm 이하의 기술 노드에서도, 보다 치밀한 피치 및 보다 우수한 CD 균일성을 지닌 바이어스의 형성을 가능하게 한다.
도 1-4은 각각 평면도 또는 상면도(3차원 도면을 나타내는 도 3d, 및 데이타를 나타내는 도 2c, 2d 및 5b는 제외함)를 도시한 것이다.
도 1은 도 1a, 1b 및 1c를 포함하는 것으로서, 본 발명의 실시양태를 예시한 것이며, 도 1에서는 기판에서 원형 개구부를 시작으로 하여, 보다 작은 홀이 하나 이상의 블록 공중합체를 포함하는 중합체 층을 사용하여 형성될 수 있고, 자기조립된 도메인으로부터 형성된 홀의 CD에서의 변동 백분율이 초기 개구부의 CD에서의 변동 백분율보다 더 작다.
도 2는 도 2a, 2b, 2c 및 2d를 포함하며, 도 1에서 도시된 실시양태와 관련된 실험 결과를 나타내고, 여기서 도 2a 및 2b는 주사 전자 현미경(SEM) 마이크로그래프이며, 도 2c 및 2d는 이들 및 다른 마이크로그래프로부터 유도된 결과를 나타낸다.
도 3은 도 3a, 3b 및 3c를 포함하며, 복수 홀이 블록 공중합체를 포함하는 중합체 자기조립체를 사용하여 세그먼트화 프리패턴 내에 형성되고, 자기조립된 도메인으로부터 유래된 홀의 CD에서의 변동 백분율이 초기 개공부를 구성하는 중복 홀의 CD에서의 변동 백분율보다 더 작은 것인 본 발명의 실시양태를 예시한 것이다.
도 4는 도 4a 및 도 4b를 포함하며, 도 3에 도시된 실시양태에 관한 주사 전자 현미경(SEM) 마이크로그래피를 나타낸다.
도 5는 도 5a 및 5b를 포함하며, 여기서 도 5a는 기판에서 난형 형상 개구부를 시작으로 하여 보다 작은 난형 형상 홀 또는 원형 홀이 하나 이상의 블록 중합체를 포함하는 중합체를 사용하여 형성될 수 있고, 자기조립된 도메인으로부터 형성된 홀의 CD에서의 변동 백분율이 초기 개구부의 CD에서의 변동 백분율보다 더 작은 것인 본 발명의 실시양태의 SEM 마이크로그래프를 나타내며, 도 5b는 이들 및 다른 마이크로그래프로부터 유도된 결과를 나타낸다.
본원에서는 블록 공중합체의 유도된 자기조립(DSA: directed self-assembly)을 수반하는 방법이 기술되며, 그 방법에서는 자기 조립된 도메인이 하나 이상의 기판(예비선택되거나 표적화 CD(예를 들면, 홀이 원형인 경우 직경)를 갖는 개구부를 지닌 것)에서의 개구부 내에 형성된다. 특이적 자기조립된 도메인의 선택적 제거는 초기 개구부보다 더 작은 홀을 생성한다. 이러한 홀은 규칙 배열 또는 임의 정렬로 위치할 수 있다. 유의적으로도, 형성된 홀의 CD에서의 변동 백분율은 초기 개구부 CD의 변동 백분율보다 더 작다. 그 형성된 홀(또는 바이어스)은 하부 기판(들) 내로 전사될 수 있으며, 그리고 이러한 홀은 물질, 예컨대 금속 전도체로 역충전될 수 있다.
1. 기판에서 불연속적 실린더형 개구부를 사용한 유도된 자기조립
예를 들면, 도 1a는 내부에 개구부(124)를 갖는 기판(120)의 평면도를 도시한 것이다. (용어 "기판"은 반도체 산업에서 사용된 기판에 반드시 국한된 것은 아니지만 그 기판을 비롯한 본원에서 기술된 방법 중 어느 것이든와 함께 사용하기에 적합한 임의의 물리적 기판을 의미하는 것으로 광범위하게 사용된다.) 석명성을 위하여, 단지 4개의 개구부(124)만이 도 1에 도시되어 있지만, 실시에서는 수 많은 개구부, 예를 들면 수천, 수백 또는 심지어는 그 이상의 개구부가 전형적으로 사용된다.
복수 개구부가 형성될 때, 이들 개구부는 CD(예를 들면, 그러한 실린더형 개구부의 예에서의 직경)의 통계적 분포를 갖는다. 평균(평균치) CD 및 샘플 표준 편차 σ에 의한 통계적 분포를 특성화하는 것이 유용하다. 샘플 표준 편차(σ)는 값들이 평균 값(평균치)으로부터 얼마나 넓게 분산되어 있는지의 여부의 측정치이다. 샘플 표준 편차(σ)는 하기 수학식에 의해 결정된다:
Figure pat00001
상기 식 중, CD평균은 샘플 평균치이고, n은 샘플 크기이다.
집적 회로의 구조물을 제조할 때, 피처의 크기 및 크기 균일성은 디바이스의 적당한 기능화에 결정적이다. 디바이스 다자인 동안, 피처의 표적화 임계 치수 및 허용오차 값(tolerance value)이 특정된다. 전형적으로, 허용오차는 공칭 CD의 10%이고, 보통 σ의 정수 곱(보통 3σ)으로서 표현된다. 바꾸어 말하면, CD의 샘플 표준 편차의 3배는 표적 CD의 10% 미만이어야 한다. 추가적인 상세한 설명은 문헌["Handbook of Semiconductor Manufacturing Technology", Y. Nish, R. Doering, eds. New York: Marcel Drekker, 2000]에 제시되어 있다.
그 개구부(124)는 표적화 임계 치수 또는 CD(도 1의 경우, 표적화 직경)를 갖지만, 그럼에도 불구하고 10% 이상의 유의적인 크기 변동(3⑨ >10% CD)을 갖는다. 이러한 상황은, 예를 들면 개구부가 광학 리쏘그래피를 사용하여 형성될 때, 일어날 수 있으며, 그 개구부는 매우 작아서 리쏘그래픽 도구가, 예를 들면 해상도 한계치에서 또는 그 부근에서 작용할 때, 적당한 CD 균일성을 지닌 개구부를 생성할 수 없게 된다. 개구부(124) 각각은 수직이거나 실질적으로 수직인(즉, 기판(120)에 수직인) 측벽(도시되어 있지 않음)을 갖는다.
블록 공중합체(및 가능하게는 하기 기술된 바와 같은 기타 첨가제)를 함유하는 유도된 자기조립(DSA) 제제가, 도 1b에 의해 나타낸 바와 같이, 기판(120)에 코팅된다. 각 개구부(124)의 경우, 블록 공중합체는 도메인(142)과 도메인(146)으로 상 분리되며, 여기서 단일 중심 도메인(146)은 도메인(142)에 의해 둘러싸인다. 사용되는 블록 공중합체의 유형에 따라, 이러한 자기조립은 자발적으로 발생할 수 있거나, 또는 예를 들면 어닐링 공정의 결과로서 유도될 수 있다. 개구부(124)의 측벽 상에는, 사용되는 블록 공중합체의 프리페턴 및 조성에 관한 표면 화학에 따라 좌우되긴 하지만, 그러한 상 분리 공정의 결과로서 도메인(142)에 상응하는 중합체(브러쉬 중합체)의 엷은 코팅이 존재할 수 있다.
도 1c에 나타난 바와 같이, 도메인(146)은 선택적으로 제거되어 홀을 형성할 수 있고, 이어서 그 홀은 하부 기판(120)에 전사될 수 있으며, 따라서 콘택트 홀 또는 바이어스(150)의 패턴을 형성하게 된다. 그 도메인(146)은, 예를 들면 현상 공정(예컨대, 그 도메인을 수성 염기 현상제 중에서 현상시키는 것)을 이용함으로써, 그 도메인을 용매 중에서 용해시킴으로써 또는 그 도메인을 플라즈마로 에칭 처리함으로써 제거될 수 있다. 그 공정은 선택적으로 도메인(146)의 중합체를 제거하고, 동시에 도메인(142)의 중합체를 잔류시키게 된다. 그 형성된 바이어스(150)는 초기 개구부(124)보다 평균 직경(이러한 예에서, 임계 치수)에서의 보다 작은 변동 백분율을 도 유리하게 향유한다.
자기조립된 도메인의 CD를 직접 측정하는 것은 보통 일이 아니다. 본원에서는 최종 형성된 바이어스의 CD가 측정된다. 최종 바이어스의 임계 치수는 도메인(146)을 선택적으로 제거하는데 이용되는 방법의 선택에 의해 유의적으로 영향을 받을 수 있는 것으로 이해되어야 한다. 본원에서, 산소 플라즈마 에칭 공정은 바이어스의 CD에서의 도메인(146)의 CD를 면밀히 복제하기 위해서 도멘인을 선택적으로 제거하는데 이용되고 있다. 구체적인 비선택적 제거 공정은 도메인(146)의 선택적 제거 동안 CD 또는 CD 변동을 의도적으로 감소시키는데 이용된다.
다양한 도량형학 및 이미지 분석 도구가 초기 개구부 및 형성된 바이어스의 CD를 측정하는데 이용가능하다. 예를 들면, 그 임계 치수는 SEM 이미지를 분석함으로써 측정할 수 있다. 본원에서 개시된 실시예에서 거의 원형인 홀의 CD 및 난형 홀의 장축 및 단축의 길이는 SuMMIT 콘택트 분석 도구 박스(이것은 캘리포니아주 마르티네즈 소재의 EUV Technology의 소프트워어 디비젼에 의해 개발 및 특허 받은 것)을 이용하여 측정하고, 에지 검출 파라미터의 예비설정 방법은 초기 개구부 및 형성된/생성된 홀의 SEM 이미지에 최적화된다. 임계 치수를 측정하는 것과 관련한 도형학 쟁점은 문헌[H.M. Marchman, "Critical Dimension Metrology" in Microlithography: Science and Technology, J. R. Sheats, B.W. Smith, eds. New York; Marcel Dekker, 1998, Chapter 2]에 논의되어 있다.
실시예 1
폴리스티렌(PS) 및 폴리메틸메타크릴레이트의 디블럭 공중합체(PS-b-PMMA, 96 kg/mol - 35 kg/mol, 중합체 공급원)의 층은, 직경이 60 nm 내지 100 nm 범위인 개구부를 갖는 경화된 193 nm 레지스트(JSR AM2073J) 패턴을 포함한 기판 위로 스펀 캐스팅하였다. (그 개구부는 e-빔 리쏘그래피에 의해 기판 상의 포토레지스트에서 미리 형성되는데, 왜냐하면 e-빔 리쏘그래피는 다수의 상이한 테스트 패턴이 값비싼 포토마스크를 형성하거나 최신 기술 193 nm 함침 리쏘그래피 도구를 사용할 필요성 없이 신속하게 제조될 수 있게 하기 때문이다. 그러나, 실시예 1 및 실시예 2(하기 참조)는 둘 다 광학 리쏘그래피를 이용하여 복제될 수 있다.) 그 자기조립된 중합체는 5 분 동안 200℃에서 소성 처리하고, 이어서 노출된 PMMA를 산소 플라즈마에 의해 제거하여 홀을 발생시켰다. 도 2a는 중합체를 도포하기 전에 동일 기판의 상이한 부분에서의 개구부(도 1a에서 개구부(124)와 유사한 것)를 도시한 것이고, 한편 도 2b는 에칭 단계를 수행한 후 형성된 홀(도 1c에서 홀(150)과 유사한 것)을 예시한 것이다. 특히, 도 2a는 CD(이러한 구체적인 실시예에서의 평균 직경)가 100 nm, 80 nm, 및 61 nm(이들 숫자의 각각은 약 50-100개의 개구부의 통계적 평균을 나타낸다)인 대표적 개구부의 배열을 도시한 것이다. 도 2b는 상응하는 콘택트 홀의 CD(이러한 구체적인 실시예에서의 평균 직경)이 각각 45 nm, 42 nm 및 39 nm(다시 한번 더 이들 숫자 각각은 통계적 평균을 나타낸다)이다는 점을 나타낸다.
초기 개구부의 CD가 100 nm 내지 61 nm 범위(도 2a의 정상부 및 바닥부 패널을 비교한 것)이지만, 계속되는 콘택트 홀의 CD는 45 nm 내지 단지 39 nm 범위(도 2b의 정상부 및 바닥부 패널을 비교한 것)이다. 이는 자기조립 공정이 초기 개구부보다 더 작은 임계 치수를 지닌 바이어스를 생성할 뿐만 아니라 그 형성된 바이어스가 초기 개구부의 CD 변동 백분율에 비하여 더 작은 CD 변동 백분율을 가질 것이라는 점을 제시한다. 사실, 형성된 바이어스의 CD에서의 제시된 소정의 변동 백분율의 경우, 초기 개구부의 CD에서의 상응하는 허용가능한 변동 백분율은 자기조립 공정의 이점에 기인한 다소 보다 큰 값으로 이완될 수 있다. 이러한 사실은, 초기 개구부 나비를, 예를 들면 해상도 한계치에서 또는 그 부근에서 광학 리쏘그래픽 기법으로 구성할 때, 보다 큰 공정 관용도(process latitude)를 허용한다.
도 2c에서, 형성된 바이어스(도 2b 참조)의 평균 CD는 초기 개구부의 평균 CD(도 2a)에 대하여 플롯팅되어 있다. 도 2a 및 2b에 의해 나타낸 3가지 데이터 점[(61,39); (80,42);(100,45)] 뿐만 아니라 이들 데이터 점과는 일치하는 추가 데이터가 도 2c에 포함되어 있다.
초기 개구부의 CD에서의 변동이 콘택트 홀 또는 바이어스의 CD 변동에서 그 자체 얼마인지를 나타내는 측정치를 도입하는 것이 바람직하다. 본원에서 도입된 그 측정치는 "자기조립 오차 인자(SEF: self-assembly error factor)"이라고 칭한다. 특히, SEF는 본원에서 형성된 바이어스의 CD에서의 변동 백분율(즉, 이러한 바이어스의 평균 CD에 대하여 정규화된 것)을 초기 개구부의 CD에서의 상응하는 변동 백분율(즉, 표적화 개구부 직경에 대하여 정규화된 것 또는 보다 정확하게는 초기 개구부의 평균 CD에 대하여 정규화된 것)으로 나눈 것으로서 정의된다. SEF가 초기 개구부의 평균 CD에 대하여 계산되지만, 이상적으로 평균 CD는 표적화 CD와 동일해야 한다.
Figure pat00002
평균 CD초기 개구부 및 평균 CD형성된 바이어스는 용이하게 특정된다. 뵨원에서, △CD형성된 바이어스/CD초기 개구부 용어는 도 2c에서 플롯팅한 데이터의 순간 기울기로부터 계산하였다. 이 방법은 SEF를 계산하기 위한 가장 정확한 방법일 수 있는데, 왜냐하면 SEF는 완전 선형 함수가 아니기 때문이다. 그러나, 일부 경우에서, 형성된 바이어스 및 초기 개구부의 표준 편차를 측정하고 SEF 방정식에서 △CD형성된 바이어스/△CD초기 개구부을 σ형성된 바이어스초기 개구부로 대체하는 것이 보다 편리할 수 있다.
자기조립 공정이 초기 개구부의 CD 변동 백분율에 대하여 형성된 바이어스의 CD 변동 백분율을 증가시키면, SEF 값은 1 보다 크게 된다. 자기조립 공정이 단지 초기 개구부의 CD 변동 백분율에 비하여 형성된 바이어스의 변동 백분율을 반복하다면, SEF 값은 1이 된다. 예기하지 못할 정도로, 초기 개구부의 CD 변동 백분율(오차)는 자기조립 공정에 의해 경감(감소)되는 것으로 밝혀져서, 형성된 바이어스의 CD 변동 백분율이 초기 개구부의 것보다 더 작고, 즉 본원에서 보고되어 있는 SEF 관측 값은 유의적으로 1 미만이다. 따라서, SEF는 또한 "자기조립 오차 감소 인자(SERF: self-assembly error reduction factor)"라고 칭할 수 있다. 광학 리쏘그래피에서, 마스크 오차 인자(MEF: mask error factor) 및 마스크 오차 강화 인자(MEEF: mask error enhancement factor)는 통상적으로 포토마스크 상에서의 피처 불균일성으로 인한 포토레지스트 패턴에서의 유도된 오차를 설명하는데 사용된다. SERF 및 "마스크 오차 강화 인자(MEEF)"는 상이한 물리적 현상을 설명하고, 상이한 공정으로부터 유래되긴 하지만, 이들 2가지 개념으로 기초로 하는 수학적 형식주의가 유사하다.
그 SERF는 형성된 바이어스의 CD에서의 변동 백분율이 초기 개구부의 CD에서의 변동 백분율에 비하여 얼마나 감소되는지에 관한 측정 수단이다. 도 2d에서, SER은 도 2c에서 도시된 데이터에 대하여 그리고 제시된 적합한 블록 공중합체에 대하여 플롯팅되고, SERF는 감소하는 초기 개구부 직경에 따라 감소한다. 다시 말하면, 초기 개구부에 비하여 최종 홀의 CD 변동 백분율을 감소시킬 때 적합한 블록 공중합체 조립 공정의 유효성은 보다 작은 초기 개구부의 경우보다 더 크다(즉, SERF는 보다 작은 초기 개구부 CD보다 더 작다). 예를 들면, 100 nm의 평균 CD를 지닌 초기 개구부의 경우, SERF는 약 0.39이고, 반면에 60 nm에서 SERF는 약 0.27이다. 따라서, 100 nm의 표적화 초기 직경의 경우, 형성된 바이어스의 CD 변동 백분율(즉, 평균 CD에 대하여 정규화된 변동)은 초기 개구부의 CD 변동 백분율(즉, 평균 CD에 대하여 정규화된 변동)의 0.39이다. 바꾸어 말하면, 중합체 물질의 유도된 자기조립은 기대한 것보다 초기 개구부 또는 프리패턴의 크기에 덜 민감하다. 리쏘그래픽 오차(예컨대, MEEF)는 보통 매우 작은 개구부를 생성하고자 할 때 증가한다. 하지만, 본원에서 적절하게 다자인된 블록 공중합체 조립 공정은 상반된 거동을 나타낼 수 있으며 보다 작은 개구부에 대한 감소된 오차(또는 변동)를 제공할 수 있다. 그러한 방식으로, 본원에 개시된 DSA 공정으로부터 유래된 SEFR는 작은 초기 개구부를 제조하는데 이용된 이미지화 공정으로부터 결과로 생성되는 증가된 MEEF를 보정할 수 있다.
2. 기판에서 세그먼트화된 프리패턴화 개구부를 이용하는 유도된 자기조립
22 nm 노드 초과에서, 광학 리쏘그래피는 각 개구부 내에 있는 단일 자기조립된 홀의 형성을 유도하는 불연속 개구부를 형성하는데 요구되는 해상도를 수행할 수 없다. 실제로, 중복 불연속 개구부는 융합하여 기판 내에서 하나 이상의 세그먼트화된 프리패턴화 개구부를 형성할 수 있다. 예를 들면, 그러한 융합은 포지티브 레지스트가 과다노출되거나 네가티브 레지스트가 비노출될 때 발생할 수 있다. 세그먼트화된 프리패턴화 개구부는 각각의 자기조립된 도메인의 위치를 유도 및 조절하여 원하는 배치 정확성을 달성하는 다수의 유도 피처 또는 "돌출부"를 보유한다. 또한, 자기 치유 효과(self-healing effect)(즉, 초기 개구부의 CD에서의 변동 백분율에 비하여 형성된 바이어스의 CD에서의 변동 백분율에서의 감소)가 그러한 세그먼트화된 프리패턴화 개구부에서 관찰된다. 세그먼트화된 프리패턴화 개구부는 다수의 중복 불연속(전형적으로 실린더형) 홀을 조합하는 결과로서 간주될 수 있으며, 그 각각의 홀은 광학 리쏘그래피에 의해 해상될 수 있는 치수를 갖는다.
따라서, 본 발명의 대안적인 실시양태에서는, (특정한 기하구조를 지닌) 세그먼트화된 프리패턴을 보유함으로써 자기조립된 도메인의 우수한 배치 정확성을 용이하게 하는 기판이 사용될 수 있다. 예를 들면, 도 3a는 내부에 개구부(324)를 갖는 기판(320)의 평면도를 예시한 것이며, 그 기학구조는 이하 설명한다. 도 3d는 상응하는 3차원 대표도를 도시한 것이며, 도 3a와 관련하여 나타낸 것이다. 개구부(324)는 기판(320)에서 하나 이상 홀의 중복으로부터 발생하는 것으로서 생각될 수 있다. 그 중복 홀 각각은 수직이거나 실질적으로 수직인(즉, 기판에 수직인) 측벽(328)을 갖는 실린더 형태이다. 이로써 (기판(320)의 표면에서) 개구부(324)의 경계부는, (수직 측벽을 갖는) 실린더의 경우, 기판의 평면 상에서 실린더 홀의 투사인 원형의 중복으로서 도시할 수 있다. 그러므로, (기판(320)의 표면에서) 개구부(324)의 주위는 각각 곡률 반경을 갖는 이들 중복 원형과 관련된 원형 아크(332)에 의해 한정된다. 바꾸어 말하면, 도 3a는 측벽의 정상부에서(즉, 기판(320)의 정상부 표면에서) 취한 측벽(328)의 평평한 횡단면을 도시하는 것으로 간주될 수 있다. 그러한 평면 내에 있는 측벽의 주위는 100 nm 미만의 각 곡률 반경 rj을 갖는 원형 아크(332)에 의해 한정된다.
보다 일반적으로, 중복 홀은 외곽선으로 나타낼 수 있고 볼록할 수 있지만, 이상적으로 실린더형일 수 없으므로, 기판(320)의 표면에서 홀의 평균 반경이 대신 사용될 수 있다. 예를 들면, 홀의 단면은 원형으로부터 편차가 있을 수 있으며, 측벽은 경사질 수 있거나(원뿔형 홀) 보다 복합한 형상(예를 들면, 눈물방울 형상화된 홀을 지닌 것)을 가질 수 있다. 이러한 보다 복잡한 경우, 평균 곡률 반경은 동일한 변위 체적을 갖는 등가 실린더형 홀의 반경으로서 취할 수 있다. 개구부(324)의 측벽(328)은 홀이 교차하는 곳, 측벽이 돌출 부재 또는 돌출부(336)를 한정하는 곳에서 이웃한다. 따라서, 제시된 돌출부(336)는 2개의 인접 측벽의 부분으로서 도시될 수 있고 그 측벽으로부터 형성될 수 있다.
도 3a에 도시된 세그먼트화된 프리패턴이 원형 홀의 중복으로부터 발생하지만, 실제로 제시된 개구부의 실제 홀은 레지스트 및 다른 공정 단계의 비이상적 반응을 비롯한 리쏘그래피의 제한 때문에 이상적인 원형일 수 없다. 그러한 편차는 본 발명의 영역 내에 속하는 것으로 이해되어야 한다. 비원형 외곽선을 갖는 임의의 세그먼트의 경우, 그의 평균 곡률 반경은 호크 변형(Hough transform)에 기초한 알고리듬을 이용하여 결정할 수 있다[예를 들면, 문헌(William K. Pratt. "Digital Image Processing", second edition, Wiley-Interscience Publication, ISBN 0471-85766-1, 1991) 참조].
석명성을 위해, 도 3a에 도시된 개구부(324)는 단지 4개의 실린더형으로 형상화된 홀로부터 형성되어 있지만, 실제로 그 개구부(324)는 단지 4개 초과의 홀로부터 형성될 수 있으며, 예를 들면 그것은 수 백개, 수 천개 또는 훨씬 더 많은 개수의 홀로부터 형성될 수 있다. 개구부(324)를 구성하는 보다 작은 홀 각각은 동일한 표적 CD(이 경우, 표적 직경)을 갖지만, 그럼에도 불구하고 이들의 보다 작은 홀은 유의적인 크기 변동, 예를 들면 10% 이상(3⑨ > 10% CD)의 것을 가질 수 있다.
도 3b에 도시된 바와 같이, 블록 공중합체(및 가능하게는 다른 첨가제, 하기 설명된 바와 같은 것)를 함유하는 제제는 기판(320) 위로 도포하고, 어닐링 처리하여 도메인(342, 346)을 형성시킨다. 도 3b에 도시된 바와 같이, 도메인(342, 346)은 상 분리하고, 도메인(346)은 도메인(342)에 의해 둘러싸인다. 바람직한 실시양태에서, 단지 단일 도메인(346)이 세그먼트화된 프리패턴 개구부(324)의 부분을 형성하는 각각 실린더형으로 형상화된 홀 내에 형성된다. 측벽(328) 상에는, 사용되는 프리패턴의 표면 화학 및 블록 공중합체의 조성에 따라, 그러한 상 분리 과정의 결과로서 도메인(342)에 상응하는 중합체의 얇은 코팅(브러쉬 층)이 존재할 수 있다(또는 존재하지 않을 수 있다).
자기조립된 불연속 세그먼트화 도메인(346)은 위치가 하나 이상의 측벽(328) 및 그 상응하는 돌출부(들)(336)에 의해 결정되는 각각의 기하구조 중심을 갖는다. 예를 들면, 도메인(346a)의 기하구조 중심의 위치는 2개의 돌출부(336a1 및 336a2)사이에, 이들 2개의 돌출부의 도움으로 연장하는 세그먼트(332a)에 상응하는 측벽에 의해 결정된다. 다른 한편으로는, 인접 도메인(346b)의 기하구조 중심은 (각 쌍의 돌출부(336a1과 226b1, 및 336a2와 336b2) 사이에, 이들 4개의 돌출부의 도움으로 연장하는) 세그먼트(332b)에 상응하는 2개의 측벽에 의해 결정된다. 따라서, 돌출부(336)를 지닌 측벽(328)은 "유도 피처(directing feature)"로서 도시될 수 있는데, 그 이유는 그 측벽이 그 자유 에너지가 최소화되는 지점에서 도메인(346)의 위치를 선결정(또는 유도)하기 때문이다. 도 3c에 나타난 바와 같이, 도메인(346)은 선택적으로 제거되어 홀을 형성할 수 있고, 이어서 그 홀은 하부 기판(320)에 전사될 수 있음으로써, 콘택트 홀 또는 바이어스(350)를 형성하게 된다. 도메인(346)은, 예를 들면 현상 공정(예컨대, 수성 염기성 현상액 중에 그것을 현상시키는 공정)을 이용함으로써, 용매 중에 그것을 용해시킴으로써, 또는 그것을 플라즈마로 에칭 처리함으로써 제거할 수 있다. 그 선택된 공정은 도메인(346)의 중합체를 제거하고 동시에 도메인(342)의 중합체를 잔류시킨다.
도 3a에 나타난 바와 같이, 다양한 세그먼트(332)는 중심이 각각의 거리 Dj로 분리되어 있는 원형의 부분으로서 도시될 수 있다. 실제로, (기판(320)의 표면에서) 측벽(328)의 주위는 그 세그먼트(332)와 관련된 거리 Dj 및 곡률 반경 rj에 의해 한정될 수 있다. 더구나, 불연속 분리된 도메인(346)의 기하구조 중심은 인접 분리된 도메인이 a) 제시된 도메인의 위치를 선결정하는 (하나 이상의) 측벽에 상응하는 세그먼트의 평균 곡률 반경(또는 세그먼트의 평균 곡률 반경의 평균(산술 평균))와 b) 제시된 도메인의 가장 근접한 이웃 도메인의 위치를 선결정하는 (하나 이상의) 측벽에 상응하는 세그먼트의 평균 곡률 반경(또는 세그먼트의 평균 곡률 반경의 평균)의 합보다 더 작은 거리 dj에 의해 분리되도록, 위치한다. 즉, 단지 하나의 측벽이 특정 도메인의 위치를 결정한다면(도메인(346a)를 지닌 경우와 같이), 상응하는 세그먼트(332a)의 평균 곡률 반경은 그러한 합을 계산할 때 사용되지만, 복수의 측벽이 특정 도메인의 위치를 결정한다면(도메인(346b)을 지닌 경우와 같이), 그런 복수 측벽에 상응하는 세그먼트(332b, 정상부와 바닥부)의 다양한 곡률 반경의 평균이 사용된다. 도 3b에 나타낸 바와 같이, d1 < r1 + r2; d2 < r2 + r3; 및 d3 < r3 + r4이다. 분리된 도메인(346)의 중심이 세그먼트(332)에 상응하는 원형의 중심에 위치하는 바람직한 경우에서, Dj = dj이다.
외곽선으로 나타낸 측벽(328) 및 그 돌출부(336)는 광학 리쏘그래피에 의해 형성될 수 있으므로, 도메인(346)(및 이의 상응하는 바이어스(350))은 미리선택된 레이아웃(layout)에 따라 위치를 정할 수 있다. 일반적으로, 불연속 분리된 도메인은 반드시 단일 축에 따라 정렬할 필요가 없지만, 오히려 그 도메인은 "행", "열", "대각선" 등의 형태로 정렬될 수 있다. 인접 불연속 분리된 도메인(346)이 상응하는 원형의 평균 곡률 반경의 합보다 작은 거리로 분리되기 때문에(예를 들면, 도 3b 참조), 분리된 도메인(346)(및 이의 상응하는 홀(350))은 달리 필요한 것보다 더 낮은 해상도 리쏘그래피로 소정의 면적 밀도(aerial density)로 "인쇄"된다. 따라서, 분리된 도메인(346)(및 이의 상응하는 홀(350))은 블록 공중합체의 임의 특정 대칭성 또는 자연 주기성(natural periodicty)에 순응할 필요가 없다. 예를 들면, 도 3의 행을 연장시키고 추가 행을 형성시킴으로써, 표준 정사각형 그리드 레이아웃이 형성될 수 있다. 더구나, 인접 불연속 분리된 도메인(346) 간의 중심 대 중심 거리는 세그먼트(332)에 상응하는 측벽 또는 다른 유도 피처에 의해 선결정될 수 있다.
도 3a와 관련하여 상기 나타낸 바와 같이, 개구부(324)를 구성하는 보다 작은 홀 각각은 동일 표적 CD를 가져서 (이상적으로 r1, r2, r3 및 r4는 동일할 수 있있지만), 그럼에도 불구하고, 이러한 보다 적은 홀은 예를 들면 그 홀을 형성하는데 이용된 리쏘그래픽 공정의 제한 때문에 유의적인 크기 변동(예를 들면, 초기 직경의 10% 이상의 것, 3⑨ > 10% CD)을 가질 수 있다. 그러나, 형성된 바이어스(350)의 CD 변동은 초기 개구부의 CD 변동보다 더 작고, 그것보다 실질적으로 더 작을 수 있다.
실시예 2
폴리스티렌(PS)과 폴리메틸메타크릴레이트의 디블록 공중합체(PS-b-PMMA, 96 kg/mol-35 kg/mol, 중합체 공급원)의 층은 다수가 세그멘트화된 프리패턴화 개구부를 포함한 비주기적 불연속 개구부들을 갖는 경화된 193 nm 레지스트(JSR 2073) 패턴을 포함하는 기판 상에 스펀-캐스팅하였다. (그 개구부들은 e-빔 리쏘그래피에 의해 기판 상의 포토레지스트에서 미리 형성되어 있다.) 도 4a는 그러한 초기 개구부를 지닌 그러한 기판을 도시한 것이다. 중합체는 200℃에서 5 분 동안 소성 처리하여 중합체 도메인의 자기조립을 촉진하였다. 이어서, 자기조립된 중합체를 산소 플라즈마 중에서 에칭 처리함으로써 PMMA를 제거하고 도 4b에 도시된 홀을 발생시켰다. 프리패턴화 개구부의 크기 및 형상은 개별 세그먼트화 프리패턴 내에서 그리고 세그먼트화 프리패턴들 사이에서 유의적으로 변한 것으로 볼 수 있다. DSA 공정은, 불연속 개구부 또는 세그먼트화된 프리패턴화 개구부를 시작으로 하여, 감소된 CD(본원에서 평균 직경) 및 형성된 홀의 CD에서의 감소된 변동 백분율을 형성한다. 도 4a에서 개구부의 CD는 70 nm이고, 반면에 형성된 바이어스의 CD는 33 nm이다. 전체 SERF는 이러한 경우에 0.6이며, 이는 형성된 바이어스가 프리패턴화 개구부의 CD의 변동 백분율에 비하여 CD의 변동 백분율에서의 40% 감소를 갖게 되었다는 것을 나타낸다.
3. 일반론
특정 개구부에 적합한 중합체를 선택하는 것은 적당한 자기 치유 효과(즉, 초기 개구부의 CD에서의 변동 백분율에 비하여 형성된 바이어스의 CD에서의 변동 백분율을 감소시키는 것)을 지닌 바이어스를 생성하는 것이 중요하다. 블록 공중합체의 분자량 및 조성은 그 유도된 자기조립 및 자기 치유 거동에서 중요한 역할을 한다. 원형 개구부로 구성되는 프리패턴의 경우, 보다 작은 SERF(보다 큰 치유)는 자기조립된 물질의 자연 주기성이 프리패턴화 개구부의 직경에 근접하면 얻어질 수 있다. 그러나, 개구부 직경이 자기조립된 물질의 자연 주기성(중심 대 중심 이격)에 약 3배보다 더 크다면, 개구부 내에 1개 이상의 바이어스를 생성할 가능성이 더 크게 존재한다. 다른 한편으로는, 개구부 직경이 자연 주기성보다 더 작다면, 기판으로부터 소수 도메인을 제거한 후 어떠한 홀도 명백하게 존재하지 않는데, 그 이유는 그 소수 도메인이 그러한 작은 개구부 내에 형성되지 않기 때문이다.
또한, 형성된 바이어스의 자기 치유 거동 및 형상은 일반적으로 블록 공중합체의 조성 및 분자량의 함수로 존재한다. 도 5a는 프리패턴화된 기판(난형으로 형상화된 개구부를 내부에 갖는 것) 및 PS-b-PMMA(68 kg/mol - 33 kg/mol) 및 PS-B-PMMA(96 kg/mol - 35 kg/mol)의 자기조립된 도메인으로부터 형성된 각각의 바이어스의 SEM 이미지를 도시한 것이다. PS-b-PMMA(68 kg/mol - 33 kg/mol)으로부터 형성된 바이어스의 이심률(eccentricity)은 난형으로 형상화된 개구부의 이심률에 비하여 증가한다. 보다 강력한 자기 치유 효과(즉, 보다 작은 SERF)가 장축보다는 단추에 따라 관찰된다(도 5b 참조). 다른 한편으로는, PS-b-PMMA(96 kg/mol - 35 kg/mol)로부터 형성된 바이어스는 거의 원형이고, 그 이심률은 초기의 난형으로 형상화된 개구부에 비하여 감소된다. 자기 치유 효과는 장축과 단축에 따라 모두 관찰된다(도 5b 참조). 더구나, 감소된 콘택트 에지 조도(CER: contact edge roughness)는 또한 이러한 자기 치유의 결과이기도 하다. 즉, 초기 개구부가 원형 형상으로부터 편차가 있으면, 그 형성된 홀은 원형 형상으로부터 비교적 작은 편차를 나타내며, 단 적합한 중합체가 선택되어야 한다.
개구부(124 및 324)는 리쏘그래픽 기법, 예를 들면 빔 또는 광학 리쏘그래피에 의해 형성될 수 있다. 전자-빔 리쏘그래피의 경우, 이러한 개구부는 레지스트 상에서 직접 발생될 수 있다. 광학 리쏘그래피가 이용되면, 포토레지스트의 층이 기판 상에 형성되고, 광학 방사선(예를 들면, 248 nm 또는 193 nm)으로 특정 마시크를 통해 노출되며, 임의로 소성 처리되고, 현상제로 처리되어 토포그래픽 포토레지스트 패턴을 생성하게 된다. 그 노출은 또한 함침 리쏘그래피를 이용하여 수행할 수 있다. 개구부(124 및 324)는 단일 노출 또는 복수 노출 공정을 이용하여 수행될 수 있다. DSA는 네가티브 톤 레지스트 패턴으로 직접 수행될 수 있다, DSA가 포지티브 톤 레지스트 패턴으로 수행되면, 레지스트 재료의 일부 처리는 레지스트 패턴이 블록 공중합체의 캐스팅 용매(및 임의의 다른 첨가제) 중에 불용성이 되도록 하기 위해서 필요할 수 있다. 예를 들면, 포지티브 톤 레지스트 패턴을 표면 가교결합 제제 또는 물질로 처리하는 것이 이용될 수 있다. 달리 말하면, 그 레지스트 패턴은 하부 바닥부 반사방지 코팅, 하드마스크 층(예를 들면, 산화물 또는 질화물 재료) 또는 전사 층(가교결합된 유기 수지)으로 전사되고, 이어서 레지스트가 제거되고 경우에 따라 그 표면이 개질된다. 이러한 패턴은 일반적으로 블록 공중합체 캐스팅 용매에 안정하고, 추가 안정화 처리가 필요하지 않다.
또한, 개구부(124 및 324)는 이중 패턴화 공정에 의해 형성될 수 있다. 하나의 실시양태에서는 패턴이 제1 포토레지스트로 이미지화되고, 하부 하드마스크로 전사된다. 잔류 제1 레지스트를 제거한 후, 제2 레지스트가 패턴화 하드마스크 상에 캐스팅되고 이미지화되어 제2 포토레지스트 패턴을 형성하게 된다. 이어서, 제2 포토레지스트 패턴은 하드마스크로 전사된다. 제1 및 제2 포토마스크로부터 그 전사된 (중복) 패턴은 하드마스크 층에서 조합되어, 블록 공중합체의 조립을 유도하는데 사용될 수 있는 단일 세그먼트화 패턴을 형성하게 된다.
일단 도 1a 및 도 3a에 도시된 구조체가 제조된 후에는, 그 구조체 상에서의 디블록 공중합체의 자기조립이 수행된다. 이 공정은 본원에 요약되어 있고, 이하 보다 상세하게 기술되어 있다. 우선, 하나 이상의 블록 공중합체(BCP)를 함유하는 중합체 용액을 제조한다. 이 용액에는 또한 추가의 BCP, 단독중합체, 공중합체, 계면활성제 및 광산(photoacid) 발생제를 사용할 수 있다. 이어서, 그 용액을, 세그먼트화된 프리패턴을 갖는 기판 상에 캐스팅하여, 원하는 영역 내에 잘 기입된 폴리머 도메인을 형성시킨다. 블록 공중합체의 이동도를 증가시키는 공정(예를 들면, 소성 처리 또는 용매 증기 처리에 의한 것)은 특정 중합체, 예컨대 PS-b-PMMA(폴리스트린(PS)와 폴리메틸메타크릴레이트)의 디블록 공중합체)에 필요하다. 유리 전이 온도가 실온보다 더 낮은 블록 공중합체의 경우, 자발적인 자기조립이 발생할 수 있다. (열적 어닐링, 열 구배 어닐링, 용매 증기 어닐링 또는 일부 다른 구배 장을 비롯한) 추가의 어닐링을 임의로 이용하여 임의 결함을 제거할 수 있다. 최종적으로, 하나 이상의 자기조립된 중합체 도메인을 선택적으로 제거하여 홀을 발생시키고, 이어서 그 홀을 하부 기판으로 전사시킬 수 있다. 예를 들면, 이중층(레지스트 및 전사층)과 삼중층(레지스트, 하드마스크 층 및 전사층) 도식이 가능하다[예를 들면, "Introduction to Microlithography", second edition, edited by Larry F. Thompson, C. Grant Willson and Murrae J. Bowden, American Chemistry Society, Washington, DC, 1994). 패턴 형성 및 패턴 전사 전에, 자기조립된 중합체는 패턴 전사에 필요한 특성, 예컨대 에칭 저항 또는 특정한 기계적 특성을 개선시키기 위해서 임의로 화학적으로 개질 처리할 수 있다.
본원에 사용된 바와 같이 공중합체는 2 이상 종의 단량체로부터 유도된 중합체이다. 본원에 사용된 바와 같이 블록 공중합체는 2 이상 종의 단량체를 포함하는 공중합체이고, 여기서 단량체는 블록으로 존재한다. 각 단량체 블록은 단량체의 반복 순서를 포함한다. 대표적인 블록 공합체의 화학식(1)이 하기 도시되어 있다.
화학식 (1)
-(A)a-(B)b-(C)c-(D)d-......-(Z)z-
식 중에서, A, B, C 및 D는 단량체 단위를 나타내고, 아래 기입 문자, "a", "b", "c", "d" 내지 "z"는 A, B, C, D 내지 Z의 반복 단위 수를 각각 나타낸다. 상기 언급된 대표적인 화학식은 본 발명에 사용된 블록 공중합체의 구조를 한정하는 것을 의미하지 않는다. 상기 언급된 공중합체의 단량체는 본 발명의 방법에 따라 개별적으로 사용될 수 있거나 조합적으로 사용될 수 있다.
디블록 궁중합체는 2가지 상이한 중합체의 블록을 갖는다. 대표적인 디블록 공중합체의 화학식 (2)이 하기 도시되어 있다.
화학식 (2)
-(A)m-(B)n-
상기 식 중에서, 아래 기입 문자, "m" 및 "n"은 A 및 B의 반복 단위 수를 각각 나타낸다. 디블록 공중합체에 대한 명명은 A-b-B로 약칭하며, 여기서 A는 제1 블록의 중합체를 나타내고, B는 제2 블럭의 중합체를 나타내며, -b-는 이것이 블록 A 및 B의 디블록 공중합체임을 명명한 것이다. 예를 들면, PS-b-PMMA는 폴리스티렌(PS)와 폴리메틸메타크릴레이트(PMMA)의 디블록 공중합체를 나타낸다. 선형 블록 공중합체 이외에도, 다른 구성을 지닌 블록 공중합체, 예를 들면 성상 공중합체, 분지형 공중합체, 과분지형 공중합체, 및 그라프트화 공중합체가 또한 DSA에 사용될 수 있다.
그 블록은 일반적으로 또다른 비유사 블록이 부착되어 있을 수 있는 임의의 적당한 마이크로도메인 형성 블록일 수 있다. 블록은 상이한 중합가능한 단량체로부터 유도될 수 있으며, 여기서 그 블록으로는 폴리디엔을 포함하는 폴리올레핀, 폴리(알킬렌 옥사이드)(예컨대, 폴리(에틸렌 옥사이드), 폴리(프로필렌 옥사이드), 폴리(부틸렌 옥사이드), 또는 이들의 랜덤 또는 블록 공중합체)를 비롯한 폴리에테르, 폴리(메트)아크릴레이트, 폴리스티렌, 폴리에스테르, 폴리오가노실록산, 폴리오가노게르만 등이 포함되지만, 이에 국한되는 것은 아니다.
블록 공중합체의 블록은 단량체로서 C2-30 올레핀계 단량체, C1-30 알콜로부터 유도된 (메트)아크릴레이트 단량체, Fe, Si, Ge, Sn, Al, Ti를 기초로 한 것들을 비롯한 무기 함유 단량체, 또는 전술한 단량체 중 하나 이상을 포함하는 조합물을 포함할 수 있다. 블록에 사용하기 위한 단량체는 C2-30 올레핀계 단량체로서 에틸렌, 프로필렌, 1-부텐, 1,3-부타디엔, 이소프렌, 비닐 아세테이트, 디히드로피란, 노르보르넨, 말레산 무수물, 스티렌, 4-히드록시 스티렌, 4-아세톡시 시트린, 4-메틸스티렌 또는 α-메틸스티렌을 포함할 수 있다. 단량체는 (메트)아크릴레이트 단량체로서 메틸 (메트)아크릴레이트, 에틸 (메트)아크릴레이트, n-프로필 (메트)아크릴레이트, 이소프로필 (메트)아크릴레이트, n-부틸 (메트)아크릴레이트, 이소부틸 (메트)아크릴레이트, n-펜틸 (메트)아크릴레이트, 이소펜틸 (메트)아크릴레이트, 네오펜틸 (메트)아크릴레이트, n-헥실 (메트)아크릴레이트, 시클로헥실 (메트)아크릴레이트, 이소보르닐 (메트)아크릴레이트, 또는 히드록시에틸 (메트)아크릴레이트를 포함할 수 있다. 이들 단량체 중 2 이상으로 된 조합물이 사용될 수 있다. 단독중합체인 블록은 스티렌을 사용하여 제조된 블록(예를 들면, 폴리스티렌 블록) 또는 (메트)아크릴레이트 단독중합체 블록, 예컨대 폴리(메틸메타크릴레이트) 블록을 포함할 수 있다. 랜덤 블록은 예를 들면 랜덤하게 공중합된 스티렌과 메틸 메타크릴레이트의 블록(예를 들면, 폴리(스티렌-코-메틸 메타크릴레이트))을 포함할 수 있다. 교번 공중합체 블록은 스티렌과 말레산 무수물의 블록을 포함할 수 있으며, 그 블록은 대부분의 조건 하에 단독중합하는 말레산 무수물의 불안정성에 기인하여 스티렌-말레산 무수물 한쌍(diad) 반복 구조(예를 들면, 폴리(스티렌-alt-말레산 무수물))를 형성하는 것으로 공지되어 있다. 그러한 블록은 예시적인 것으로 이해되어야 하고, 한정하기 위한 것으로 이해되어서는 안된다.
더구나, 본 발명의 방법에 사용하기에 적합할 수 있는 블록 공중합체는 디블록 떠는 트리블록 공중합체, 예컨대 폴리(스티렌-b-비닐 피리딘), 폴리(스티렌-b-부타디엔), 폴리(스티렌-b-이소프렌), 폴리(스티렌-b-메틸 메타크릴레이트), 폴리(스티렌-b-알킬렌 방향족), 폴리(이소프렌-b-에틸렌 옥사이드), 폴리(스티렌-b-(에틸렌-프로필렌)), 폴리(에틸렌 옥사이드-b-카프로락톤), 폴리(부타디엔-b-에틸렌 옥사이드), 폴리(스티렌-b-t-부틸 (메타)아크릴레이트), 폴리(메틸 메타크릴레이트-b-t-부틸 메타크릴레이트), 폴리(에틸렌 옥사이드 b-프로필렌 옥사이드), 폴리(스티렌-b-테트라히드로푸란), 폴리(스티렌-b-이소프렌-b-에틸렌 옥사이드), 폴리(스티렌-b-디메틸실록산), 폴리(메틸 메아크릴레이트-b-디메틸실록산), 또는 전술한 블록 공중합체 중 하나 이상을 포함하는 조합물을 포함한다.
블록 공중합체는 추가 공정 처리에 따라 개선할 수 있는 전체 분자량 및 다분산도를 갖는 것이 바람직하다. 예를 들면, 블록 공중합체는 3,000 내지 400,000 g/mol의 중량 평균 분자량(Mw)을 가질 수 있다. 유사하게, 블록 공중합체는 또한 1,000 내지 200,000의 수 평균 분자량(Mn)을 가질 수 있다. 블록 공중합체는 또한 1.01 내지 6의 다분산도(Mw/Mn)를 가질 수 있으며, 하지만 이에 국한되는 것은 아니다. 분자량 Mw 및 Mn은 예를 들면 폴리스티렌 기준물질로 보정되는 일반적인 보정 방법을 이용하는 겔 투과 크로마토그래피에 의해 결정될 수 이다.
블록 공중합체 제제는, 후건조 공정을 이용하거나 이용하는 일 없이, 이 제제를 기판 상에 약 1 rpm 내지 약 10,000 rpm의 스핀 속도로 기판 상에 스핀 코팅함으로써 도포할 수 있다. 블록 공중합체 제제를 기판에 도포하는데 다른 공정, 예컨대 딥 코팅 및 스프레이-코팅이 이용될 수 있다.
본원에 사용된 바와 같이, "상 분리"는 블연속 마이크로상 분리된 도메인("마이크로도메인"이라고 칭하고, 또한 단순히 "도메인"이라고도 칭함)을 형성할 수 있는 블록 공중합체의 블록의 성향을 의미한다. 동일 단량체의 블록은 증집하여 도메인을 형성하고 도메인의 이격 또는 형태는 블록 공중합체에서의 상이한 블록의 상호작용, 부피 분율 및 수에 따라 좌우된다. 블록 공중합체의 도메인은 그 공중합체를 기판에 도포하는 동안, 예컨대 스핀 코팅 단계 동안 자발적으로 형성될 수 있거나, 또는 도메인은 어닐링 단계의 결과로서 형성될 수 있다. "가열" 또는 "소성 처리"는 기판 및 이 상부에 코팅된 층의 온도가 주위 온도 이상으로 상승되는 일반적인 공정이다. "어닐링"은 열적 어닐링, 열 구배 어닐링, 용매 증기 어닐링 또는 다른 어닐링 방법을 포함할 수 있다. 열적 어닐링은 경우에 따라 "열적 경화"인 것으로 칭하며, 상 분리를 유도하는데 이용될 수 있으며, 또한 측부 마이크상 분리된 도메인의 층 내의 결함을 감소 또는 제거하는데 이용될 수 있다. 일반적으로, 그것은 일정 시간 동안(예를 들면, 수 분 내지 수 일 동안) 블록 공중합체의 유리 전이 온도 이상의 상승된 온도에서 가열을 수반한다.
사용될 수 있는 용매는 블록 공중합체 성분 및 필요한 경우 다양한 첨가제의 용해도 요건에 따라 달라진다. 이러한 성분 및 첨가제를 위한 예시적인 캐스팅 용매로는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 에톡시에틸 프로피오네이트, 아니솔, 에틸 락레이트, 2-헵탄온, 시클로헥산온, 아밀 아세테이트, γ-부티로락톤(GBL), 톨루엔 등이 포함된다.
첨가제는 (단독중합체, 성상 중합체 및 공중합체, 과분지형 중합체, 블록 공중합체, 그라프트 공중합체, 과분지형 공중합체, 랜덤 공중합체, 가교결합성 중합체 및 무기 함유 중합체를 비롯한) 추가 중합체, 소분자, 나노입자, 금속 화합물, 무기 함유 분자, 계면활성제, 광산 발생제, 열적 산 발생제, 염기 켄칭제(base quencher), 경화제, 가교결합제, 사슬 연장제, 및 전술한 것 중 하나 이상을 포함하는 조합물로 구성되는 군으로부터 선택될 수 있으며, 여기서 하나 이상의 첨가제는 블록 공중합체와 공동 조립하여 자기조립된 도메인의 하나 이상의 부분을 형성한다.
본원에 사용된 바와 같이, 기판은 반도체 산업에서 사용된 기판에 반드시 국한되는 것은 아니지만 그 기판을 비롯한, 본원에 기술된 방법 중 어느 것이든지에 의해 사용하기에 적합한 물리적 구조물이다. 그 기판은 물질(예컨대, 중합체, 중합체 물질, 금속, 산화물, 유전체 등)이 상부에 침착 또는 부착될 수 있는 물리적 본체(예를 들면, 층 또는 적층, 재료 등)을 포함한다. 본원에서 기판은 반도체 재료, 절연 재료, 전도성 재료, 또는 다층 구조물을 비롯한 이들의 임의 조합물을 포함할 수 있다. 따라서, 예를 들면, 기판은 반도체 재료, 예컨대 Si, SiGe, SiGeC, SiC, GaAs, InAs, InP 및 다른 III/V 또는 II/VI 화합물 반도체를 포함할 수 있다. 기판은 예를 들면 규소 웨이퍼 또는 공정 웨이퍼, 예컨대 반도체 제조 공정의 다양한 단계에서 제조된 것, 예를 들면 집적 반도체 웨이퍼를 포함할 수 있다. 기판은 예를 들면 Si/SiGe, Si/SiC, 절연체 상의 규소(SOI: silicon-on-insulator) 또는 절연체 상의 규소 게르마늄(SGOI: silicon germanium-on-insulator)와 같은 층상화된 기판을 포함할 수 있다. 기판은 하나 이상의 층, 예를 들면 유전체 층, 구리를 위한 차단층, 예컨대 SiC, 금속 층, 예컨대 구리, 하프늄 디옥사이드 층, 규소 층, 규소 산화물 층 등, 또는 이들의 조합물을 포함할 수 있다. 기판은 절연 물질, 예컨대 유기 절연체, 무기 절연체 또는 다층을 비롯한 이들의 조합물을 포함할 수 있다. 기판은 전도성 물질, 예를 들면 다결정 규소(polySi), 원자 금속, 원자 금속의 합금, 금속 규화물, 금속 질화물, 또는 다층을 비롯한 이들의 조합물을 포함할 수 있다. 기판은 이온 주입된 영역, 예컨대 기판의 표면에 활성인 P형 또는 N형 확산을 갖는 이온 주입된 소스/드레인 영역을 포함할 수 있다.
본 발명은 그 기술사상 또는 기본 특성으로부터 벗어나는 일 없이 다른 특정 형태로 구체화될 수 있다. 설명된 실시양태는 모든 사항에 대하여 단지 예시적이고 제한적인 것이 아닌 것으로 이해되어야 한다. 그러므로, 본 발명의 영역은 전술한 명세서보다 오히려 부가된 특허청구범위에 의해 제시된다. 특허청구범위의 등가 의미 및 범위 내에서 모든 변경예는 본 발명의 영역 내에 속한다.

Claims (10)

  1. 표적화 임계 치수(CD: critical dimension)가 200 nm 미만인 불연속 개구부를 기판에 제공하는 단계로서, 개구부는 3⑨개구부가 평균 CD개구부의 10% 이상인, 표준 편차 ⑨개구부 및 평균 CD개구부를 특징으로 하는 CD에서의 통계적 변동을 갖는 것인 단계,
    기판 위에, 블록 공중합체를 포함하는 층을 도포하는 단계로서, 그 공중합체의 성분들은 서로 혼화성인 것인 단계,
    중합체가, 각 개구부의 내부에, 주위 자기조립된(self-assembled) 도메인 내에 있는 자기조립된 중심 도메인을 형성하도록 하는 단계, 및
    중심 도메인을 선택적으로 제거함으로써, 각각의 중심 도메인이 위치해 있던 홀을 형성시키는 단계로서, 홀은 ⑨/평균 CD이 ⑨개구부/평균 CD개구부의 0.8 미만인 표준 편차 ⑨ 및 평균 CD을 특징으로 하는 CD에서의 통계적 변동을 갖는 것인 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 홀을 기판으로 전사시키는 단계를 포함하는 방법.
  3. 제1항에 있어서, 홀을 임의 물질로 역충전(backfilling)시키는 단계를 포함하는 방법.
  4. 제1항에 있어서, 중합체는 어닐링 처리함으로써, 자기조립된 중심 도메인 및 주위 자기조립된 도메인을 형성하는 것인 방법.
  5. 제1항에 있어서, 개구부는 광학 리쏘그래피를 이용하여 형성시키는 것인 방법.
  6. 제1항에 있어서, 표적화 CD를 갖는 개구부의 수가 100 이상인 방법.
  7. 제1항에 있어서, 불연속 개구부는 난형으로 형상화된 것인 방법.
  8. 표적화 직경이 200 nm 미만인 개구부를 각각 갖는 복수개의 기판을 제공하는 단계로서, 표적화 직경은 각각의 기판에 대하여 동일하고, 개구부는 3⑨개구부가 표적화 직경의 10% 이상인, 표준 편차 ⑨개구부 및 평균 직경개구부를 특징으로 하는 통계적 변동(상기 기판에서 상기 개구부의 모든 경우)을 갖는 평균 직경을 보유하는 것인 단계,
    각각의 기판 위에, 블록 공중합체를 포함하는 층을 도포하는 단계로서, 그 공중합체의 성분들은 서로 혼화성인 것인 단계,
    중합체가, 각각의 기판에서 각각 개구부의 내부에, 주위 자기조립된 도메인 내에 있는 자기조립된 중심 도메인을 형성하도록 하는 단계, 및
    각각의 기판에서 중심 도메인을 선택적으로 제거함으로써, 각각의 중심 도메인이 위치해 있던 곳에 홀을 형성시키는 단계로서, 홀은 각각의 직경을 가지며 그리고 ⑨/평균 직경이 ⑨개구부/평균 직경개구부의 0.8 미만인, 표준 편차 ⑨ 및 평균 직경을 특징으로 하는 직경에서의 통계적 변동(상기 기판에서 상기 개구부의 모든 경우)을 갖는 것인 단계
    를 포함하는 방법.
  9. 표면을 갖는 기판의 내부에 개공부를 제공하는 단계로서, 개구부는 표적화 직경이 200 nm 미만인 중복 홀로부터 형성된 것과 등가인 경계부를 갖고, 홀은 돌출부를 한정하는 측벽을 가지며, 그 돌출부에서는 측벽이 인접하고, 중복 홀은 각각의 직경을 가지며 그리고 표준 편차 ⑨중복 홀 및 평균 직경중복 홀을 특징으로 한 직경에서의 통계적 변동을 갖는 것인 단계,
    표면 위에, 블록 공중합체를 포함하는 중합체 층을 도포하는 단계로서, 공중합체의 성분들은 서로 혼화성인 것인 단계,
    중합체가 홀 중 하나의 홀에 상응하는 개구부의 각 부분 내부에 단일의 불연속 세그먼트화 도메인을 형성하도록 하는 단계, 및
    불연속 세그멘트화 도메인의 적어도 일부를 제거함으로써, 홀을 형성시키는 단계로서, 형성된 홀은 각각의 직경을 가지며 그리고 표준 편차 ⑨형성된 홀 및 평균 직경형성된 홀을 특징으로 하는 직경에서의 통계적 변동을 갖고, 여기서
    i) 각 불연속 세그먼트화 도메인의 위치는 하나 이상의 측벽과 그 하나 이상의 측벽의 적어도 일부에서 형성되는 돌출부에 의해 선결정되며,
    ii) 도메인은 각각 기하구조 중심을 가지며, 임의의 제시된 도메인 경우, 그 중심과 그 제시된 도메인의 가장 근접한 이웃 도메인의 중심은 제시된 도메인의 위치와 그 제시된 도메인의 가장 근접한 이웃 도메인의 위치를 선결정하는 측벽에 상응하는 홀의 평균 곡률 반경의 합보다 더 작은 거리에 의해 분리되고,
    iii) ⑨형성된 홀/평균 직경형성된 홀은 ⑨중복 홀/평균 직경 중복 홀보다 더 작은 것인 단계
    를 포함하는 방법.
  10. 제9항에 있어서, 형성된 홀을 기판에 전사시키는 단계를 추가로 포함하는 방법.
KR1020100038148A 2009-05-22 2010-04-23 중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법 KR20100126190A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/470,760 US8114306B2 (en) 2009-05-22 2009-05-22 Method of forming sub-lithographic features using directed self-assembly of polymers
US12/470,760 2009-05-22

Publications (1)

Publication Number Publication Date
KR20100126190A true KR20100126190A (ko) 2010-12-01

Family

ID=43103942

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100038148A KR20100126190A (ko) 2009-05-22 2010-04-23 중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법

Country Status (4)

Country Link
US (1) US8114306B2 (ko)
JP (1) JP5579494B2 (ko)
KR (1) KR20100126190A (ko)
CN (1) CN101894794B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130092480A (ko) * 2012-02-09 2013-08-20 도오꾜오까고오교 가부시끼가이샤 컨택트홀 패턴의 형성 방법
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9651867B2 (en) 2012-09-12 2017-05-16 Dongjin Semichem Co., Ltd. Compound and composition for forming lower film of resist pattern, and method for forming lower film using same
US9666443B2 (en) 2013-03-15 2017-05-30 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US9666448B2 (en) 2015-04-06 2017-05-30 SK Hynix Inc. Methods of forming patterns
KR20200044992A (ko) * 2017-09-22 2020-04-29 어플라이드 머티어리얼스, 인코포레이티드 생물학적 응용들을 위한 독립 멤브레인을 생성하는 방법

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8535544B2 (en) 2010-07-26 2013-09-17 International Business Machines Corporation Structure and method to form nanopore
KR20140007797A (ko) * 2010-10-07 2014-01-20 도오꾜오까고오교 가부시끼가이샤 가이드 패턴 형성용 네거티브형 현상용 레지스트 조성물, 가이드 패턴 형성 방법, 블록 코폴리머를 포함하는 층의 패턴 형성 방법
JP5292423B2 (ja) * 2011-02-25 2013-09-18 株式会社東芝 パターンデータ生成装置、パターンデータ生成方法、及びパターン形成方法
US9134617B2 (en) 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
TWI511084B (zh) 2012-01-20 2015-12-01 Asml Netherlands Bv 可自組聚合物及用於微影之方法
JP5894445B2 (ja) 2012-01-23 2016-03-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP2013187387A (ja) 2012-03-08 2013-09-19 Toshiba Corp パターン形成方法
JP5891075B2 (ja) 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
US9229324B2 (en) 2012-03-28 2016-01-05 Asml Netherlands B.V. Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
JP5973763B2 (ja) 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
WO2013152928A1 (en) 2012-04-13 2013-10-17 Asml Netherlands B.V. Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
CN104620352B (zh) * 2012-07-10 2017-05-10 株式会社尼康 标记形成方法和器件制造方法
WO2014010593A1 (ja) 2012-07-10 2014-01-16 株式会社ニコン マーク及びその形成方法、並びに露光装置
JP5902573B2 (ja) * 2012-07-18 2016-04-13 株式会社東芝 パターン形成方法
JP6058940B2 (ja) * 2012-08-02 2017-01-11 旭化成株式会社 パターン形成用樹脂組成物及びパターン形成方法
JP2014033051A (ja) * 2012-08-02 2014-02-20 Toshiba Corp パターン形成方法及び半導体装置の製造方法
TWI526777B (zh) 2012-08-06 2016-03-21 Asml荷蘭公司 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
US8771929B2 (en) 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5758363B2 (ja) 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
JP5752655B2 (ja) 2012-09-10 2015-07-22 株式会社東芝 パターン形成方法
WO2014050305A1 (ja) 2012-09-27 2014-04-03 株式会社 日立ハイテクノロジーズ パターン計測装置、自己組織化リソグラフィに用いられる高分子化合物の評価方法、及びコンピュータープログラム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
JP6088803B2 (ja) 2012-11-16 2017-03-01 株式会社日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
US9107291B2 (en) 2012-11-21 2015-08-11 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
US9581899B2 (en) 2012-11-27 2017-02-28 International Business Machines Corporation 2-dimensional patterning employing tone inverted graphoepitaxy
WO2014098025A1 (ja) * 2012-12-18 2014-06-26 日産化学工業株式会社 スチレン構造を含む自己組織化膜の下層膜形成組成物
KR101993255B1 (ko) 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8656322B1 (en) 2013-01-18 2014-02-18 International Business Machines Corporation Fin design level mask decomposition for directed self assembly
US9831062B2 (en) 2013-01-23 2017-11-28 Hitachi High-Technologies Corporation Method for pattern measurement, method for setting device parameters of charged particle radiation device, and charged particle radiation device
TWI494537B (zh) * 2013-01-23 2015-08-01 Hitachi High Tech Corp A pattern measuring method, a device condition setting method of a charged particle beam device, and a charged particle beam device
JP6002056B2 (ja) * 2013-02-18 2016-10-05 株式会社東芝 ガイドパターンデータ補正方法、プログラム、及びパターン形成方法
JP5758422B2 (ja) * 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US9012270B2 (en) * 2013-03-15 2015-04-21 Globalfoundries Inc. Metal layer enabling directed self-assembly semiconductor layout designs
TWI672788B (zh) 2013-03-27 2019-09-21 日商尼康股份有限公司 標記形成方法、標記檢測方法、及元件製造方法
JP6029522B2 (ja) 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
WO2014185432A1 (ja) * 2013-05-13 2014-11-20 横浜ゴム株式会社 膜、テラヘルツ波検出器、膜の製造方法
JP6059608B2 (ja) 2013-06-12 2017-01-11 株式会社東芝 パターン形成方法
JP5981392B2 (ja) * 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
US9382444B2 (en) 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
US9802400B2 (en) * 2013-06-24 2017-10-31 Dow Global Technologies Llc Orientation control layer formed on a free top surface of a first block copolymer from a mixture of first and second block copolymers
KR102105196B1 (ko) 2013-07-25 2020-04-29 에스케이하이닉스 주식회사 반도체 소자 제조 방법
US20150179434A1 (en) 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
JP2015032815A (ja) * 2013-08-07 2015-02-16 株式会社東芝 パターン形成方法
US9136140B2 (en) 2013-09-12 2015-09-15 United Microelectronics Corp. Patterning method
JP2015084396A (ja) 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
WO2015067433A1 (en) * 2013-11-08 2015-05-14 Asml Netherlands B.V. Methodology to generate a guiding template for directed self-assembly
US9111067B2 (en) * 2013-11-18 2015-08-18 Mentor Graphics Corporation Grouping layout features for directed self assembly
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR20150101875A (ko) 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US9385026B2 (en) 2014-05-08 2016-07-05 GlobalFoundries, Inc. Sublithographic Kelvin structure patterned with DSA
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP6503206B2 (ja) 2015-03-19 2019-04-17 東京応化工業株式会社 レジストパターン修復方法
KR102358710B1 (ko) 2015-04-06 2022-02-08 에스케이하이닉스 주식회사 선폭이 다른 패턴들 형성 방법
US9490168B1 (en) 2015-05-13 2016-11-08 International Business Machines Corporation Via formation using sidewall image transfer process to define lateral dimension
KR102346515B1 (ko) 2015-05-19 2022-01-04 삼성전자주식회사 패턴 구조물의 형성 방법
JP6594049B2 (ja) 2015-05-29 2019-10-23 東京応化工業株式会社 レジストパターン形成方法
JP6371745B2 (ja) * 2015-09-11 2018-08-08 東芝メモリ株式会社 パターン形成方法
TWI612108B (zh) * 2015-10-31 2018-01-21 Rohm And Haas Electronic Materials Llc 嵌段共聚物及圖案處理組合物以及方法
KR102402958B1 (ko) 2015-11-11 2022-05-27 삼성전자주식회사 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
JP6643876B2 (ja) 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
JP6643875B2 (ja) 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
US9569578B1 (en) 2015-12-14 2017-02-14 International Business Machines Corporation Mask decomposition and optimization for directed self assembly
KR20180112778A (ko) 2016-02-08 2018-10-12 제이에스알 가부시끼가이샤 콘택트 홀 패턴의 형성 방법 및 조성물
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9768059B1 (en) * 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
US10691019B2 (en) 2016-10-07 2020-06-23 Jsr Corporation Pattern-forming method and composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN106773541B (zh) * 2016-12-20 2019-10-01 中国科学院微电子研究所 一种基于版图几何特征匹配的光刻解决方案预测方法
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US9847232B1 (en) 2017-03-24 2017-12-19 Jsr Corporation Pattern-forming method
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10340179B2 (en) 2017-09-13 2019-07-02 International Business Machines Corporation Via formation using directed self-assembly of a block copolymer
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN113838122B (zh) * 2021-07-26 2023-10-17 中煤科工集团沈阳研究院有限公司 一种带频域校验的圆形高温区域定位的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630404B1 (en) * 2001-03-14 2003-10-07 Advanced Micro Devices, Inc. Reducing feature dimension using self-assembled monolayer
JP2005041931A (ja) * 2003-07-23 2005-02-17 Jsr Corp 重合体組成物及びナノオーダーパターン形成方法
US6989324B2 (en) * 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US8133534B2 (en) * 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
US7347953B2 (en) * 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7384852B2 (en) * 2006-10-25 2008-06-10 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7675137B2 (en) * 2007-07-26 2010-03-09 International Business Machines Corporation Electrical fuse having sublithographic cavities thereupon
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130092480A (ko) * 2012-02-09 2013-08-20 도오꾜오까고오교 가부시끼가이샤 컨택트홀 패턴의 형성 방법
KR20130124861A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9651867B2 (en) 2012-09-12 2017-05-16 Dongjin Semichem Co., Ltd. Compound and composition for forming lower film of resist pattern, and method for forming lower film using same
US9666443B2 (en) 2013-03-15 2017-05-30 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US9666448B2 (en) 2015-04-06 2017-05-30 SK Hynix Inc. Methods of forming patterns
KR20200044992A (ko) * 2017-09-22 2020-04-29 어플라이드 머티어리얼스, 인코포레이티드 생물학적 응용들을 위한 독립 멤브레인을 생성하는 방법
KR20220095250A (ko) * 2017-09-22 2022-07-06 어플라이드 머티어리얼스, 인코포레이티드 생물학적 응용들을 위한 독립 멤브레인을 생성하는 방법

Also Published As

Publication number Publication date
JP5579494B2 (ja) 2014-08-27
CN101894794B (zh) 2013-05-15
JP2010269304A (ja) 2010-12-02
US20100297847A1 (en) 2010-11-25
US8114306B2 (en) 2012-02-14
CN101894794A (zh) 2010-11-24

Similar Documents

Publication Publication Date Title
KR20100126190A (ko) 중합체의 유도된 자기조립을 이용하여 서브리쏘그래픽 피처를 형성하는 방법
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
US9159558B2 (en) Methods of reducing defects in directed self-assembled structures
CN107112212B (zh) 使用接枝聚合物材料图案化基底
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이
US7521094B1 (en) Method of forming polymer features by directed self-assembly of block copolymers
Kim et al. The SMARTTM process for directed block co-polymer self-assembly
JP2016105455A (ja) 基板表面上にパターンを作製するためのグラフォエピタキシー法
JP2019114792A (ja) 化学的ガイディング構造を基板上に形成するための方法及び化学的エピタキシー方法
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
Schmid et al. Fabrication of 28nm pitch Si fins with DSA lithography
JP2016173415A (ja) パターン形成方法
Liu et al. DSA patterning options for FinFET formation at 7nm node
US9947597B2 (en) Defectivity metrology during DSA patterning
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
Delachat et al. An embedded neutral layer for advanced surface affinity control in grapho-epitaxy directed self-assembly
Wu et al. Improved Processing Window of Contact Hole with Directed Self-Assembly of Block Copolymer Blends
US20170287126A1 (en) Method of evaluating aligned patterns in directed self-assembly and using in feedback control scheme
Oria et al. Block co-polymer guided self-assembly by surface chemical modification: Optimization of multiple patterning process and pattern transfer
Kostic et al. Electron beam lithography method for high-resolution nanofabrication
JP2018160537A (ja) パターン形成方法
Ren Three Dimensional Structures in the Directed Self-Assembly of Block Copolymers
Cord Achieving sub-10-nm resolution using scanning electron beam lithography
Tung Block Copolymer Directed Self-Assembly for Patterning Memory and Logic

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application