KR20070017509A - 기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정 - Google Patents

기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정 Download PDF

Info

Publication number
KR20070017509A
KR20070017509A KR1020067020256A KR20067020256A KR20070017509A KR 20070017509 A KR20070017509 A KR 20070017509A KR 1020067020256 A KR1020067020256 A KR 1020067020256A KR 20067020256 A KR20067020256 A KR 20067020256A KR 20070017509 A KR20070017509 A KR 20070017509A
Authority
KR
South Korea
Prior art keywords
aqueous solution
formulation
water
hydroxide
mercaptobenzimidazole
Prior art date
Application number
KR1020067020256A
Other languages
English (en)
Other versions
KR101256230B1 (ko
Inventor
멜리사 케이. 래스
데이비드 디. 베른하드
데이비드 민섹
마이클 비. 코르젠스키
토마스 에이치 바움
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20070017509A publication Critical patent/KR20070017509A/ko
Application granted granted Critical
Publication of KR101256230B1 publication Critical patent/KR101256230B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/435Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with one nitrogen as the only ring hetero atom
    • A61K31/44Non condensed pyridines; Hydrogenated derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Epidemiology (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 그 위에 포토레지스트 및/또는 반사-방지성 코팅 (SARC) 물질들을 가지는 기판으로부터 상기 물질을 제거하기 위한 조성물 및 공정에 관한 것이다. 상기 조성물은 알카리 또는 알카리성 토염기와 배합하는 4차 암모늄 염기, 또는 그 대신에 산화제와 배합하는 강염기와 같은 염기 화합물을 포함한다. 상기 조성물은 구리, 알루미늄 및/또는 코발트 합금과 같은 기판에서 금속 종에 대한 역효과와 반도체 구조물에 사용된 SiOC-기반 유전체 물질에 대한 손상 없이, 집적 회로 장비의 제조에서 포토레지스트 및/또는 SARC 물질들의 고-효율적 제거를 달성하기 위하여, 수성 매질, 예를 들어, 킬레이터, 계면활성제, 및/또는 공-용매 종에서 활용될 수 있다.
포토레지스트, 집적 회로, 세정 조성물

Description

기판에 증착된 포토레지스트 및/또는 희생 반사―방지성 물질의 포스트―에치 제거를 위한 조성물 및 공정{Composition and Process For Post-Etch Removal of Photoresist and/or Sacrificial Anti-Reflective Material Deposited on a Substrate}
본 발명은 그 위에 증착된 포스트-에치(post-etch) 포토레지스트(photoresist) 및/또는 희생 반사-방지성(anti-reflective) 코팅 물질을 가지는 기판(substrate) 또는 아티클(article)로부터 상기 물질을 제거하는데 유용한 조성물 및 공정에 관한 것이다.
관련 발명의 기술
현재 개발되는 있는 것처럼, 반도체 집적화는 (i) 반사방지성 코팅의 사용, (ⅱ) 낮은 k 유전체 물질에 대한 에치(etch)/애쉬(ash)-유도된 손상의 최소화, (ⅲ) 유전체/에치(etch) 중지 상호연결(interconnect) 층들에 대해 효과적인 k 값들의 최소화 및 (ⅳ) 집적화 기술들의 허용할 수 있는 조건들 및 변화의 면에서 광범위한 공정 허용 범위를 필요로 한다.
상기 필요조건은, 단일 공정 단계에서 포스트-에치 포토레지스트 및 SARC를 제거하는 액체 세정 화학성분과 결합하고, 희생 반사방지성 코팅을 사용하는 유전체 패턴화 공정을 사용해서, 애싱(ashing) 없이 에칭(etching) 작업의 사용에 의하여 제공될 수 있다.
반도체 제조에 사용된 현재의 포토리소그래피(photolithography) 공정들은 스텝퍼(stepper) 자외선(UV light)의 반사를 방지하기 위하여 포토레지스트 층 아래에 UV/빛-흡수 코팅의 사용을 필요로 한다. 상기 코팅이 없으면, 상당한 양의 빛이 밑에 있는 기판에서 반사된다. 이어서, 상기 반사된 빛은, 포토리소그래피 공정 동안, 보강, 상쇄 간섭으로 인한 포토레지스트 노칭(notching), 비-일률적인 광속도(photospeed), 전반적인 포토리소그래피(photolithography) 패턴 결점들의 발생, 임계 치수 용량의 손실 등과 같은 결함들을 만들어낼 수 있다.
하부 반사방지성 코팅 (BARCs) 및 희생 반사방지성 코팅 (SARCs)의 사용과 두겹- 및 세겹-층의 포토레지스트의 사용을 포함하는 포토리소그래피 공정들에서 자외선의 높은 흡광도를 얻기 위하여 여러 가지 접근방법(approach)들이 있다. 상기 접근방법들 모두는 투사된 광을 흡수하는 스핀-온 폴리머 매트릭스(spin-on polymer matrix)에 자외선 발색단(chromophore)을 통합시킨다. 상기 반사방지성 코팅들의 모두는 또한 통상적인 듀얼 다마신(dual damascene) 집적화에서 일어나는 위상적인(topological) 웨이퍼 표면에 대해 평탄화 효과를 가진다.
그러나, SiOC-기반 유전체 물질들이 반도체 집적화에 사용될 때, SARCs의 사용은 상기 언급된 다른 접근방법들을 능가하는 두 가지 중요한 장점들을 가진다.
첫째, SARC 물질들은 테트라에틸오르토실리케이트(TEOS)를 기반으로 했기 때문에, 그 물질들은 SiOC-기반 절연체 물질과 유사한 방식 및 유사한 속도로 에치(etchable)될 수 있다. 전술한 다른 방식의 접근방법들과 관련하여, 이것은 트렌치(trench) 에치(etch) 중지 층들이 필요하지 않고, 그리고 비아(via) 에치 중지 층들이 50% 까지 두께를 줄일 수 있을 정도로, 매우 높은 수준의 에치 균일성 및 에치 제어가 이루어지도록 한다.
둘째, 유기물-기반 포토레지스트 및 BARCs에 비해, 에치된 SARCs는 에치 후에 가교-결합(cross-linking)의 그들의 정도를 유의성 있게 증가시키지 않기 때문에, 에치된 SARCs는 액상 세정제/에칭제(cleaner/etchant) 조성물들에 의해 제거될 수 있다.
세정제/에칭제 조성물이 낮은 용량(capacitance)의 (저 k) 절연 물질, 또는 유전체에 의하여 분리된, 알루미늄(aluminum) 또는 구리(copper)가 상호 연결된 와이어(wire)들을 처리하기 위한 백-엔드-오프-라인(BEOL) 적용에 사용될 때, 그것은 포토레지스트 잔류물과 SARCs을 제거하기 위해 사용된 조성물이 예를 들어, 구리(copper), 알루미늄(aluminum), 코발트(cobalt) 등에 대한 낮은 에치율과 같은 우수한 금속 호환성(compatibility)을 가지는 것이 중요하다.
미처리된 포토레지스트는 고급 유기 용매들의 용액들뿐만 아니라 강한 수성의 알카리성 용액들에서도 가용성을 가진다. 그러나, 기상(gas phase) 플라즈마 에칭에 노출되어서, 유전체 물질들의 에칭에 통상적으로 사용 포토레지스트는 상기 물질의 표면에 단단해진 크러스트(crust)를 만들 것이다. 단단해진 크러스트는 가 교-결합된 유기 폴리머(organic polymer)로 구성되고, 소량의 실리콘 또는 금속 원자(atom)들을 포함할 수 있다. 듀얼 다마신(dual damascene) 공정들에서 사용되는 것과 같은 불소-기반(fluorine-based) 플라즈마 에치(plasma etche)들은 포토레지스트 크러스트(crust)에 불소(fluorine) 원자들을 증착할 수 있고, 이것은 포토레지스트 크러스트의 가용성을 감소시키고 포토레지스트 크러스트의 화학성분 제거에 대한 저항성을 증가시킬 수 있다.
포토레지스트 및 크러스트는 기판이 산화 또는 환원 플라즈마 에치(ethch)에 노출되는 기상(gas phase) 애싱(ashing)에 의하여 제거될 수 있으나, 상기 플라즈마 애싱 기술들은, k값에 용납할 수 없는 증가를 초래하는, 유전체, 특히 다공(porous), 유기규산염(organosilicate) 또는 유기 저 k 물질들에 대해 손상을 발생시킬 수 있다. 제작된 구조물의 반도체 특징들은 구리, 알루미늄 또는 코발트 합금(alloy)들과 같은, 최종 생산 칩(chip)의 작업에 필요한 금속들을 포함할 수 있다.
히드록실아민(hydroxylamine)계 용액들이 당업계에서 포토레지스트 제거를 위해 활용되어 왔지만, 그러나 상기 용액들은, 구리가 집적 회로에 사용될 때 특히 문제가 되는 역부식 효과와 함께 그들의 사용을 제한하는 관련된 부식작용, 독성 및 반응성 문제들을 가진다.
발명의 요약
일 관점에서, 본 발명은, 그 위에 포토레지스트 및/또는 희생 반사-방지성 코팅 (SARC) 물질들을 가지는 기판으로부터 상기 물질을 제거하는데 유용한 세정 조성물에 관한 것이다. 상기 조성물은 (a) 하나 이상의 알카리(alkali) 및 알카리성 토염기(earth base)와 배합하는 4차 암모늄 염기; 및 (b) 산화제와 배합하는 강염기로 구성된 그룹에서 선택되는 활성 세정 화합물(ACC)을 포함한다.
본 발명은 다른 관점에서, 그 위에 포토레지스트(photoresist) 및/또는 SARC 물질을 가지는 기판에서 상기 물질을 적어도 부분적으로 제거하기 위해 충분한 시간 동안 세정 조성물과 기판을 접촉시키는 단계를 포함하는, 상기 물질의 제거 방법에 있어서, 상기 세정 조성물은 (a) 하나 이상의 알카리 및 알카리성 토염기와 배합하는 4차 암모늄 염기; 및 (b) 산화제와 배합하는 강염기로 구성된 그룹에서 선택되는 활성 세정 화합물(ACC)을 포함하는 방법에 관한 것이다.
또 다른 관점에서, 본 발명의 특징 및 장점들은 하기의 명세서 및 첨부된 청구항들로부터 보다 명백해 질 것이다.
본 발명의 상세한 설명 및 그에 대한 바람직한 구현예
본 발명은 그 위에 포토레지스트 및 희생 반사-방지성 코팅 (SARC) 물질들을 가지는 기판으로부터 상기물질(들)을 제거하는데 유용한 세정 조성물에 대해 정관하고자 한다.
상기 조성물은 (a) 하나 이상의 알카리 및 알카리성 토염기와 배합하는 4차 암모늄 염기; 및 (b) 산화제와 배합하는 강염기로 구성된 그룹에서 선택되는 활성 세정 화합물 (ACC)을 포함한다.
본 발명의 조성물은, 하기에 좀더 명백하게 기술되는 것과 같이, 광범위하게 다양화된 구체적인 포뮬레이션(formulation)의 형태로 구현될 것이다.
상기 모든 조성물에 있어서, 조성물의 구체적인 성분들은 최하점을 포함하는 중량 % 범위를 참조해서 검토되고, 상기 성분들은 조성물의 다양하고 구체적인 구현예들에 있거나 또는 없을 수 있으며, 상기 성분들이 존재하는 경우에는, 상기 성분들이 사용된 조성물의 총 중량을 기준으로, 상기 성분들은 0.01 중량%만큼 낮은 농도로 존재할 수 있는 것을 알 것이다.
본 발명은, 그 일 관점에서, 다음의 성분들을 포함하는, SARCs 및 포토레지스트의 제거에 유용한 세정 조성물에 관한 것이다:
0.1~40.0 중량% 유기 4차 암모늄 염기(organic quaternary ammonium base)
0.01~5 중량% 알카리(alkali) 또는 알카리성 토염기(alkaline earth base)
0~80 중량% 용매(들) 및/ 또는 아민(amine)(들)
0~5 중량% 계면활성제(surfactant)
0~10 중량% 킬레이터(chelator)/패시배이션(passivation) 제
0~98 중량% 물(water)
상기 성분들의 비율은, 조성물의 총 중량을 기준으로 한 중량%이고, 상기 조성물의 성분들의 중량 %의 총량은 100중량%를 초과하지 않는다.
상기 조성물은 불활성 성분들, 즉, 안정화제(stabilizer), 분산제(dispersant), 산화-방지제(anti-oxidant), 침투(penetration) 제, 보조제(adjuvant), 첨가제(additive), 충진제(filler), 부형제(excipient) 등뿐만 아니라 활성 성분 등, 부가적인 성분들을 선택적으로 포함할 수 있다.
다양한 구현예에 있어서, 상기 조성물은 전술한 유기 4차 암모늄 염기, 알카리 또는 알카리성 토염기, 용매(들) 및/또는 아민(들), 계면활성제, 킬레이터/패시배이션 제, 및 물 성분들로 본질적으로 구성되거나 또는 구성되고, 그 성분들을 다양하게 포함할 것이다.
제1 구체적인 구현예에 있어서, 세정 조성물은 다음 성분들을 포함한다:
2~15 중량% 유기 4차 암모늄 염기
0.01~2 중량% 알카리 또는 알카리성 토염기
0~50 중량% 용매(들) 및/ 또는 아민(들)
~0.01~2 중량% 계면활성제
0~5 중량% 킬레이터(chelator)/패시배이션(passivation) 제
40~95 중량% 물
상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 이러한 성분들의 중량 %의 총량은 100중량%를 초과하지 않는다.
다양한 바람직한 구현예에 있어서, 세정 조성물은 다음의 포뮬레이션(formulatin) A-G로 제형되고, 여기서, 모든 비율은, 포뮬레이션의 총 중량을 기준으로 한, 중량%이다:
포뮬레이션 A
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
3.0% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
91.0% 물(water)
포뮬레이션 B
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
3.0% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
0.20% 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol)
90.86% 물(water)
포뮬레이션 C
3.60% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.27% 수산화칼륨(potassium hydroxide)
3.5% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
15.0% 4-(3-아미노프로필)모르포라인(4-(3-aminopropyl)morpholine)
0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
77.25% 물(water)
포뮬레이션 D
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
20.0% 디메틸 술폭사이드(dimethyl sulfoxide)
0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
74.28% 물(water)
포뮬레이션 E
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
10.0% 테트라메틸렌 술폰(tetramethylene sulfone)
0.30% 옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ether with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich)
0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
83.98% 물(water)
포뮬레이션 F
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
10.0% 디(에틸렌글리콜)부틸 에테르(di(ethyleneglycol)butyl ether)
10.0%2-(2-디메틸아미노)에톡시)에탄올(2-(2-dimethylamino)ethoxy)ethanol)
0.30% 옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ether with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich)
74.06% 물(water)
포뮬레이션 G
5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
0.28% 수산화칼륨(potassium hydroxide)
10.0% 테트라메틸렌 술폰(tetramethylene sulfone)
10.0% 디(에틸렌글리콜)부틸 에테르(di(ethyleneglycol)butyl ether)
0.10% 옥시란(oxirane), 메틸-(methyl), 옥시란(oxirane)을 가지는 폴리머, 모노(옥틸페닐)에테르(mono(octylphenyl)ether)
0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
74.18% 물(water)
본 발명은, 다른 관점에서, 코발트 및 구리 호환성(compatibility)을 유지하면서, 반도체 기판들 및/또는 SARCs으로부터 포토레지스트 및/또는 포토레지스트 잔류물을 제거하는데 유용한 세정 조성물에 관한 것이다. 상기 세정 조성물은 하나 이상의 산화제(oxidant)의 수용액, 강 염기, 선택적으로 킬레이터(chelator) 및 선택적으로 공-용매(co-solvent) 및/또는 계면활성제(surfactant)를 포함한다. 상기 세정 조성물은 유전체(dielectric) 물질에 대한 손상과 밑에 있는 금속의 부식을 유발하지 않고 반도체 장비의 상부로부터 포토레지스트를 효과적으로 제거한다.
염기 성분이 수산화칼륨(potassium hydroxide)을 포함하는 유형의 조성물들은 유전체 층(dielectric layer)에 대해 역효과 없이 고 효율 세정을 달성하기에 특히 유리하다.
일 구현예에 있어서, 상기 유형의 조성물은, 조성물의 총 중량을 기준으로, 중량에 의한 다음 성분을 포함한다:
0.1~30 중량% 강염기
0.01~30 중량% 산화제
0~10 중량% 킬레이터(chelator)
0~5 중량% 계면활성제
0~50 중량% 공-용매(co-solvent)
20~98.9 중량% 탈이온수
상기 문맥에서 사용한 것과 같이, "강 염기"라는 용어는 수성 또는 일부분이 수성인 용액에서 해리하여 화학양론적 양의 수산화물 음이온을 실질적으로 산출하는 양이온/음이온(cation/anion)을 의미한다. 상기 강염기는 테트라메틸암모늄 수산화물(TMAH), 콜린 수산화물(choline hydroxide), 벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide) 등과 같은 수산화칼륨(potassium hydroxide) 및 알킬암모늄 수산화물(alkylammonium hydroxide)을 포함할 수 있다.
일 구현예에서, 본 발명의 조성물들은 히드록시아민(hydroxylamine)을 제외시킨다.
상기 조성물에서 산화제(oxidant)는 비 제한적으로, 과산화수소(hydrogen peroxide), 유기 과산화물(organic peroxide), 아민-N-산화물(amine-N-oxide), 과붕산 염(perborate salt), 과황산 염(persulfate salt)뿐만 아니라 상기 화합물의 둘 또는 그 이상의 조합과 같은 무기 및/또는 유기 산화제(oxidizer)들을 포함할 수 있다.
상기 조성물에서 킬레이터(chelator)는 어느 적절한 유형(type)일 수 있고, 비 제한적으로, 1,2,4-트리아졸(1,2,4-triazole)과 같은 트리아졸(triazole), 또는 C1~C8 알킬(alkyl), 아미노(amino), 티올(thiol), 머캅토(mercapto), 이미노(imino), 카르복실(carboxy) 및 니트로 그룹(nitro group)들과 같은 치환기로 치환된 트리아졸(triazoles) 및 벤조트리아졸(benzotriazole), 톨릴트리아졸(tolyltriazole) 5-페닐-벤조트리아졸(5-phenyl-benzotriazole), 5-니트로-벤조트리아졸(5-nitro-benzotriazole), 3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole), 1-아미노-1,2,4-트리아졸(1-amino-1,2,4-triazole), 히드록시벤조트리아졸(hydroxybenzotriazole), 2-(5-아미노-펜틸)-벤조트리아졸(2-(5-amino-pentyl)-benzotriazole), 1-아미노-1,2,3-트리아졸(1-amino-1,2,3-triazole), 1-아미노-5-메틸-1,2,3-트리아졸(1-amino-5-methyl-1,2,3-triazole), 3-아미노-1,2,4-트리아졸(3-amino-1,2,4-triazole), 3-머캅토-1,2,4-트리아졸(3-mercapto-1,2,4-triazole), 3-이소프로필-1,2,4-트리아졸(3-isopropyl-1,2,4-triazole); 5-페닐티올-벤조트리아졸(5-phenylthiol-benzotriazole), 할로-벤조트리아졸(halo-benzotriazole), (할로(halo)= 플루오르(F), 염소(Cl), 브롬(Br) 또는 요오드(I)); 나프토트리아졸(naphthotriazole) 등과 같은 니트로기뿐만 아니라 2-머캅토벤조이미디졸(2-mercaptobenzoimidizole), 2-머캅토벤조티아졸(2-mercaptobenzothiazole), 4-메틸-2-페닐이미다졸(4-methyl-2-phenylimidazole), 2-머캅토티아졸린(2-mercaptothiazoline), 5-아미노테트라졸(5-aminotetrazole), 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol), 2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine), 티아졸(thiazole), 트리아진(triazine), 메틸테트라졸(methyltetrazole), 1,3-디메틸-2-이미다졸리디논(1,3-dimethyl-2-imidazolidinone), 1,5-펜타메틸렌테트라졸(1.5-pentamethylenetetrazole), 1-페닐-5-머캅토테트라졸(1-phenyl-5-mercaptotetrazole), 디아미노메틸트리아진(diaminomethyltrazine), 머캅토벤조티아졸(mercaptobenzothiaxole), 이미다졸린 티온(imidazoline thione), 머캅토벤즈이미다졸(mercaptobenzimidazole), 4-메틸-4H-1,2,4-트리아졸-3-티올(4-methyl-4H-1,2,4-triazole-3-thiol), 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol), 벤조티아졸(benzothiazole), 트리톨릴 인산염(tritolyl phosphate), 인디아졸(indiazole) 등과 같은 티아졸(thiazole), 테트라졸(tetrazole), 이미다졸(imidazole), 인산염(phosphate), 티올(thiol) 및 아진(azine)을 포함한다. 적절한 킬레이터(chelator) 종은 구아닌(guanine), 아데닌(adenine), 글리세롤(glycerol), 티오글리세롤(thioglycerol), 니트릴오트리아세트산(nitrilotriacetic acid), 살리실아미드(salicylamide); 이미노디아세트산(iminodiacetic acid), 벤조구안아민(benzoguanamine), 멜아민(melamine), 티오시라누릭산(thiocyranuric acid), 안트라닐산(anthranilic acid), 갈산(gallic acid), 아스코르브산(ascorbic acid), 살릭실산(salicylic acid), 8-히드록시퀴놀린(8-hydroxyquinoline), 5-카르복실산-벤조트리아졸(5-carboxylic acid-benzotriazole), 3-머캅토프로판놀(3-mercaptopropanol), 붕산(boric acid), 및 이미노디아세트산(ininodiacetic acid) 등과 같은 글리세롤(glycerol), 아미노산(amino acid), 카르복실산(carboxylic acid), 알코올(alcohol), 아미드(amide) 및 퀴놀린(quinoline)을 추가로 포함한다. 킬레이터는 반도체에 사용된 금속들 및 유전체 물질들과 조성물의 적합성(compatibility)을 증가시키기 위해 유용하게 사용된다.
상기 조성물에 사용된 계면활성제는 어떤 적절한 유형일 수 있고, 예를 들어, 플루오로아킬(fluoroalkyl) 계면활성제, 폴리에틸렌 글리콜(polyethylene glycol), 폴리프로필렌 글리콜(polypropylene glycol), 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르(polypropylene glycol ether), 카르복실산 염(carboxylic acid salt), 도데실벤젠술폭산(dodecylbenzesulfonic acid) 또는 도데실벤젠술폭산(dodecylbenzesulfonic acid) 염, 폴리아크릴레이트 폴리머(polyacrylate polymer), 디노닐페닐 폴리옥시에틸렌(dinonylphenyl polyoxyethylene) 또는 다른 치환된 페닐 폴리옥시에틸렌, 실리콘 또는 변형된 실리콘 폴리머, 아세틸렌 디올(acetylenic diol) 또는 변형된 아세틸렌 디올, 알킬암모늄 염(alkylammonium salt)들 또는 변형된 알킬암모늄 염들뿐만 아니라 상기 화합물의 둘 또는 그 이상의 조합과 같은 비이온성 계면활성제들이 사용된다.
상기 조성물에 대한 적절한 공-용매 종은, 비 제한적으로, 디메틸디글리콜아민(dimethyldiglycolamin), 1.8-디아자바이시클로[5.4.0]언데켄(1,8-diazabicyclo[5.4.0]undecene), 아미노프로필모르폴린(aminopropylmorpholine), 트리에탄올아민(triethanolamine), 메틸에탄올아민(methylethanolamine),메틸디에탄올아민(methyldiethanolamine), 등과 같은 아민(amine) 또는 에틸렌(ethylene) 또는 폴리에틸렌(polyethylene), 프로필렌 글리콜(propylene glycol), 네오펜틸 글리콜(neopentyl glycol) 등과 같은 글리콜(glycol), 히드록시에틸모르폴린(hydroxyethylmorpholine), 아미노프로필모르폴린(aminopropylmorpholine), 등과 같은 아민들 또는 디(에틸렌글리콜)모노에틸 에테르(di(ethylene glycol)monoethyl ether), 디(프로필렌 글리콜)프로필 에테르(di(propylene glycol)propyl ether), 에틸렌 글리콜 페닐 에테르(ethylene glycol phenyl ether), 디(프로필렌 글리콜)부틸 에테르(di(propylene glycol)butyl ether), 부틸 카르비톨(butyl carbitol) 등과 같은 글리콜에테르(glycol ether), 또는 폴리글리콜 에테르(polyglycol ether)를 포함한다.
상기 조성물의 구체적인 구현예는 하기의 포뮬레이션(formulatin) H-S로 설명되고, 여기서, 모든 비율은, 포뮬레이션의 총 중량을 기준으로 한, 중량%이다.
포뮬레이션 H
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 0.1%
물(water) 73.9%
포뮬레이션 I
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
암모늄 테트라티오몰립데이트(ammonium tetrathiomolybdate), 0.1%
물(water) 73.9%
포뮬레이션 J
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 20.0%
물(water) 53.9%
포뮬레이션 K
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
N-에틸모르폴린(N-ethylmorpholine) 20.0%
물(water) 53.9%
포뮬레이션 L
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노에틸피페리딘(aminothylpiperidine) 20.0%
물(water) 53.9%
포뮬레이션 M
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 20.0%
물(water) 53.9%
포뮬레이션 N
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 10.0%
물(water) 63.9%
포뮬레이션 O
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 20.0%
물(water) 53.9%
포뮬레이션 P
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 10.0%
물(water) 63.9%
포뮬레이션 Q
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 20.0%
물(water) 59.02%
포뮬레이션 R
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 15.0%
물(water) 64.02%
포뮬레이션 S
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene), 7% 수용액 4.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
아미노프로필모르폴린(aminopropylmorpholine) 10.0%
물(water) 69.02%
또 다른 관점에서, 본 발명은 조성물의 총 중량을 기준으로, 중량에 의한 다음 성분들을 포함하는 세정 조성물들에 대해 정관한다:
0.1~30 중량% 강염기
2~30 중량% 산화제
0~10 중량% 킬레이터(chelator);
0~5 중량% 계면활성제;
0~50 중량% 공-용매(co-solvent); 및
20~98 중량% 탈이온수
상기 조성물에서 강염기, 산화제, 킬레이터, 공-용매 및 계면활성제 종은 상기에서 실례적으로 논의된 것들과 같거나 또는 대응하는 종일 수 있다.
상기 조성물의 구체적인 구현예는 하기 포뮬레이션들 T, U, V, W, X, Y, Z, A2, B2, C2, D2, E2, F2, G2, H2, I2, J2, K2 및 L2로 제시되고, 여기서 모든 비율은, 포뮬레이션의 총 중량을 기준으로 한, 중량%이다.
포뮬레이션 T
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 78.62%
포뮬레이션 U
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 78.02%
포뮬레이션 V
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 5.85%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 85.57%
포뮬레이션 W
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 2.93%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 88.49%
포뮬레이션 X
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 84.82%
포뮬레이션 Y
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 87.82%
포뮬레이션 Z
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 88.42%
포뮬레이션 A 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
수산화칼륨(potassium hydroxide), 45% 수용액 0.3%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
물(water) 85.12%
포뮬레이션 B 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 1.0%
물(water) 72.04%
포뮬레이션 C 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
4-메틸-2-페틸-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
물(water) 72.04%
포뮬레이션 D 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
2-머캅토티아졸린(2-mercaptothiazoline) 1.0%
물(water) 72.04%
포뮬레이션 E 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
8-히드록시퀴놀린(8-hydroxyquinoline) 1.0%
물(water) 72.04%
포뮬레이션 F 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
1-페닐-2-테트라졸린-5-티온(1-phenyl-2-tetrazoline-5-thione) 1.0%
물(water) 72.04%
포뮬레이션 G 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
갈산(gallic acid) 1.0%
물(water) 72.04%
포뮬레이션 H 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
살리실산(salicylic acid) 1.0%
물(water) 72.04%
포뮬레이션 I 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
메틸디에탄올아민(methyldiethanolamine) 2.33%
인산(phosphoric acid)(86%) 1.69%
아스코르브산(ascorbic acid) 1.0%
물(water) 72.04%
포뮬레이션 J 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
아미노프로필 모르폴린(aminopropyl morpholine) 10%
4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
물(water) 81.12%
포뮬레이션 K 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
아미노프로필 모르폴린(aminopropyl morpholine) 10%
4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 0.5%
물(water) 81.62%
포뮬레이션 L 2
벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
아미노프로필 모르폴린(aminopropyl morpholine) 10%
4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
물(water) 81.02%
디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.1%
본 발명의 광범위한 범위 내에서 코발트와 구리의 호환성을 유지하면서, 반도체 기판들으로부터 포토레지스트(phtoresist) 및/또는 포토레지스트 잔류물을 제거하기에 적절한, 또 다른 포뮬레이션들은, 그 조성물들이 하기에 제시된 포뮬레이션들 M2, N2, O2, P2, Q2 및 R2를 포함한다.
포뮬레이션 M 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
5-아미노테트라졸(5-aminotetrazole) 0.1%
물(water) 93.9%
포뮬레이션 N 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine) 0.1%
물(water) 93.9%
포뮬레이션 O 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol) 0.1%
물(water) 93.9%
포뮬레이션 P 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
1,2,4-트리아졸(1,2,4-triazole) 0.1%
물(water) 93.9%
포뮬레이션 Q 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
2,4-디히드록시-6-메틸필리미딘(2,4-dihydroxy-6-methylpyrimidine) 0.1%
물(water) 93.9%
포뮬레이션 R 2
테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
과산화수소(hydrogen peroxide), 30% 수용액 2.0%
8-히드록시퀴놀린(8-hydroxyquinoline) 0.1%
물(water) 93.9%
본 발명의 세정 조성물은 각각의 성분의 간단한 추가 및 균질(homogeneous) 조건으로 혼합에 의하여 용이하게 제조된다.
세정 적용에 있어서, 세정 조성물은 세정시킬 물질에 어떤 적절한 방식으로 적용된다. 예를 들어, 세정시킬 물질의 표면에 세정 조성물을 분사시키거나, 세정시킬 물질을 포함하는 물질 또는 아티클(article)을 담그거나(세정 조성물의 부피에), 세정시킬 물질 또는 아티클(article)을 다른 물질, 예를 들어, 세정 조성물이 스며든 패드(pad) 또는 섬유성 흡수제 도포기 요소(fibrous sorbent applicator element)와 함께 접촉시키거나, 또는 세정 조성물이 세정시킬 물질과 접촉되는, 어느 다른 적절한 수단들, 방식 또는 기술에 의한 방식들이 사용된다.
반도체 제조 작업에 적용되어서, 본 발명의 세정 조성물들은 상기 물질(들)이 증착된 기판들 및 반도체 장비 구조물들로부터 포토레지스트 및/또는 SARC 물질들의 제거를 위하여 유용하게 사용된다.
본 발명의 조성물들은, ILD 구조물, 금속화(metallization), 장벽 층(barrier layer)들 등과 같은, 반도체 기판 위에 있고 세정 조성물에 노출된 다른 물질들과 비교해, 상기 포토레지스트 및/또는 SARC 물질들에 대한 선택성 덕분에, 매우 효율적인 방식으로 포토레지스트 및/또는 SARC 물질(들)의 제거를 달성한다.
그 위에 포토레지스트 및/또는 SARC 물질들을 가지는 반도체 기판으로부터 상기 물질들의 제거를 위한 본 발명의 조성물들의 사용에 있어서, 상기 세정 조성물은 통상적으로 약 50℃~ 약80℃ 범위의 온도에서, 약 10분 ~ 약 45분 동안 기판과 접촉한다. 상기 접촉 시간 및 온도들은 예증이 되고, 본 발명의 광범위한 실시의 범위 내에서, 기판으로부터 포토레지스트 및/또는 SARC 물질을 적어도 부분적으로 제거하는데 효과가 있는 어떤 다른 적절한 시간 및 온도 조건도 사용될 수 있다.
바람직한 세정 작용의 달성 후, 본 발명의 조성물의 정해진 최종 사용 적용에서 바람직하고 효과가 있는 것과 같이, 예를 들어, 린스(rinse), 세척(wash) 또는 다른 제거 단계(들)에 의하여, 앞서 세정 조성물이 적용되었던 기판 또는 아티클(article)로부터 세정 조성물이 용이하게 제거된다.
본 발명의 특징들 및 장점들은 다음 무-제한(no-limiting)의 예들에 의하여 더 충분히 설명되고, 별도로 명백하게 규정된 사항이 아니라면, 여기서, 모든 부분들 및 %는 중량에 의한다.
실시예 1
상기 기술된 각각의 조성물들을 가지는, 포뮬레이션 A, B, C, D, E, F 및 G의 샘플(smaple)들이 준비되었다.
그 위에 코팅된 포토레지스트 및 SARC을 포함하는 기판으로부터 상기 물질제거를 위한 상기 포뮬레이션의 효력은, 상기 기판 위에 구리(copper) 금속화상에서 낮은 에칭(etching)작용을 유지하면서, 특정 포뮬레이션의 세정조성물이 60~70℃에서 6~15분 동안 기판과 함께 접촉된 후에 탈이온수로 기판을 헹구는 해당 테스트(test)들에서 평가된다. 상기 기판은 패턴화된 유기 규산염(organosilicate) 유전체 및 패턴화된 포토레지스트 밑의 SARC 구조물들을 포함하는 실리콘 웨이퍼상의 포스트-에치(post-etch) 구조물이었다. 상기 포토레지스트는 193 또는 248nm 리소그래피(lithography)를 위하여 표준의 상업적으로 이용가능한 화학적으로 증폭된 레지스트(resist)였다. 상기 SARC 물질은 리소그래피(lithography)에 사용된 주파(frequency)에서 빛을 강력하게 흡수하는 염료 물질을 통합한 상업적으로 이용가능한 스핀-온 폴리실록산(spin-on polysiloxane) 물질로 구성된다.
상기 접촉 및 헹굼(rinse) 단계들 다음에, 분당 옹스트로옴 (Å/min.)으로,포토레지스트의 제거비율, SARC의 제거비율, 및 구리 에치(etch)율이 측정되었다. 해당 데이터(data)는 하기 표1에 제시된다.
Figure 112006071138886-PCT00001
실시예2
상기 기술된 각각의 조성물들을 가지는, 포뮬레이션들 H, I, J, K, L, M, N, O, P, Q, R 및 S의 샘플들이 준비되었다.
그 위에 포토레지스트 구리 및 코발트 금속을 가지는 반도체 기판으로부터 포토레지스트 잔여물들을 제거하기 위한 상기 포뮬레이션의 효력이, 상기 기판 위의 구리 및 코발트상에서 낮은 에칭 작용을 유지하면서, 특정 포뮬레이션의 세정 조성물이 60~70℃ 온도에서 기판과 접촉된 후에 탈이온수로 기판을 헹구는 해당 테스트(test)들에서 평가된다. 상기 기판은 패턴화된 유기 규산염(organosilicate) 유전체 및 패턴화된 포토레지스트 밑의 SARC 구조물을 포함하는 실리콘 웨이퍼상의 포스트-에치(post-etch) 구조물이었다. 상기 포토레지스트는, 193 또는 248nm 리소그래피(lithography)을 위하여 표준의 상업적으로 이용가능한, 화학적으로 증폭된 레지스트(resist)였다. 실질적인 세정은 광학 현미경에 의하여 측정된 것과 같이, 반도체 장비로부터 80%의 포토레지스트 제거보다 더 높은 비율로 정의된다.
데이터(data)는 하기 표 2에 제시된다.
포뮬레이션 H~S의 세정 성능
포뮬레이션 온도, ℃ 접촉 시간, min 코발트 적합성 구리 적합성 포토레지스트의 세정%
H 70 30 표면의 약간 거칠음 0.62Å/min의 에치율 100
I 70 20 표면의 약간 거칠음 비적합 100
J 70 30 우수 우수 100
K 70 30 다소 표면 증착, 두께 변화 무 우수 100
L 70 30 우수 우수 100
M 70 30 우수 우수 100
N 70 30 우수 우수 100
O 70 30 우수 우수 100
P 70 30 우수 우수 100
Q 60 30 우수 우수 100
R 60 30 우수 우수 100
S 60 30 우수 우수 100
실시예3
상기 기술된 각각의 조성물들을 가지는 포뮬레이션들 T, U, V, W, Y, Z, A2, B2, C2, D2, E2, F2, G2, H2, I2, J2, K2 및 L2의 샘플들이 준비되었다.
상기 포뮬레이션의 효력이, 그 위에 포토레지스트를 가지는 반도체 기판으로부터 포토레지스트 잔유물 제거를 위한, 특정 포뮬레이션의 세정 조성물이 70℃에서 12분 동안 기판과 접촉된 후 탈이온수로 기판을 헹굼구는 해당 테스트들에서 평가되었다. 상기 기판은 패턴화된 유기 규산염(organosilicate) 유전체 및 패턴화된 포토레지스트 밑의 SARC 구조물을 포함하는 실리콘 웨이퍼상의 포스트-에치(post-etch) 구조물이었다. 상기 포토레지스트는, 193 또는 248nm 리소그래피(lithography)에 위하여 표준의 상업적으로 이용가능한 화학적으로 증폭된 레지스트(resist)였다. 실질적인 세정은 광학 현미경에 의하여 측정된 것과 같이, 반도체 장비로부터 80%의 포토레지스트 제거보다 더 높은 비율로 정의된다.
결과들은 하기 표 3에 제시된다.
포뮬레이션 T~A2의 포토레지스트 제거율
포뮬레이션 기판으로부터 포토레지스트의 제거율
T 100
U 100
V 100
W 100
X 100
Y 95
Z 95
A2 100
포뮬레이션 B2~L2의 세정 성능
포뮬레이션 온도, ℃ 접촉시간, 분 코발트 적합성 포토레지스트의 세정율
B2 60 60 우수 100
C2 60 60 우수 100
D2 60 60 우수 100
E2 60 60 우수 100
F2 60 60 우수 100
G2 60 60 우수 100
H2 60 60 우수 100
I2 60 60 우수 100
J2 60 60 기준에 맞음 100
K2 60 60 기준에 맞음 100
L2 60 60 기준에 맞음 100
실시예 4
상기 기술된 각각의 조성물들을 가지는 포뮬레이션들 M2, N2, O2, P2, Q2 및 R2의 샘플들이 준비되었다.
그 위에 포토레지스트 구리 및 코발트 금속을 가지는 반도체 기판으로부터 포토레지스트 잔유물 제거를 위한, 상기 포뮬레이션의 효력은 상기 기판 위에 구리 및 코발트상에서 낮은 에칭 작용을 유지하면서, 특정 포뮬레이션의 세정 조성물이 70℃ 온도에서 기판과 접촉된 후 탈이온수로 기판을 헹구는 해당 테스트들에서 평가되었다. 상기 기판은 패턴화된 유기 규산염(organosilicate) 유전체 및 패턴화된 포토레지스트 밑의 SARC 구조물을 포함하는 실리콘 웨이퍼상에 포스트-에치(post-etch) 구조물이었다. 상기 포토레지스트는 193 또는 248nm 리소그래피(lithography)를 위하여 표준의 상업적으로 이용가능한 화학적으로 증폭된 레지스트(resist)였다. 실질적인 세정은 광학 현미경에 의하여 측정된 것과 같이, 반도체 장비로부터 80% 포토레지스트 제거보다 더 높은 비율로 정의된다.
데이터는 하기 표 5에 제시된다.
포뮬레이션 M2~R2의 세정 성능
포뮬레이션 온도, ℃ 접촉 시간, 분 코발트 적합성 코발트 에치율,Å/min 구리 에치 율 Å/min 포토레지스트의 세정%
M2 70 30 우수 0.048 0.84 100
N2 70 30 우수 0.16 0.52 100
O2 70 30 우수 0.21 0.72 100
P2 70 30 우수 - 비적합 100
Q2 70 30 우수 - 2.55 100
R2 70 30 우수 - 비적합 100
상기 실시예들은 그 위에 코팅된 포토레지스트 및/또는 SARCs를 가지는 반도체 기판들로부터 상기 물질의 제거에 유용한 본 발명의 세정 조성물을 설명한다. 또한, 상기 조성물들은 기판, 예를 들어, 구리, 알루미늄 및 코발트 합금상(alloy)들에서 금속화(metallization)에 대한 역효과 없이 사용될 수 있다.
또한, 본 발명의 세정 조성물들은 상기 조성물들에 낮은 독성 및 낮은 가연성을 주는 수성 및 반-수성(semi-aqueous) 용매 시스템과 같은 적절한 용매 시스템으로 용이하게 제조된다.
따라서, 본 발명의 세정 조성물들은 집적 회로 장비들의 제작에 있어서, 당업계에서 포토레지스트 및/또는 SARC 물질들의 제거에 대해, 실질적인 진보를 이루었다.
본 발명은 예시적 구현예 및 특징들을 참조하여 다양하게 기술되었으나, 상기에 기술된 구현예와 특징들은 본 발명을 제한하기 위한 것이 아니며, 여기에 공개된 것을 기본으로 해서 당업계의 통상 지식을 가진자들이 본 발명의 다양화, 변형 및 또 다른 구현예를 제시할 수 있는 것은 자명하다. 따라서 본 발명은 이후 기재하는 청구항들의 정신 및 범위 내에서 다양화, 변형 및 또 다른 구현예를 내포하는 것으로 넓게 해석되어야 할 것이다.

Claims (52)

  1. (a) 하나 이상의 알칼리(alkali) 및 알카리성 토염기와 배합하는 4차 암모늄 염기; 및 (b) 산화제와 배합하는 강염기로 구성된 그룹에서 선택되는 활성 세정 화합물 (ACC)를 함유하는, 그 위에 포토레지스트(photoresist) 및/또는 희생 반사-방지(anti-reflctive) 코팅 물질을 가지는 기판으로부터 상기 물질의 제거를 위해 유용한 세정 조성물.
  2. 제1항에 있어서, 상기 조성물에서 히드록실아민(hydroxylamine)이 결여된 것을 특징으로 하는 세정 조성물.
  3. 제1항에 있어서, 상기 ACC는 (a)를 포함하는 세정 조성물.
  4. 제3항에 있어서, 다음 성분들을 포함하는 세정 조성물:
    0.1~40.0 중량% 유기 4차 암모늄 염기;
    0.01~5 중량% 알카리 또는 알카리성 토염기;
    0~80 중량% 용매(들) 및/ 또는 아민(들);
    0~5 중량% 계면활성제;
    0~10 중량% 킬레이터(chelator)/패시배이숀(passivation) 제제; 및
    0~98 중량% 물,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 총량은 100중량%를 초과하지 않음.
  5. 제1항에 있어서, 안정화제(stabilizer), 분산제(dispersant), 산화-방지제(anti-oxidant), 충진제(filler), 투과 약품(penetration agent), 보조제(adjuvant), 첨가제(additive), 충진제(filler) 및 부형제(excipient)로 구성된 그룹에서 선택되는 하나 이상의 추가 성분을 포함하는 세정 조성물.
  6. 제3항에 있어서, 다음 성분들을 포함하는 세정 조성물:
    2~15 중량% 유기 4차 암모늄 염기;
    ~0.01~2 중량% 알카리 또는 알카리성 토염기;
    0~50 중량% 용매(들) 및/또는 아민(들);
    ~0.01~2 중량% 계면활성제;
    0~5 중량% 킬레이터(chelator)/패시배이숀(passivation) 제; 및
    40~95 중량% 물,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 합은 100중량%를 초과하지 않음.
  7. 제1항에 있어서, 포뮬레이션 A-G로 구성된 그룹에서 선택되고, 모든 비율은 포뮬레이션(formulation)의 총 중량을 기준으로 한 중량%인, 세정 조성물:
    포뮬레이션 A
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    3.0% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
    0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
    0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
    91.0% 물(water)
    포뮬레이션 B
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    3.0% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
    0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
    0.20% 5-아미노-1,3,4-티아디아졸-2티올(5-amino-1,3,4-thiadiazole-2-thiol)
    90.86% 물(water)
    포뮬레이션 C
    3.60% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.27% 수산화칼륨(potassium hydroxide)
    3.5% 4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide)
    15.0% 4-(3-아미노프로필)모르폴린(4-(3-aminopropyl)morpholine)
    0.30% 폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether)
    0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
    77.25% 물(water)
    포뮬레이션 D
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    20.0% 디메틸 술폭사이드(dimethyl sulfoxide)
    0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
    74.28% 물(water)
    포뮬레이션 E
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    10.0% 테트라메틸렌 술폰(tetramethylene sulfone)
    0.30% 옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ehter with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich)
    0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
    83.98% 물(water)
    포뮬레이션 F
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    10.0% 디(에틸렌글리콜)부틸 에테르(di(ethyleneglycol)butyl ether)
    10.0% 2-(2-디메틸아미노)에톡시)에탄올(2-(2- dimethylamino)ethoxy)ethanol)
    0.30% 옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ehter with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich)
    74.06% 물(water)
    포뮬레이션 G
    5.36% 벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide)
    0.28% 수산화칼륨(potassium hydroxide)
    10.0% 테트라메틸렌 술폰(tetramethylene sulfone)
    10.0% 디(에틸렌글리콜)부틸 에테르(di(ethyleneglycol)butyl ether)
    0.10% 옥시란(oxirane), 메틸-(methyl), 옥시란(oxirane)을 가지는 폴리머, 모노(옥틸페닐)에테르(mono(octylphenyl)ether)
    0.08% 2-머캅토벤즈이미다졸(2-mercaptobenzimidazole)
    74.18% 물(water)
  8. 제1항에 있어서, 상기 ACC는 (b)를 포함하는 세정 조성물.
  9. 제8항에 있어서, 하나 이상의 산화제의 수용액, 강염기, 선택적인 킬레이터(chelator) 및 선택적인 공-용매 및/또는 계면활성제를 포함하는 세정 조성물.
  10. 제1항에 있어서, 상기 ACC는 수산화칼륨(potassium hydroxide)를 포함하는 세정 조성물.
  11. 제8항에 있어서, 하기 성분들을 포함하는 세정 조성물:
    0.1~30 중량% 강염기;
    0.01~30 중량% 산화제;
    0~10 중량% 킬레이터(chelator);
    0~5 중량% 계면활성제;
    0~50 중량% 공-용매; 및
    20~98.9 중량% 탈이온수,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 총량은 100중량%를 초과하지 않음.
  12. 제11항에 있어서, 상기 강염기는 수산화칼륨(potassium hydroxide), 알킬암모늄 수산화물(alkylammonium hydroxide)들, 콜린 수산화물(choline hydroxide)로 구성된 그룹에서 선택되는 염기 종을 포함하는 것을 특징으로 하는 세정 조성물.
  13. 제8항에 있어서, 상기 산화제는 과산화수소(hydrogen peroxide), 아민-N-산화물(amine-N-oxide), 과붕산 염(perborate salt), 과황산 염(persulfate salt), 및 상기 종의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 산화제 종을 포함하는 세정 조성물.
  14. 제8항에 있어서, 킬레이터(chelator)을 포함하는 세정 조성물.
  15. 제14항에 있어서, 상기 킬레이터(chelator)는 트리아졸(triazoles); C1~C8 알킬, 아미노(amino), 티올(thiol), 머캅토(mercapto), 이미노(imino), 카르복실(carboxy) 및 니트로(nitro)로 구성된 그룹에서 선택되는 치환기(들)로 치환된 트리아졸(triazoles); 티아졸(thiazoles); 테트라졸(tetrazoles); 이미다 졸(imidazoles); 인산염(phosphates); 티올(thiols); 아진(azines); 글리세롤(glycerols); 아미노산(amino acids); 카르복실산(carboxylic acids); 알코올(alcohols); 아미드(amides); 및 퀴놀린(quinolines)로 구성된 그룹에서 선택되는 킬레이터(chelator) 종을 포함하는 세정 조성물.
  16. 제14항에 있어서, 상기 킬레이터(chelator)는 1,2,4-트리아졸(1,2,4-triazole); 벤조트리아졸(benzotriazole); 톨릴트리아졸(tolyltriazole); 5-페닐-벤조트리아졸(5-phenyl-benzotriazole); 5-니트로-벤조트리아졸(5-nitro-benzotriazole); 4-메틸-2-페닐이미다졸(4-methyl-2-phenylimidazole); 2-머캅토티아졸린(2-mercaptothiazoline); 1-아미노-1,2,4-트리아졸(1-amino-1,2,4-triazole); 히드록시벤조트리아졸(hydroxybenzotriazole); 2-(5-아미노-펜틸)-벤조트리아졸(2-(5-amino-pentyl)-benzotriazole); 1-아미노-1,2,3-트리아졸(1-amino-1,2,3-triazole); 1-아미노-5-메틸-1,2,3-트리아졸(1-amino-5-methyl-1,2,3-triazole); 3-아미노-1,2,4-트리아졸(3-amino-1,2,4-triazole); 3-머캅토-1,2,4-트리아졸(3-mercapto-1,2,4-triazole); 3-아마노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-triazole), 3-이소프로필-1,2,4-트리아졸(3-isopropyl-1,2,4 triazole); 5-페닐티올-벤조트라이졸(5-phenylthiol-benzotriazole); 할로-벤조트리아졸(halo-benzotriazole)들, (여기서 상기 할로(halo)는 플루오르(F), 염소(Cl), 브롬(Br) 및 요오드(I)로 구성된 그룹에서 선택됨); 나프토트리아 졸(naphthotriazole); 2-머캅토벤조이미디졸(2-mercaptobenzoimidizole); 2-머캅토벤조티아졸(2-mercaptobenzothiazole); 5-아미노테트라졸(5-aminotetrazole); 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol); 2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine); 티아졸(thiazole); 트리아진(triazine); 메틸테트라졸(methyltetrazole); 1,3-디메틸-2-이미다졸리딘논(1,3-dimethyl-2-imidazolidinone); 1,5-펜타메틸렌테트라졸(1.5-pentamethylenetetrazole); 1-페닐-5-머캅토테트라졸(1-phenyl-5-mercaptotetrazole); 디아미노메틸트리아진(diaminomethyltrazine); 머캅토벤조티아졸(mercaptobenzothiazole); 이미다졸린 티온(imidazoline thione); 머캅토벤즈이미다졸(mercaptobenzimidazole); 4-메틸-4H-1,2,4-트리아졸-3-티올(4-methyl-4H-1,2,4-triazole-3-thiol); 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol); 벤조티아졸(benzothiazole); 트리톨릴 인산염(tritolyl phosphate); 인디아졸(indiazole); 구아닌(guanine); 아데닌(adenine); 글리세롤(glycerol); 티오글리세롤(thioglycerol); 니트릴오트리아세트산(nitrilotriacetic acid); 살리실아미드(salicylamide); 이미노디아세트산(iminodiacetic acid); 벤조구안아민(benzoguanamine); 멜아민(melamine); 티오시라누릭산(thiocyranuric acid); 안트라닐산(anthranilic acid); 갈산(gallic acid); 아스코르브산(ascorbic acid); 살릭실산(salicylic acid); 8-히드록시퀴놀린(8-hydroxyquinoline); 5-카르복실산-벤조트리아졸(5-carboxylic acid-benzotriazole); 3-머캅토프로판놀(3-mercaptopropanol); 붕산(boric acid); 및 이 미노디아세트산(ininodiacetic acid)로 구성된 그룹에서 선택되는 킬레이터(chelator) 종을 포함하는 세정 조성물.
  17. 제8항에 있어서, 계면활성제를 포함하는 조성물.
  18. 제17항에 있어서, 상기 계면활성제는 플루오로아킬(fluoroalkyl) 계면활성제; 폴리에틸렌 글리콜(polyethylene glycols); 폴리프로필렌 글리콜(polypropylene glycols); 폴리에틸렌 클리콜 에테르(polyethylene glycol ethers); 폴리프로필렌 글리콜 에테르(polypropylene glycol ethers); 카르복실산 염(carboxylic acid salts); 도데실벤젠술폰산(dodecylbenzesulfonic acid) 및 도데실벤젠술폰산 염(dodecylbenzesulfonic acid salts); 폴리아크릴레이트 폴리머(polyacrylate polymers); 디노닐페닐 폴리옥시에틸렌(dinonylphenyl polyoxyethylenes); 실리콘 폴리머(silicone polymers); 변형된 실리콘 폴리머; 아세틸렌 디올(acetylenic diols); 변형된 아세틸렌 디올, 알킬암모늄 염(alkylammonium salts); 변형된 알킬암모늄 염들; 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 계면활성제 종을 포함하는 조성물.
  19. 제8항에 있어서, 공-용매를 포함하는 조성물.
  20. 제19항에 있어서, 상기 공-용매는 아민(amines); 글리콜(glycols); 글리콜에테르(glycol ethers); 폴리글리콜 에테르(polyglycol ethers); 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 공-용매 종을 포함하는 조성물.
  21. 제19항에 있어서, 상기 공-용매는 디메틸디글리콜아민(dimethyldiglycolamine); 1,8-디아자비시클로[5.4.0]언데켄(1,8-diazabicyclo[5.4.0]undecene); 아미노프로필모르폴린(aminopropylmorpholine); 트리에탄올아민(triethanolamine); 메틸에탄올아민(methylethanolamine); 디에틸렌 글리콜(diethylene glycol); 프로필렌 글리콜(propylene glycol); 네오펜틸 글리콜(neopentyl glycol); 히드록시에틸모르폴린(hydroxyethylmorpholine); 아미노프로필모르폴린(aminopropylmorpholine); 디(에틸렌 글리콜)모노에틸 에테르(di(etylene glycol)monoethyl ether); 디(프로필렌 글리콜)프로필 에테르(di(propylene glycol)propyl ether); 에틸렌 글리콜 페닐 에테르(ethylene glyco phenyl ether); 디(프로필렌 글리콜)부틸 에테르(di(propylene glycol)butyl ether); 부틸 카르비톨(butyl carbitol); 폴리글리콜 에테르(polyglycol ethers); 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 공-용매 종을 포 함하는 조성물.
  22. 제8항에 있어서, 다음을 포함하는 세정 조성물:
    0.1~30 중량% 강염기
    2~30 중량% 산화제
    0~10 중량% 킬레이터(chelator)
    0~5 중량% 계면활성제
    0~50 중량% 공-용매
    20~98 중량% 탈이온수
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 총량은 100중량%를 초과하지 않음.
  23. 제1항에 있어서, 포뮬레이션 H-R2로 구성된 그룹에서 선택되고, 모든 비율은 포뮬레이션(formulation)의 총 중량을 기준으로 한 중량%인, 세정 조성물:
    포뮬레이션 H
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 0.1%
    물(water) 73.9%
    포뮬레이션 I
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    암모늄 테트라티오몰립데이트(ammonium tetrathiomolybdate), 0.1%
    물(water) 73.9%
    포뮬레이션 J
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 K
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    N-에틸모르폴린(N-ethylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 L
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노에틸피페리딘(aminothylpiperidine) 20.0%
    물(water) 53.9%
    포뮬레이션 M
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 N
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 63.9%
    포뮬레이션 O
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 P
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 63.9%
    포뮬레이션 Q
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수 용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 59.02%
    포뮬레이션 R
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 15.0%
    물(water) 64.02%
    포뮬레이션 S
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수 용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 69.02%
    포뮬레이션 T
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 78.62%
    포뮬레이션 U
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 78.02%
    포뮬레이션 V
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 5.85%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 85.57%
    포뮬레이션 W
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 2.93%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 88.49%
    포뮬레이션 X
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 84.82%
    포뮬레이션 Y
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 87.82%
    포뮬레이션 Z
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 88.42%
    포뮬레이션 A 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 85.12%
    포뮬레이션 B 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 1.0%
    물(water) 72.04%
    포뮬레이션 C 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 72.04%
    포뮬레이션 D 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    2-머캅토티아졸린(2-mercaptothiazoline) 1.0%
    물(water) 72.04%
    포뮬레이션 E 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    8-히드록시퀴놀린(8-hydroxyquinoline) 1.0%
    물(water) 72.04%
    포뮬레이션 F 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    1-페닐-2-테트라졸린-5-티온(1-phenyl-2-tetrazoline-5-thione) 1.0%
    물(water) 72.04%
    포뮬레이션 G 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    갈산(gallic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 H 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    살릭실산(salicylic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 I 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    아스코르브산(ascorbic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 J 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 81.12%
    포뮬레이션 K 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 0.5%
    물(water) 81.62%
    포뮬레이션 L 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 81.02%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.1%
    포뮬레이션 M 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    5-아미노테트라졸(5-aminotetrazole) 0.1%
    물(water) 93.9%
    포뮬레이션 N 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine) 0.1%
    물(water) 93.9%
    포뮬레이션 O 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol) 0.1%
    물(water) 93.9%
    포뮬레이션 P 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    1,2,4-트리아졸(1,2,4-triazole) 0.1%
    물(water) 93.9%
    포뮬레이션 Q 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    2,4-디히드록시-6-메틸필리미딘(2,4-dihydroxy-6-methylpyrimidine) 0.1%
    물(water) 93.9%
    포뮬레이션 R 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    8-히드록시퀴놀린(8-hydroxyquinoline) 0.1%
    물(water) 93.9%
  24. 그 위에 포토레지스트 및/또는 SARC 물질을 가지는 기판에서 상기 물질을 적어도 부분적으로 제거하기 위해 충분한 시간 동안 세정 조성물과 기판을 접촉시키는 단계를 포함하는, 기판으로부터 상기 물질의 제거 방법에 있어서, 상기 세정 조성물은 (a) 하나 이상의 알카리 및 알카리성 토염기와 배합하는 4차 암모늄 염기; 및 (b) 산화제와 배합하는 강염기로 구성된 그룹에서 선택된 활성 세정 화합물(ACC)을 포함하는 것을 특징으로 하는 방법.
  25. 제24항에 있어서, 상기 기판은 반도체 장비 구조물을 포함하는 방법.
  26. 제24항에 있어서, 상기 물질은 포토레지스트를 포함하는 방법.
  27. 제24항에 있어서, 상기 물질은 SARC 물질을 포함하는 방법.
  28. 제27항에 있어서, 상기 SARC 물질은 반도체 장비 구조물에서 포토리소그래픽 패터링(photolithographic patterning) 중 반사성 변화들을 최소화하기 위하여 반도체 장비 구조물에 적용되는 것을 특징으로 하는 방법.
  29. 제24항에 있어서, 상기 접촉은 약 10분 ~ 약 40분 동안 수행되는 것을 특징으로 하는 방법.
  30. 제24항에 있어서, 상기 접촉은 약 50℃ ~ 약 80℃ 범위의 온도에서 수행되는 것을 특징으로 하는 방법.
  31. 제24항에 있어서, 상기 조성물은 상기 조성물에서 히드록실아민(hydroxylamine)이 결여된 것을 특징으로 하는 방법.
  32. 제24항에 있어서, 상기 ACC는 (a)를 포함하는 방법.
  33. 제32항에 있어서, 상기 조성물은 다음 성분들을 포함하는 방법:
    0.1~40.0 중량% 유기 4차 암모늄 염기;
    0.01~5 중량% 알카리 또는 알카리성 토염기;
    0~80 중량% 용매(들) 및/ 또는 아민(들);
    0~5 중량% 계면활성제;
    0~10 중량% 킬레이터(chelator)/패시배이숀(passivation) 약품; 및
    0~98 중량% 물,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 합은 100중량%를 초과하지 않음.
  34. 제24항에 있어서, 상기 조성물은 안정화제(stabilizer), 분산제(dispersant), 산화-방지제(anti-oxidant), 침투 제(penetration agent)들, 보조제(adjuvant), 첨가제(additive), 충진제(filler) 및 부형제(excipient)들로 구성된 그룹에서 선택되는 하나 이상의 추가 성분을 포함하는 방법.
  35. 제32항에 있어서, 상기 조성물은 다음 성분들을 포함하는 방법:
    2~15 중량% 유기 4차 암모늄 염기;
    ~0.01~2 중량% 알카리 또는 알카리성 토염기;
    0~50 중량% 용매(들) 및/또는 아민(들);
    ~0.01~2 중량% 계면활성제;
    0~5 중량% 킬레이터(chelator)/패시배이숀(passivation) 약품; 및
    40~95 중량% 물,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량%의 합은 100중량%를 초과하지 않음.
  36. 제24항에 있어서, 포뮬레이션 A-G로 구성된 그룹에서 선택되고, 모든 비율은 포뮬레이션(formulation)의 총 중량을 기준으로 한 중량%인, 세정 조성물:
    포뮬레이션 A
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 0.28%
    4-메틸크모르포라인 N-산화물(4-methylkmorpholine N-oxide) 3.0%
    폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether) 0.30%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    물(water) 91.0%
    포뮬레이션 B
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 0.28%
    4-메틸모르폴린 N-산화물(4-methylmorpholine N-oxide) 0.28%
    폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether) 0.30%
    5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol) 0.20%
    물(water) 90.86%
    포뮬레이션 C
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 3.60%
    수산화칼륨(potassium hydroxide) 0.27%
    4-메틸모르폴린메틸모르폴린methylmorpholine N-oxide) 3.5%
    4-(3-아미노프로필)모르폴린(4-(3-aminopropyl)morpholine) 15.0%
    폴리옥시에틸렌(150) 디노닐페닐 에테르(polyoxyethylene(150) dinonylphenyl ether) 0.30%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    물(water) 77.25%
    포뮬레이션 D
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 0.28%
    디메틸 술폭사이드(dimethyl sulfoxide) 20.0%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    물(water) 74.28%
    포뮬레이션 E
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 0.28%
    테트라메틸렌 술폰(tetramethylene sulfone) 10.0%
    옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ether with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich) 0.30%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    물(water) 83.98%
    포뮬레이션 F
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 0.28%
    (디에틸렌글리콜)부틸 에테르((diethyleneglycol)butyl ether) 10.0%
    2-(2-디메틸아미노)에톡시)에탄올(2-(2-dimethylamino)ethoxy)ethanol) 10.0%
    옥시란(oxirane), 메틸-(methyl-), 옥시란(oxirane)을 가지는 폴리머(polymer), 2.2'-(옥시도이미노)비스(에탄올)(2:1)을 가지는 에테르 (ether with 2.2'-(oxidoimino)bis(ethanol)(2:1)), N-(-3(C(-11-이소알킬옥시)프로필)유도체들 (N(-3(C(-11-isoalkyloxy)propyl)derivatives) 및 C10-리치(C10-rich) 0.30%
    물(water) 74.06%
    포뮬레이션 G
    벤질트리메틸암모늄 수산화물(benzyltrimetylammonium hydroxide) 5.36%
    수산화칼륨(potassium hydroxide) 10.0%
    테트라메틸렌 술폰(tetramethylene sulfone) 10.0%
    디(에틸렌글리콜)부틸 에테르(di(ethyleneglycol)butyl ether) 10.0%
    옥시란(oxirane), 메틸-(methyl), 옥시란(oxirane)을 가지는 폴리머, 모노(옥틸페닐)에테르(mono(octylphenyl)ether) 0.10%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    물(water) 74.18%
  37. 제24항에 있어서, 상기 ACC는 (b)를 포함하는 방법.
  38. 제37항에 있어서, 상기 세정 조성물은 하나 이상의 산화제의 수용액, 강염기, 선택적인 킬레이터(chelator) 및 선택적인 공-용매 및/또는 계면활성제를 포함하는 방법.
  39. 제24항에 있어서, 상기 ACC는 수산화칼륨(potassium hydroxide)를 포함하는 방법.
  40. 제37항에 있어서, 상기 세정 조성물은 다음 성분들을 포함하는 방법:
    0.1~30 중량% 강염기;
    0.01~30 중량% 산화제;
    0~10 중량% 킬레이터(chelator);
    0~5 중량% 계면활성제;
    0~50 중량% 공-용매; 및
    20~98.9 중량% 탈이온수,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 성분들의 중량%의 총량은 100중량%를 초과하지 않음.
  41. 제40항에 있어서, 상기 강염기는 수산화칼륨(potassium hydroxide), 알킬암모늄 수산화물(alkylammonium hydroxide)들, 콜린 수산화물(choline hydroxide)로 구성된 그룹에서 선택되는 염기 종을 포함하는 방법.
  42. 제37항에 있어서, 상기 산화제는 과산화수소(hydrogen peroxide), 유기 과산화물(organic peroxides), 아민-N-산화물(amine-N-oxides), 과붕산 염(perborate salts), 과황산 염(persulfate salts) 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 산화제 종을 포함하는 방법.
  43. 제37항에 있어서, 킬레이터(chelator)를 포함하는 방법.
  44. 제43항에 있어서, 상기 킬레이터(chelator)는 트리아졸(triazoles); C1~C8 알킬, 아미노(amino), 티올(thiol), 머캅토(mercapto), 이미노(imino), 카르복실(carboxy) 및 니트로(nitro)로 구성된 그룹에서 선택되는 치환기로 치환된 트리아졸(triazoles); 티아졸(thiazoles); 테트라졸(tetrazoles); 이미다졸(imidazoles); 인산염(phosphates); 티올(thiols); 아진(azines); 글리세롤(glycerols); 아미노산(amino acids); 카르복실산(carboxylic acids); 알코올(alcohols); 아미드(amides); 및 퀴놀린(quinolines)로 구성된 그룹에서 선택되는 킬레이터(chelator) 종을 포함하는 방법.
  45. 제43항에 있어서, 상기 상기 킬레이터(chelator)는 1,2,4-트리아졸(1,2,4-triazole); 벤조트리아졸(benzotriazole); 톨릴트리아졸(tolyltriazole); 5-페닐-벤조트리아졸(5-phenyl-benzotriazole); 5-니트로-벤조트리아졸(5-nitro-benzotriazole); 4-메틸-2-페닐이미다졸(4-methyl-2-phenylimidazole); 2-머캅토티아졸린(2-mercaptothiazoline); 1-아미노-1,2,4-트리아졸(1-amino-1,2,4-triazole); 히드록시벤조트리아졸(hydroxybenzotriazole); 2-(5-아미노-펜틸)-벤조트리아졸(2-(5-amino-pentyl)-benzotriazole); 1-아미노-1,2,3-트리아졸(1-amino-1,2,3-triazole); 1-아미노-5-메틸-1,2,3-트리아졸(1-amino-5-methyl-1,2,3- triazole); 3-아미노-1,2,4-트리아졸(3-amino-1,2,4-triazole); 3-머캅토-1,2,4-트리아졸(3-mercapto-1,2,4-triazole); 3-아마노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-triazole), 3-이소프로필-1,2,4-트리아졸(3-isopropyl-1,2,4 triazole); 5-페닐티올-벤조트라이졸(5-phenylthiol-benzotriazole); 할로-벤조트리아졸(halo-benzotriazole)들, (여기서 상기 할로(halo)는 플루오르(F), 염소(Cl), 브롬(Br) 및 요오드(I)로 구성된 그룹에서 선택됨); 나프톨트리아졸(naphthotriazole); 2-머캅토벤조이미디졸(2-mercaptobenzoimidizole); 2-머캅토벤조티아졸(2-mercaptobenzothiazole); 5-아미노테트라졸(5-aminotetrazole); 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol); 2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine); 티아졸(thiazole); 트리아진(triazine); 메틸테트라졸(methyltetrazole); 1,3-디메틸-2-이미다졸리딘논(1,3-dimethyl-2-imidazolidinone); 1,5-펜타메틸렌테트라졸(1.5-pentamethylenetetrazole); 1-페닐-5-머캅토테트라졸(1-phenyl-5-mercaptotetrazole); 디아미노메틸트리아진(diaminomethyltrazine); 머캅토벤조티아졸(mercaptobenzothiaxole); 이미다졸린 티온(imidazoline thione); 머캅토벤즈이미다졸(mercaptobenzimidazole); 4-메틸-4H-1,2,4-트리아졸-3-티올(4-methyl-4H-1,2,4-triazole-3-thiol); 5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol); 벤조티아졸(benzothiazole); 트리톨릴 인산염(tritolyl phosphate); 인디아졸(indiazole); 구아닌(guanine); 아데닌(adenine); 글리세롤(glycerol); 티오글리세롤(thioglycerol); 니트릴오트리아세트 산(nitrilotriacetic acid); 살리실아미드(salicylamide); 이미노디아세트산(iminodiacetic acid); 벤조구안아민(benzoguanamine); 멜아민(melamine); 티오시라누릭산(thiocyranuric acid); 안트라닐산(anthranilic acid); 갈산(gallic acid); 아스코르브산(ascorbic acid); 살릭실산(salicylic acid); 8-히드록시퀴놀린(8-hydroxyquinoline); 5-카르복실산-벤조트리아졸(5-carboxylic acid-benzotriazole); 3-머캅토프로판놀(3-mercaptopropanol); 붕산(boric acid); 및 이미노디아세트산(ininodiacetic acid)으로 구성된 그룹에서 선택되는 킬레이터(chelator) 종을 포함하는 방법.
  46. 제37항에 있어서, 상기 세정 조성물은 계면활성제를 포함하는 방법.
  47. 제46항에 있어서, 상기 계면활성제는 플루오로아킬(fluoroalkyl) 계면활성제; 폴리에틸렌 글리콜(polyethylene glycols); 폴리프로필렌 글리콜(polypropylene glycols); 폴리에틸렌 클리콜 에테르(polyethylene glycol ethers); 폴리프로필렌 글리콜 에테르(polypropylene glycol ethers); 카르복실산 염(carboxylic acid salts); 도데실벤젠술폰산(dodecylbenzesulfonic acids) 및 도데실벤젠술폰산 염(dodecylbenzesulfonic acid salts); 폴리아크릴레이트 폴리머(polyacrylate polymers); 디노닐페닐 폴리옥시에틸렌(dinonylphenyl polyoxyethylenes); 실리콘 폴리머(silicone polymers); 변형된 실리콘 폴리머; 아세틸렌 디올(acetylenic diols); 변형된 아세틸렌 디올, 알킬암모늄 염(alkylammonium salts); 변형된 알킬암모늄 염; 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 계면활성제 종을 포함하는 방법.
  48. 제37항에 있어서, 상기 세정 조성물은 공-용매를 포함하는 방법.
  49. 제48항에 있어서, 상기 공-용매는 아민(amines); 글리콜(glycols); 글리콜에테르(glycol ethers); 폴리글리콜 에테르(polyglycol ethers); 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 공-용매 종을 포함하는 방법.
  50. 제48항에 있어서, 상기 공-용매는 디메틸디글리콜아민(dimethyldiglycolamine); 1,8-디아자비시클로[5.4.0]언데켄(1,8-diazabicyclo[5.4.0]undecene); 아미노프로필모르폴린(aminopropylmorpholine); 트리에탄올아민(triethanolamine); 메틸에탄올아민(methylethanolamine); 디에틸렌 글리콜(diethylene glycol); 프로필렌 글리콜(propylene glycol); 네오펜틸 글리콜(neopentyl glycol); 히드록시에틸모르폴린(hydroxyethylmorpholine); 아미노프 로필모르폴린(aminopropylmorpholine); 디(에틸렌 글리콜)모노에틸 에테르(di(etylene glycol)monoethyl ether); 디(프로필렌 글리콜)프로필 에테르(di(propylene glycol)propyl ether); 에틸렌 글리콜 페닐 에테르(ethylene glyco phenyl ether); 디(프로필렌 글리콜)부틸 에테르(di(propylene glycol)butyl ether); 부틸 카르비톨(butyl carbitol); 폴리글리콜 에테르(polyglycol ethers); 및 상기의 둘 또는 그 이상의 조합들로 구성된 그룹에서 선택되는 공-용매 종을 포함하는 방법.
  51. 제37항에 있어서, 상기 조성물은 다음을 포함하는 방법:
    0.1~30 중량% 강염기
    2~30 중량% 산화제
    0~10 중량% 킬레이터(chelator)
    0~50 중량% 공-용매
    0~5 중량% 계면활성제
    20~98 중량% 탈이온수,
    여기서, 상기 성분들의 비율은 조성물의 총 중량을 기준으로 한 중량%이고, 상기 성분들의 중량 %의 총량은 100중량%를 초과하지 않음.
  52. 제24항에 있어서, 포뮬레이션 H-G2로 구성된 그룹에서 선택되고, 모든 비율은 포뮬레이션(formulation)의 총 중량을 기준으로 한 중량%인, 세정 조성물:
    포뮬레이션 H
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 0.1%
    물(water) 73.9%
    포뮬레이션 I
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    암모늄 테트라티오몰립데이트(ammonium tetrathiomolybdate), 0.1%
    물(water) 73.9%
    포뮬레이션 J
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 K
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    N-에틸모르폴린(N-ethylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 L
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노에틸피페리딘(aminothylpiperidine) 20.0%
    물(water) 53.9%
    포뮬레이션 M
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 N
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    3-아미노-5-1,2,4-트리아졸(3-amino-5-1,2,4-triazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 63.9%
    포뮬레이션 O
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 53.9%
    포뮬레이션 P
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 14.7%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 63.9%
    포뮬레이션 Q
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 20.0%
    물(water) 59.02%
    포뮬레이션 R
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 15.0%
    물(water) 64.02%
    포뮬레이션 S
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 9.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    디노닐페놀 에톡실레이트(dinonylphenol ethoxylate), 7% 수용액 4.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.1%
    아미노프로필모르폴린(aminopropylmorpholine) 10.0%
    물(water) 69.02%
    포뮬레이션 T
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 78.62%
    포뮬레이션 U
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 13.4%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 78.02%
    포뮬레이션 V
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 5.85%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 85.57%
    포뮬레이션 W
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 2.93%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 88.49%
    포뮬레이션 X
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 84.82%
    포뮬레이션 Y
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 1.2%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 87.82%
    포뮬레이션 Z
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 3.6%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 88.42%
    포뮬레이션 A 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수 용액 7.2%
    N-메틸모르폴린 산화물(N-methylmorpholine oxide), 50% 수용액 7.0%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.3%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.3%
    물(water) 85.12%
    포뮬레이션 B 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    3-아미노-5-머캅토-1,2,4-트리아졸(3-amino-5-mercapto-1,2,4-triazole) 1.0%
    물(water) 72.04%
    포뮬레이션 C 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 72.04%
    포뮬레이션 D 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    2-머캅토티아졸린(2-mercaptothiazoline) 1.0%
    물(water) 72.04%
    포뮬레이션 E 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    8-히드록시퀴놀린(8-hydroxyquinoline) 1.0%
    물(water) 72.04%
    포뮬레이션 F 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    1-페닐-2-테트라졸린-5-티온(1-phenyl-2-tetrazoline-5-thione) 1.0%
    물(water) 72.04%
    포뮬레이션 G 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    갈산(gallic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 H 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    살릭실산(salicylic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 I 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 22.26%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    메틸디에탄올아민(methyldiethanolamine) 2.33%
    인산(phosphoric acid)(86%) 1.69%
    아스코르브산(ascorbic acid) 1.0%
    물(water) 72.04%
    포뮬레이션 J 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 81.12%
    포뮬레이션 K 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 0.5%
    물(water) 81.62%
    포뮬레이션 L 2
    벤질트리메틸암모늄 수산화물(benzyltrimethylammonium hydroxide), 40% 수용액 7.2%
    수산화칼륨(potassium hydroxide), 45% 수용액 0.6%
    2-머캅토벤즈이미다졸(2-mercaptobenzimidazole) 0.08%
    아미노프로필모르폴린(aminopropyl morpholine) 10%
    4-메틸-2-페닐-이미다졸(4-methyl-2-phenyl-imidazole) 1.0%
    물(water) 81.02%
    디노닐페놀 폴리옥시에틸렌(dinonylphenol polyoxyethylene) 0.1%
    포뮬레이션 M 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    5-아미노테트라졸(5-aminotetrazole) 0.1%
    물(water) 93.9%
    포뮬레이션 N 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    2,4-디아미노-6-메틸-1,3,5-트리아진(2,4-diamino-6-methyl-1,3,5-triazine) 0.1%
    물(water) 93.9%
    포뮬레이션 O 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    5-아미노-1,3,4-티아디아졸-2-티올(5-amino-1,3,4-thiadiazole-2-thiol) 0.1%
    물(water) 93.9%
    포뮬레이션 P 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    1,2,4-트리아졸(1,2,4-triazole) 0.1%
    물(water) 93.9%
    포뮬레이션 Q 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    2,4-디히드록시-6-메틸필리미딘(2,4-dihydroxy-6-methylpyrimidine) 0.1%
    물(water) 93.9%
    포뮬레이션 R 2
    테트라메틸암모늄 수산화물(tetramethylammonium hydroxide), 25% 수용액 4.0%
    과산화수소(hydrogen peroxide), 30% 수용액 2.0%
    8-히드록시퀴놀린(8-hydroxyquinoline) 0.1%
    물(water) 93.9%
KR1020067020256A 2004-03-03 2005-03-02 기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정 KR101256230B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/792,038 US8338087B2 (en) 2004-03-03 2004-03-03 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US10/792,038 2004-03-03
PCT/US2005/006713 WO2005085957A1 (en) 2004-03-03 2005-03-02 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate

Publications (2)

Publication Number Publication Date
KR20070017509A true KR20070017509A (ko) 2007-02-12
KR101256230B1 KR101256230B1 (ko) 2013-04-17

Family

ID=34911755

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067020256A KR101256230B1 (ko) 2004-03-03 2005-03-02 기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정

Country Status (8)

Country Link
US (2) US8338087B2 (ko)
EP (1) EP1730600B1 (ko)
JP (1) JP4758982B2 (ko)
KR (1) KR101256230B1 (ko)
CN (1) CN1938647B (ko)
CA (1) CA2599727A1 (ko)
TW (1) TWI408728B (ko)
WO (1) WO2005085957A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019173447A1 (en) * 2018-03-06 2019-09-12 Applied Materials, Inc. Methods of forming metal chalcogenide pillars
KR20190128074A (ko) * 2017-03-24 2019-11-14 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 조성물

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005109108A1 (en) * 2004-05-07 2005-11-17 Dongjin Semichem Co., Ltd. Composition for removing a (photo) resist
KR101082018B1 (ko) * 2004-05-07 2011-11-10 주식회사 동진쎄미켐 레지스트 제거용 조성물
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
CN101366107B (zh) 2005-10-05 2011-08-24 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
CN101421386B (zh) * 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US7674755B2 (en) 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080070820A1 (en) * 2006-09-19 2008-03-20 Wescor, Inc. Stain removing cleaning solutions
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
CN101169598A (zh) * 2006-10-27 2008-04-30 安集微电子(上海)有限公司 一种光刻胶清洗剂
EP1918322A1 (en) * 2006-11-03 2008-05-07 Henkel Kommanditgesellschaft auf Aktien Paint Stripper with Corrosion Inhibitor for Aluminium
WO2008061258A2 (en) * 2006-11-17 2008-05-22 Sachem, Inc. Selective metal wet etch composition and process
JP4499751B2 (ja) * 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
CN101201556A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
JP5237300B2 (ja) * 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
JP4692497B2 (ja) * 2007-02-28 2011-06-01 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101286017A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 厚膜光刻胶清洗剂
CN101286016A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7976638B2 (en) * 2007-11-13 2011-07-12 Sachem, Inc. High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
CN101481640B (zh) * 2008-01-10 2011-05-18 长兴开发科技股份有限公司 水性清洗组合物
US20110117751A1 (en) * 2008-03-07 2011-05-19 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5502438B2 (ja) * 2009-03-24 2014-05-28 東京応化工業株式会社 多層レジスト積層体用剥離液及び多層レジスト積層体の処理方法
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
KR20120117733A (ko) 2010-01-25 2012-10-24 도아고세이가부시키가이샤 도전성 고분자를 포함하는 기재 상의 포토레지스트용 현상액, 및 패턴 형성 방법
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
CN102338994B (zh) * 2010-07-23 2014-12-31 安集微电子(上海)有限公司 一种光刻胶的清洗液
TWI430024B (zh) * 2010-08-05 2014-03-11 Asahi Kasei E Materials Corp A photosensitive resin composition, a method for manufacturing a hardened bump pattern, and a semiconductor device
CN102346383B (zh) * 2010-08-06 2016-03-16 安集微电子(上海)有限公司 一种光刻胶的清洗液
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
WO2012024603A2 (en) 2010-08-20 2012-02-23 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
JP5886946B2 (ja) * 2011-06-01 2016-03-16 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッドAvantor Performance Materials, Inc. 銅、タングステンおよび多孔質低κ誘電体に対する増強された相溶性を有する半水溶性ポリマー除去組成物
CN102200700B (zh) * 2011-06-08 2012-08-22 绵阳艾萨斯电子材料有限公司 剥离液及其制备方法与应用
CN102981376A (zh) * 2011-09-05 2013-03-20 安集微电子(上海)有限公司 一种光刻胶清洗液
TW201311883A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
TW201311884A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
JP6123334B2 (ja) 2012-02-17 2017-05-10 三菱化学株式会社 半導体デバイス用洗浄液及び半導体デバイス用基板の洗浄方法
US8916429B2 (en) 2012-04-30 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning techniques and compositions for use in semiconductor device manufacturing
CN104487900B (zh) 2012-05-18 2019-07-23 恩特格里斯公司 用于从包括氮化钛的表面剥离光致抗蚀剂的组合物和方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
TWI655273B (zh) 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
CN105143517A (zh) * 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
CN105683336A (zh) 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
KR102008881B1 (ko) * 2013-08-06 2019-08-08 동우 화인켐 주식회사 반도체 웨이퍼 세정용 조성물
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US9291910B2 (en) 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
CN105899713B (zh) * 2014-01-14 2018-01-12 塞克姆公司 选择性金属/金属氧化物刻蚀方法
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI635160B (zh) * 2014-03-07 2018-09-11 東友精細化工有限公司 紋理蝕刻溶液組成物及晶體矽晶圓紋理蝕刻方法
TWI522421B (zh) * 2014-03-18 2016-02-21 Daxin Materials Corp Liquid crystal alignment composition
KR101956352B1 (ko) * 2014-03-20 2019-03-08 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭방법
CN103955123A (zh) * 2014-04-11 2014-07-30 武汉高芯科技有限公司 一种离子注入后晶片的湿法去胶液及光刻胶去除方法
JP6568198B2 (ja) * 2014-07-18 2019-08-28 キャボット マイクロエレクトロニクス コーポレイション Cmp後の洗浄組成物及びそれに関連する方法
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
KR102310637B1 (ko) 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
TWI752903B (zh) * 2015-03-12 2022-01-21 德商馬克專利公司 在低pKa驅動之聚合物剝離期間促進電荷錯合銅之保護的組合物及方法
CN114706271A (zh) * 2016-03-31 2022-07-05 富士胶片株式会社 半导体制造用处理液及图案形成方法
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
IL292944B2 (en) 2016-05-23 2023-06-01 Fujifilm Electronic Mat Usa Inc Cleaning products for removing the light-resistant materials from the substrate layers made of semiconductor
CN109790028A (zh) 2016-10-06 2019-05-21 富士胶片电子材料美国有限公司 用于移除半导体基材上残余物的清洁制剂
WO2019000446A1 (zh) * 2017-06-30 2019-01-03 深圳市恒兆智科技有限公司 清洗除氧化皮剂及压铸铝工件除氧化皮处理方法
CN108319118A (zh) * 2018-03-15 2018-07-24 昆山长优电子材料有限公司 有机剥膜液
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
WO2020018804A1 (en) * 2018-07-20 2020-01-23 Entegris, Inc. Cleaning composition with corrosion inhibitor
JP7101598B2 (ja) * 2018-11-26 2022-07-15 花王株式会社 三次元物体前駆体処理剤組成物
MX2021012399A (es) 2019-04-12 2021-12-10 Ecolab Usa Inc Limpiador antimicrobiano multiuso y métodos de fabricación y uso de este.
CN114008537A (zh) 2019-04-24 2022-02-01 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
JP7389886B2 (ja) 2019-07-11 2023-11-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング フォトレジストリムーバ組成物
CN110938502B (zh) * 2019-12-09 2021-10-22 荆州市天翼精细化工开发有限公司 一种光学变色颜料真空镀膜基板清洗剂及其制备方法
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113433807B (zh) * 2020-03-23 2024-08-09 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
US20240228912A9 (en) * 2021-03-08 2024-07-11 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, and cleaning method
CN115874184A (zh) * 2022-11-30 2023-03-31 上海富柏化工有限公司 一种有机去膜液及其制备方法
CN116200748B (zh) * 2023-03-29 2024-03-29 四川和晟达电子科技有限公司 一种超高铜离子负载的金属蚀刻液组合物及其制备方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3980587A (en) * 1974-08-16 1976-09-14 G. T. Schjeldahl Company Stripper composition
DE3530282A1 (de) * 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
US4964919A (en) * 1988-12-27 1990-10-23 Nalco Chemical Company Cleaning of silicon wafers with an aqueous solution of KOH and a nitrogen-containing compound
JPH0344600A (ja) 1989-07-12 1991-02-26 Toshiba Corp 使用済樹脂の移送装置
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US6242400B1 (en) * 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6187730B1 (en) * 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5147499A (en) * 1991-07-24 1992-09-15 Applied Materials, Inc. Process for removal of residues remaining after etching polysilicon layer in formation of integrated circuit structure
US5236552A (en) * 1992-04-13 1993-08-17 At&T Bell Laboratories Photoresist stripping method
IT1265041B1 (it) * 1993-07-23 1996-10-28 Eniricerche Spa Catalizzatore bifunzionale efficace nella idroisomerizzazione di cere e procedimento per la sua preparazione
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5520835A (en) * 1994-08-31 1996-05-28 The Procter & Gamble Company Automatic dishwashing compositions comprising multiquaternary bleach activators
EP0789071B1 (en) * 1995-07-27 2006-10-11 Mitsubishi Chemical Corporation Method for treating surface of substrate and surface treatment composition therefor
JP3198878B2 (ja) * 1995-07-27 2001-08-13 三菱化学株式会社 表面処理組成物及びそれを用いた基体の表面処理方法
TW401604B (en) * 1995-09-11 2000-08-11 Mitsubishi Chemcal Coproration Surface treatment composition
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
US5709756A (en) * 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US6268323B1 (en) * 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6117795A (en) * 1998-02-12 2000-09-12 Lsi Logic Corporation Use of corrosion inhibiting compounds in post-etch cleaning processes of an integrated circuit
JP2002505448A (ja) * 1998-02-26 2002-02-19 アルファ・メタルズ・インコーポレーテッド レジストストリッピング法
US6440647B1 (en) * 1998-02-26 2002-08-27 Alpha Metals, Inc. Resist stripping process
US5977601A (en) * 1998-07-17 1999-11-02 Advanced Micro Devices, Inc. Method for etching memory gate stack using thin resist layer
MY144573A (en) * 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
KR100286860B1 (ko) * 1998-12-31 2001-07-12 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
US6200947B1 (en) * 1999-01-20 2001-03-13 Sumitomo Chemical Company, Limited Metal-corrosion inhibitor and cleaning liquid
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6038800A (en) * 1999-07-23 2000-03-21 Seidel; Gregg A. Advertising display device
JP2001183850A (ja) * 1999-12-27 2001-07-06 Sumitomo Chem Co Ltd 剥離剤組成物
JP3514435B2 (ja) * 1999-12-28 2004-03-31 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
TWI243204B (en) * 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
JP2001244228A (ja) * 2000-02-29 2001-09-07 Mitsubishi Materials Silicon Corp 半導体基板の洗浄液及び洗浄方法
US6274296B1 (en) * 2000-06-08 2001-08-14 Shipley Company, L.L.C. Stripper pretreatment
WO2002001300A1 (fr) * 2000-06-28 2002-01-03 Nec Corporation Composition d'agent de demontage
JP2002062668A (ja) 2000-08-14 2002-02-28 Mitsubishi Gas Chem Co Inc フォトレジストの剥離方法
KR100578987B1 (ko) * 2000-09-27 2006-05-12 히다치 가세고교 가부시끼가이샤 레지스트 패턴, 그 제조방법 및 그 이용
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
TW554258B (en) * 2000-11-30 2003-09-21 Tosoh Corp Resist stripper
JP2003005383A (ja) 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
JP4945857B2 (ja) * 2001-06-13 2012-06-06 Jsr株式会社 研磨パッド洗浄用組成物及び研磨パッド洗浄方法
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US6572743B2 (en) * 2001-08-23 2003-06-03 3M Innovative Properties Company Electroplating assembly for metal plated optical fibers
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6551973B1 (en) * 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
JP4381143B2 (ja) * 2001-11-15 2009-12-09 ハネウェル・インターナショナル・インコーポレーテッド フォトリソグラフィー用スピンオン反射防止膜
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
TW574606B (en) * 2002-04-10 2004-02-01 Merck Kanto Advanced Chemical Thinner composition for rinsing photoresist
WO2003091377A1 (en) * 2002-04-25 2003-11-06 Arch Speciality Chemicals, Inc. Non-corrosive cleaning compositions for removing etch residues
CA2488737A1 (en) * 2002-06-07 2003-12-18 Mallinckrodt Baker, Inc. Microelectronic cleaning and arc remover compositions
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
US7101832B2 (en) * 2003-06-19 2006-09-05 Johnsondiversey, Inc. Cleaners containing peroxide bleaching agents for cleaning paper making equipment and method
CN1954267B (zh) * 2004-02-11 2010-12-08 马林克罗特贝克公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190128074A (ko) * 2017-03-24 2019-11-14 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 조성물
WO2019173447A1 (en) * 2018-03-06 2019-09-12 Applied Materials, Inc. Methods of forming metal chalcogenide pillars
US11189529B2 (en) 2018-03-06 2021-11-30 Applied Materials, Inc. Methods of forming metal chalcogenide pillars
US11515207B2 (en) 2018-03-06 2022-11-29 Applied Materials, Inc. Methods of forming metal chalcogenide pillars

Also Published As

Publication number Publication date
CN1938647A (zh) 2007-03-28
CN1938647B (zh) 2012-08-29
CA2599727A1 (en) 2005-09-15
TW200534364A (en) 2005-10-16
EP1730600A4 (en) 2010-07-28
JP4758982B2 (ja) 2011-08-31
TWI408728B (zh) 2013-09-11
WO2005085957A1 (en) 2005-09-15
EP1730600A1 (en) 2006-12-13
KR101256230B1 (ko) 2013-04-17
EP1730600B1 (en) 2016-01-06
US8338087B2 (en) 2012-12-25
US20130109605A1 (en) 2013-05-02
JP2007526523A (ja) 2007-09-13
US20050197265A1 (en) 2005-09-08

Similar Documents

Publication Publication Date Title
KR101256230B1 (ko) 기판에 증착된 포토레지스트 및/또는 희생 반사―방지성물질의 포스트―에치 제거를 위한 조성물 및 공정
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR101174911B1 (ko) 포토레지스트 제거
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007027522A2 (en) Composition and method for removing thick film photoresist
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
JP2013533631A (ja) エッチング後残渣を除去するための水性洗浄剤
JP2010515246A (ja) エッチング後残留物を除去するための液体洗浄剤

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160324

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee