JP4758982B2 - 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス - Google Patents

基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス Download PDF

Info

Publication number
JP4758982B2
JP4758982B2 JP2007501931A JP2007501931A JP4758982B2 JP 4758982 B2 JP4758982 B2 JP 4758982B2 JP 2007501931 A JP2007501931 A JP 2007501931A JP 2007501931 A JP2007501931 A JP 2007501931A JP 4758982 B2 JP4758982 B2 JP 4758982B2
Authority
JP
Japan
Prior art keywords
aqueous solution
water
formulation
mercaptobenzimidazole
hydroxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007501931A
Other languages
English (en)
Other versions
JP2007526523A (ja
JP2007526523A5 (ja
Inventor
ラス,メリッサ,ケー.
デービッド, ディー バーンハード,
ミンセク,デービッド
コルチェンスキ,マイケル,ビー.
バウム,トーマス,エイチ.
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2007526523A publication Critical patent/JP2007526523A/ja
Publication of JP2007526523A5 publication Critical patent/JP2007526523A5/ja
Application granted granted Critical
Publication of JP4758982B2 publication Critical patent/JP4758982B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K31/00Medicinal preparations containing organic active ingredients
    • A61K31/33Heterocyclic compounds
    • A61K31/395Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins
    • A61K31/435Heterocyclic compounds having nitrogen as a ring hetero atom, e.g. guanethidine or rifamycins having six-membered rings with one nitrogen as the only ring hetero atom
    • A61K31/44Non condensed pyridines; Hydrogenated derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Epidemiology (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Detergent Compositions (AREA)

Description

発明の分野
本発明は、その上に付着したフォトレジスト及び/又は犠牲反射防止膜材料を有する基板若しくは物品から、エッチング後のかかる材料の除去のために有用な組成物並びにプロセスに関する。
関連技術の説明
現在開発されている半導体集積は、(i)反射防止膜の使用、(ii)低k誘電材料へのエッチング/アッシング誘導損傷の最小化、(iii)誘電/エッチング停止相互接続層に関する有効k値の最小化並びに(iv)集積技術の許容条件及び変更の観点での広いプロセスラティチュードを必要とする。
前記必要条件は、エッチング後のフォトレジスト及びSARCを単一プロセス工程で除去する液体クリーニングの化学特性と組み合わせて犠牲反射防止膜を利用する誘電パターニングプロセスを使用して、アッシングを行なわないエッチング操作の使用によって調節可能である。
半導体製造において現在使用されているフォトリソグラフィープロセスは、ステッパーUV光の反射を防止するためにフォトレジスト層下にUV/光吸収膜の使用を必要とする。この膜がない場合、かなりの量の光が下地基板から反射される。かかる反射光は、順番に、強め合う干渉及び弱め合う干渉、不均一なフォトスピード、全フォトリソグラフィックパターン欠陥の発生、限界寸法能力の損失等から生じるフォトレジストノッチングなどのフォトリソグラフィックプロセス間の欠陥を生じる可能性がある。
フォトリソグラフィックプロセスでUV光の高吸収を達成するためのいくつかのアプローチがあって、二層及び三層フォトレジストの使用、底部反射防止膜(BARC)及び犠牲反射防止膜(SARC)の使用が挙げられる。これらのアプローチの全ては、入射光を吸収するスピンオンポリマーマトリックス中にUV発色団を組み入れる。また、これらの反射防止膜の全ては、典型的なデュアルダマシン集積において遭遇するトポロジカルウエハー表面における平坦化効果も有する。
しかしながら、半導体集積においてSiOCをベースとする誘電材料を使用する場合、SARCの使用は前記された他のアプローチに勝る2つの重要な利点を有する。
第1に、SARC材料はテトラエチルオルトシリケート(TEOS)をベースとするため、SiOCをベースとする誘電材料と同様の様式及び同様の速度でそれらをエッチング可能である。これによって、トレンチエッチング停止層が必要とされず、そしてエッチング停止層を経由して前記の別のアプローチに対して厚さが50%まで減少され得るような範囲まで、非常に高レベルのエッチング均一性及びエッチング制御が達成される。
第2に、有機物をベースとするフォトレジスト及びBARCに対して、エッチングされたSARCはエッチング後にそれらの架橋度を著しく増加させないため、エッチングされたSARCを液体クリーナー/エッチ液組成物によって除去することができる。
低電気容量(低k)絶縁材料又は誘電体によって隔離されたアルミニウム又は銅の相互接続ワイヤーを加工するバック−エンド−オブ−ライン(back−end−of−line)(BEOL)の用途においてクリーナー/エッチ液組成物を使用する場合、フォトレジスト残留物及びSARCを除去するために使用される組成物が良好な金属相溶性、例えば、銅、アルミニウム、コバルト等における低エッチング速度を有することは重要である。
未処理のフォトレジストは、強アルカリ水溶液ならびに選択された有機溶媒の溶液における溶解性を有する。しかしながら、典型的に誘電材料のエッチングに使用されるような気相プラズマエッチングに暴露されたフォトレジストは、材料表面上に硬化した外皮を発展させる。硬化した外皮は架橋有機ポリマーからなり、そして少量のケイ素又は金属原子を含有してもよい。デュアルダマシンプロセスにおいて使用されるようなフッ素をベースとするプラズマエッチングはフォトレジスト外皮にフッ素原子を付着させ得、これによってその溶解性が減少し、そしてその化学的除去に対する耐性が増加し得る。
気相アッシングによってフォトレジスト及び外皮を除去することができる。ここでは基板が酸化又は還元プラズマエッチングに暴露されるが、これらのプラズマアッシング技術は誘電体、特に多孔性有機シリケート若しくは有機低k材料に損傷を引き起こす可能性があり、それによってk値の容認できない増加が引き起こされる。製造される構造の半導体特徴は、銅、アルミニウム及びコバルトの合金などの最終的な製品チップの操作に不可欠な金属を含有し得る。
当該分野において、フォトレジスト除去のためにヒドロキシルアミン溶液が利用されているが、かかる溶液はそれらの使用を制限する腐食、毒性及び反応性の問題に関連しており、集積回路において銅が使用される場合、不利な腐食が特に問題となる。
発明の概要
一の態様において、本発明は、その上にフォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用なクリーニング組成物に関する。この組成物は(a)少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基;並びに(b)酸化剤との組み合わせの強塩基よりなる群から選択される活性クリーニングの組み合わせ(ACC)を含む。
本発明の別の一の態様は、その上にフォトレジスト及び/又はSARC材料を有する基板からかかる材料を除去する方法であって、(a)少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基;並びに(b)酸化剤との組み合わせの強塩基よりなる群から選択される活性クリーニングの組み合わせ(ACC)を含むクリーニング組成物と基板を十分な時間で接触させて、基板から前記材料を少なくとも部分的に除去する工程を含んでなる方法に関する。
本発明の他の態様、特徴及び利点については、以下の開示及び添付の請求の範囲によってより完全に明らかになるであろう。
発明及びその好ましい実施形態の詳細な説明
本発明は、その上にフォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用なクリーニング組成物を検討する。
この組成物は、(a)少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基;並びに(b)酸化剤との組み合わせの強塩基よりなる群から選択される活性クリーニングの組み合わせ(ACC)を含む。
本発明の組成物は、多種多様の具体的な配合物中で実施されてもよく、より完全に以下に説明される。
全てのかかる組成物において、組成物の具体的な成分はゼロの下限値を含む重量パーセント範囲を参照して考察され、組成物の様々な具体的な実施形態においてかかる成分が存在し得るか、又は存在しないことは理解され、そして例えば、かかる成分が存在する場合、かかる成分が利用される組成物の総重量を基準として0.01重量パーセントと同程度の低濃度でそれらが存在し得ることは理解される。
一の態様において、本発明は、次の成分:
0.1重量%〜40.0重量%の有機第四級アンモニウム塩基と、
0.01重量%〜5重量%のアルカリ若しくはアルカリ土類金属塩基と、
0重量%〜80重量%の溶媒及び/又はアミンと、
0重量%〜5重量%の界面活性剤と、
0重量%〜10重量%のキレート剤/不動態化剤と、
0重量%〜98重量%の水と
を含むSARC及びフォトレジストを除去するために有用な洗浄組成物に関する。ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、そして組成物のかかる成分の重量パーセントの総計が100重量%を超過しない。
かかる組成物は、活性ならびに不活性成分、例えば安定剤、分散剤、酸化防止剤、浸透剤、補助剤、添加剤、充填剤、賦形剤等を含む追加成分を任意に含んでもよい。
様々な実施形態において、この組成物は、前記有機第四級アンモニウム塩基、アルカリ若しくはアルカリ土類金属塩基、溶媒及び/又はアミン、界面活性剤、キレート剤/不動態化剤及び水成分を様々に含んでなるか、それらよりなるか、又はそれらより本質的になってよい。
1つの具体的な実施形態において、クリーニング組成物は、次の成分:
2重量%〜15重量%の有機第四級アンモニウム塩基と、
約0.01重量%〜2重量%のアルカリ若しくはアルカリ土類金属塩基と、
0重量%〜50重量%の溶媒及び/又はアミンと、
約0.01重量%〜2重量%の界面活性剤と、
0重量%〜5重量%のキレート剤/不動態化剤と、
40重量%〜95重量%の水と
を含む。ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、そして組成物のかかる成分の重量パーセントの総計が100重量%を超過しない。
様々な好ましい実施形態において、クリーニング組成物は、以下の配合物A〜Gに配合され、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである。

配合物A
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
3.0%の4−メチルモルホリンN−オキシド
0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
0.08%の2−メルカプトベンズイミダゾール
91.0%の水

配合物B
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
3.0%の4−メチルモルホリンN−オキシド
0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
0.20%の5−アミノ−1,3,4−チアジアゾール−2−チオール
90.86%の水

配合物C
3.60%の水酸化ベンジルトリメチルアンモニウム
0.27%の水酸化カリウム
3.5%の4−メチルモルホリンN−オキシド
15.0%の4−(3−アミノプロピル)モルホリン
0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
0.08%の2−メルカプトベンズイミダゾール
77.25%の水

配合物D
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
20.0%のジメチルスルホキシド
0.08%の2−メルカプトベンズイミダゾール
74.28%の水

配合物E
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
10.0%のテトラメチレンスルホン
0.30%のオキシラン、メチル−、オキシランによるポリマー、2.2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C(−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
0.08%の2−メルカプトベンズイミダゾール
83.98%の水

配合物F
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
10.0%のジ(エチレングリコール)ブチルエーテル
10.0%の2−(2−ジメチルアミノ)エトキシ)エタノール
0.30%のオキシラン、メチル−、オキシランによるポリマー、2.2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C(−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
74.06%の水

配合物G
5.36%の水酸化ベンジルトリメチルアンモニウム
0.28%の水酸化カリウム
10.0%のテトラメチレンスルホン
10.0%のジ(エチレングリコール)ブチルエーテル
0.10%のオキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル
0.08%の2−メルカプトベンズイミダゾール
74.18%の水
もう1つの態様において、本発明は、コバルト及び銅の相溶性を維持しながら半導体基板及び/又はSARCからフォトレジスト及び/又はフォトレジスト残留物を剥離するために有用な洗浄組成物に関する。かかる洗浄組成物は、少なくとも一種の酸化剤、強塩基、任意にキレート剤ならびに任意に共溶媒及び/又は界面活性剤の水溶液を含む。この洗浄組成物は、誘電材料に損傷を引き起こすことなく、そして下地材料の腐食を引き起こすことなく半導体デバイスの上部からフォトレジストを有効に除去する。
塩基成分が水酸化カリウムを含むかかる種類の組成物は、誘電層に悪影響を及ぼすことなく非常に効率的なクリーニングを達成するために特に都合がよい。
一の実施形態において、かかる種類の組成物は、組成物の総重量を基準とする重量パーセントで次の成分:
0.1重量%〜30重量%の強塩基と、
0.01重量%〜30重量%の酸化剤と、
0重量%〜10重量%のキレート剤と、
0重量%〜5重量%の界面活性剤と、
0重量%〜50重量%の共溶媒と、
20重量%〜98.9重量%の脱イオン水と
を含む。
かかる文脈上で使用される場合、「強塩基」という用語は、水溶液又は部分的水溶液中で分離して実質的に化学量論適量の水酸化物アニオンを生じるカチオン/アニオン塩を意味する。強塩基としては、水酸化カリウム及び水酸化アルキルアンモニウム、例えば水酸化テトラメチルアンモニウム(TMAH)、水酸化コリン、水酸化ベンジルトリメチルアンモニウム等などの塩基が挙げられる。
一の実施形態において、本発明の組成物はヒドロキシルアミンを含まない。
かかる組成物中の酸化剤としては、限定されないが、無機及び/又は有機酸化剤、例えば過酸化水素、有機ペルオキシド、アミン−N−オキシド、過ホウ酸塩、過硫酸塩並びに前記の二種以上の組み合わせが挙げられる。
かかる組成物中のキレート剤はいずれかの適切な種類のものであり得、限定されないが、トリアゾール、例えば1,2,4−トリアゾール、又はC〜Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ及びニトロ基などの置換基によって置換されたトリアゾール、例えば、ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、Br又はI)、ナフトトリアゾール等、ならびにチアゾール、テトラゾール、イミダゾール、ホスフェート、チオール及びアジン、例えば2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、トリトリルホスフェート、インジアゾール等が挙げられる。適切なキレート剤種としては、さらにグリセロール、アミノ酸、カルボン酸、アルコール、アミド及びキノリン、例えばグアニン、アデニン、グリセロール、チオグリセロール、ニトリロトリ酢酸、サリチルアミド、イミノ二酢酸、ベンゾグアナミン、メラミン、チオシアヌル酸、アントラニル酸、没食子酸;アスコルビン酸;サリチル酸;8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸等が挙げられる。組成物と半導体デバイスに使用される金属及び誘電材料との相溶性を増加するために、キレート剤は有効に利用される。
組成物中に利用される界面活性剤はいずれかの適切な種類のものであり得、例えば、非イオン性界面活性剤、例えばフルオロアルキル界面活性剤、ポリエチレングリコール、ポリプロピレングリコール、ポリジエチレン若しくはポリプロピレングリコールエーテル、カルボン酸塩、ドデシルベンゼンスルホン酸若しくはそれらの塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン若しくは他の置換フェニルポリオキシエチレン、シリコーン若しくは変性シリコーンポリマー、アセチレンジオール若しくは変性アセチレンジオール、アルキルアンモニウム若しくは変性アルキルアンモニウム塩並びに前記の二種以上の組み合わせであり得る。
かかる組成物のための適切な共溶媒種としては、限定されないが、アミン、例えば、ジメチルジグリコールアミン、1,8−ジアザビシクロ[5.4.0]ウンデセン、アミノプロピルモルホリン、トリエタノールアミン、メチルエタノールアミン、メチルジエタノールアミン等、又はグリコール、例えば、エチレン若しくはポリジエチレン、プロピレングリコール、ネオペンチルグリコール等、アミン、例えばヒドロキシエチルモルホリン、アミノプロピルモルホリン等、又はグリコールエーテル、例えばジ(エチレングリコール)モノエチルエーテル、ジ(プロピレングリコール)プロピルエーテル、エチレングリコールフェニルエーテル、ジ(プロピレングリコール)ブチルエーテル、ブチルカルビトール等、又はポリグリコールエーテルが挙げられる。
かかる組成物の具体的な実施形態としては以下の配合物H〜Sが挙げられ、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである。

配合物H
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.1%
水 73.9%

配合物I
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
テトラチオモリブデン酸アンモニウム 0.1%
水 73.9%

配合物J
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 20.0%
水 53.9%

配合物K
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
N−エチルモルホリン 20.0%
水 53.9%

配合物L
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノエチルピペリジン 20.0%
水 53.9%

配合物M
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
3−アミノ−5−1,2,4−トリアゾール 0.1%
アミノプロピルモルホリン 20.0%
水 53.9%

配合物N
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
3−アミノ−5−1,2,4−トリアゾール 0.1%
アミノプロピルモルホリン 10.0%
水 63.9%

配合物O
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 20.0%
水 53.9%

配合物P
水酸化テトラメチルアンモニウム、25%水溶液 14.7%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 10.0%
水 63.9%

配合物Q
水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
水酸化カリウム、45%水溶液 0.6%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 20.0%
水 59.02%

配合物R
水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
水酸化カリウム、45%水溶液 0.6%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 15.0%
水 64.02%

配合物S
水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
水酸化カリウム、45%水溶液 0.6%
N−メチルモルホリンオキシド、50%水溶液 7.0%
ジノニルフェノールポリオキシエチレン、7%水溶液 4.3%
2−メルカプトベンズイミダゾール 0.1%
アミノプロピルモルホリン 10.0%
水 69.02%
もう1つの態様において、本発明は、組成物の総重量を基準とする重量パーセントで次の成分:
0.1重量%〜30重量%の強塩基と、
2重量%〜30重量%の酸化剤と、
0重量%〜10重量%のキレート剤と、
0重量%〜5重量%の界面活性剤と、
0重量%〜50重量%の共溶媒と、
20重量%〜98重量%の脱イオン水と
を含むクリーニング組成物を検討する。
かかる組成物中の強塩基、酸化剤、キレート剤、共溶媒及び界面活性剤種は、前記で実例として検討されたものと同一であるか、又はそれらに対応するものであってよい。
かかる組成物の具体的な実施形態としては以下の配合物T、U、V、W、X、Y、Z、A、B、C、D、E、F、G、H、I、J、K及びLが挙げられ、ここでは全てのパーセントは組成物の総重量を基準とする重量パーセントである。

配合物T
水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 78.62%

配合物U
水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 1.2%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 78.02%

配合物V
水酸化テトラメチルアンモニウム、25%水溶液 5.85%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 1.2%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 85.57%

配合物W
水酸化テトラメチルアンモニウム、25%水溶液 2.93%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 1.2%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 88.49%

配合物X
水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 84.82%

配合物Y
水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 1.2%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 87.82%

配合物Z
水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 88.42%

配合物A
水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
N−メチルモルホリンオキシド、50%水溶液 7.0%
水酸化カリウム、45%水溶液 0.3%
2−メルカプトベンズイミダゾール 0.08%
ジノニルフェノールポリオキシエチレン 0.3%
水 85.12%

配合物B
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
3−アミノ−5−メルカプト−1,2,4−トリアゾール 1.0%
水 72.04%

配合物C
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
4−メチル−2−フェニル−イミダゾール 1.0%
水 72.04%

配合物D
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
2−メルカプトチアゾリン 1.0%
水 72.04%

配合物E
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
8−ヒドロキシキノリン 1.0%
水 72.04%

配合物F
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
1−フェニル−2−テトラゾリン−5−チオン 1.0%
水 72.04%

配合物G
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
没食子酸 1.0%
水 72.04%

配合物H
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
サリチル酸 1.0%
水 72.04%

配合物I
水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
メチルジエタノールアミン 2.33%
リン酸(86%) 1.69%
アスコルビン酸 1.0%
水 72.04%

配合物J
水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
アミノプロピルモルホリン 10%
4−メチル−2−フェニル−イミダゾール 1.0%
水 81.12%

配合物K
水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
アミノプロピルモルホリン 10%
4−メチル−2−フェニル−イミダゾール 0.5%
水 81.62%

配合物L
水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
水酸化カリウム、45%水溶液 0.6%
2−メルカプトベンズイミダゾール 0.08%
アミノプロピルモルホリン 10%
4−メチル−2−フェニル−イミダゾール 1.0%
水 81.02%
ジノニルフェノールポリオキシエチレン 0.1%

コバルト及び銅の相溶性を維持しながら半導体基板からフォトレジスト及び/又はフォトレジスト残留物を剥離するために適切な、本発明の広い範囲内のさらに他の配合物としては配合物M、N、O、P、Q及びRが挙げられ、これらの組成を以下に明らかにする。

配合物M
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
5−アミノテトラゾール 0.1%
水 93.9%

配合物N
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
2,4−ジアミノ−6−メチル−1,3,5−トリアジン 0.1%
水 93.9%

配合物O
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
5−アミノ−1,3,4−チアジアゾール−2−チオール 0.1%
水 93.9%

配合物P
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
1,2,4−トリアゾール 0.1%
水 93.9%

配合物Q
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
2,4−ジヒドロキシ−6−メチルピリミジン 0.1%
水 93.9%

配合物R
水酸化テトラメチルアンモニウム、25%水溶液 4.0%
過酸化水素、30%水溶液 2.0%
8−ヒドロキシキノリン 0.1%
水 93.9%
各成分を単純に添加して均一条件まで混合することによって、本発明のクリーニング組成物は容易に配合される。
洗浄用途において、洗浄される材料に洗浄組成物をいずれかの適切な様式で、例えば洗浄される材料表面上での洗浄組成物の噴霧によって、洗浄される材料を含む材料又は物品の(洗浄組成物の容積中での)浸漬によって、洗浄される材料又は物品と、洗浄組成物で飽和されているもう1つの材料、例えばパッド若しくは繊維状吸着剤アプリケーター素子との接触によって、あるいは洗浄組成物が洗浄される材料と洗浄接触に導かれるいずれかの他の適切な手段、様式又は技術によって適用する。
半導体の製造操作に適用されるように、本発明の洗浄組成物は、フォトレジスト及び/又はSARC材料が付着した基板及び半導体デバイス構造からかかる材料を除去するために有効に利用される。
本発明の組成物は、かかるフォトレジスト及び/又はSARC材料に対するそれらの選択性によって、半導体基板上に存在してクリーニング組成物に暴露される他材料、例えばILD構造、金属化、バリア層等に関係して、非常に有効な様式でフォトレジスト及び/又はSARC材料の除去を達成する。
その上にフォトレジスト及び/又はSARC材料を有する半導体基板からそれらを除去するための本発明の組成物の使用において、典型的に約10分〜約45分の時間、約50℃〜約80℃の範囲の温度でクリーニング組成物を基板と接触させる。かかる接触時間及び温度は実例であって、本発明の広い実施範囲内で基板からフォトレジスト及び/又はSARC材料を少なくとも部分的に除去するために有効であるいずれかの他の適切な時間及び温度条件が利用されてもよい。
所望のクリーニング作用達成後、本発明の組成物の所定の最終用途において望ましく有効であるように、例えば、すすぎ、洗浄又は他の除去工程によってクリーニング組成物はそれが以前に適用された基板又は物品から容易に除去される。
本発明の特徴及び利点は以下の非限定的な実施例によってより完全に説明されるが、ここでは特記されない限り全ての部及びパーセントは重量によるものである。
[実施例]
実施例1
上述した通り、各組成を有する配合物A、B、C、D、E、F及びGの試料を調製した。
基板上での銅金属化において低エッチング作用を維持しながら、その上にコーティングされたフォトレジスト及びSARCを含有する基板からのそれらの除去に関するこれらの配合物の有効性について、特定の配合物のクリーニング組成物を6分〜15分間、60℃〜70℃の温度で基板と接触させて、続いて基板を脱イオン水ですすぐことによって、対応する試験で評価した。この基板は、パターン化されたフォトレジストの下にパターン化された有機シリケート誘電及びSARC構造を含有するケイ素ウエハ上のポストエッチング構造であった。フォトレジストは標準的な市販品として入手可能な、193nm又は248nmリソグラフィーに対する化学増幅型レジストである。SARC材料は、リソグラフィーに使用される周波数で光を強く吸収する染料材料を組み入れた市販品として入手可能なスピンオンポリシロキサン材料層よりなった。
かかる接触及びすすぎ工程に続いて、フォトレジストの除去パーセント、SARCの除去パーセント及び1分あたりオングストローム(Å/分)での銅のエッチング速度を決定した。対応するデータを以下の表1に示す。
Figure 0004758982
実施例2
上述した通り、各組成を有する配合物H、I、J、K、L、M、N、O、P、Q、R及びSの試料を調製した。
基板上での銅及びコバルトにおいて低エッチング作用を維持しながら、その上にフォトレジスト、銅及びコバルト金属を有する半導体基板からのフォトレジスト残留物の除去に関するこれらの配合物の有効性について、特定の配合物のクリーニング組成物を60℃〜70℃の温度で基板と接触させて、続いて基板を脱イオン水ですすぐことによって、対応する試験で評価した。この基板は、パターン化されたフォトレジストの下にパターン化された有機シリケート誘電及びSARC構造を含有するケイ素ウエハ上のポストエッチング構造であった。フォトレジストは標準的な市販品として入手可能な、193nm又は248nmリソグラフィーに対する化学増幅型レジストである。実質的なクリーニングとは、光学顕微鏡によって決定されるように半導体デバイスからのフォトレジストの除去が80%より高いものとして定義される。
データを以下の表2に示す。
Figure 0004758982
実施例3
上述した通り、各組成を有する配合物T、U、V、W、X、Y、Z、A、B、C、D、E、F、G、H、I、J、K及びLの試料を調製した。
その上にフォトレジストを有する半導体基板からのフォトレジスト残留物の除去に関するこれらの配合物の有効性について、特定の配合物の洗浄組成物を12分間70℃の温度で基板と接触させて、続いて基板を脱イオン水ですすぐことによって、対応する試験で評価した。この基板は、パターン化されたフォトレジストの下にパターン化された有機シリケート誘電及びSARC構造を含有するケイ素ウエハ上のポストエッチング構造であった。フォトレジストは標準的な市販品として入手可能な、193nm又は248nmリソグラフィーに対する化学増幅型レジストである。実質的なクリーニングとは、光学顕微鏡によって決定されるように半導体デバイスからのフォトレジストの除去が80%より高いものとして定義される。
データを以下の表3に示す。
Figure 0004758982
Figure 0004758982
実施例4
上述した通り、各組成を有する配合物M、N、O、P、Q及びRの試料を調製した。
基板上での銅及びコバルトにおいて低エッチング作用を維持しながら、その上にフォトレジスト、銅及びコバルト金属を有する半導体基板からのフォトレジスト残留物の除去に関するこれらの配合物の有効性について、特定の配合物のクリーニング組成物を70℃で基板と接触させて、続いて基板を脱イオン水ですすぐことによって、対応する試験で評価した。この基板は、パターン化されたフォトレジストの下にパターン化された有機シリケート誘電及びSARC構造を含有するケイ素ウエハ上のポストエッチング構造であった。フォトレジストは標準的な市販品として入手可能な、193nm又は248nmリソグラフィーに対する化学増幅型レジストである。実質的なクリーニングとは、光学顕微鏡によって決定されるように半導体デバイスからのフォトレジストの除去が80%より高いものとして定義される。
データを以下の表5に示す。
Figure 0004758982
前記実施例は、本発明の洗浄組成物が、その上にコーティングされたフォトレジスト及び/又はSARCを有する半導体基板からのそれらの除去に関して有用であることを論証する。さらに、基板上の金属化、例えば銅、アルミニウム及びコバルト合金に悪影響がない状態で、かかる組成物を利用することができる。
さらに本発明の洗浄組成物は適切な溶媒系、例えば、かかる組成物に低毒性及び低可燃性特徴を付与する水性及び半水性溶媒系によって容易に配合される。
従って、本発明の洗浄組成物は、集積回路デバイスの製造時のフォトレジスト及び/又はSARC材料の除去の分野において、実質的な進歩を達成する。
実例となる実施形態及び特徴を参照しながら、本発明は本明細書に様々に開示されているが、前記された実施形態及び特徴には本発明を限定する意図はなく、そして当業者は本明細書の開示に基づいて他の変更、修正及び他の実施形態を発想するであろうことが認識される。従って、本発明は、請求の範囲に明らかにされた趣旨及び範囲内の変更、修正及び別の実施形態を全て包括するものとして広範囲に解釈される。

Claims (24)

  1. 少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基を含む洗浄組成物であって、
    フォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用な洗浄組成物。
  2. ヒドロキシルアミンを含まない、請求項1に記載の洗浄組成物。
  3. 次の成分:
    0.1重量%〜40.0重量%の有機第四級アンモニウム塩基と;
    0.01重量%〜5重量%のアルカリ若しくはアルカリ土類塩基と;
    0重量%〜80重量%の溶媒及び/又はアミンと;
    0重量%〜5重量%の界面活性剤と;
    0重量%〜10重量%のキレート剤/不動態化剤と;
    0重量%〜98重量%の水と
    を含み、ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、組成物のかかる成分の重量パーセントの総計が100重量%を超過しない、請求項1に記載の洗浄組成物。
  4. 配合物A〜C
    配合物A
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    91.0%の水
    配合物B
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.20%の5−アミノ−1,3,4−チアジアゾール−2−チオール
    90.86%の水
    配合物C
    3.60%の水酸化ベンジルトリメチルアンモニウム
    0.27%の水酸化カリウム
    3.5%の4−メチルモルホリンN−オキシド
    15.0%の4−(3−アミノプロピル)モルホリン
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    77.25%の水
    配合物D
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    20.0%のジメチルスルホキシド
    0.08%の2−メルカプトベンズイミダゾール
    74.28%の水
    配合物E
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    0.08%の2−メルカプトベンズイミダゾール
    83.98%の水
    配合物F
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のジ(エチレングリコール)ブチルエーテル
    10.0%の2−(2−ジメチルアミノ)エトキシ)エタノール
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    74.06%の水
    配合物G
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    10.0%のジ(エチレングリコール)ブチルエーテル
    0.10%のオキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル
    0.08%の2−メルカプトベンズイミダゾール
    74.18%の水
    配合物H
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 20.0%
    水 59.02%
    配合物I
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 15.0%
    水 64.02%
    配合物J
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 10.0%
    水 69.02%
    配合物K
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.62%
    配合物L
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.02%
    配合物M
    水酸化テトラメチルアンモニウム、25%水溶液 5.85%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.57%
    配合物N
    水酸化テトラメチルアンモニウム、25%水溶液 2.93%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.49%
    配合物O
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 84.82%
    配合物P
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 87.82%
    配合物Q
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.42%
    配合物R
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.3%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.12%
    配合物S
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    3−アミノ−5−メルカプト−1,2,4−トリアゾール 1.0%
    水 72.04%
    配合物T
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 72.04%
    配合物U
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    2−メルカプトチアゾリン 1.0%
    水 72.04%
    配合物V
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    8−ヒドロキシキノリン 1.0%
    水 72.04%
    配合物W
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    1−フェニル−2−テトラゾリン−5−チオン 1.0%
    水 72.04%
    配合物X
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    没食子酸 1.0%
    水 72.04%
    配合物Y
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    サリチル酸 1.0%
    水 72.04%
    配合物Z
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    アスコルビン酸 1.0%
    水 72.04%
    配合物A
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.12%
    配合物B
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 0.5%
    水 81.62%
    配合物C
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.02%
    ジノニルフェノールポリオキシエチレン 0.1%
    よりなる群から選択され、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである、請求項1に記載の洗浄組成物。
  5. 前記組成物が、水酸化カリウムを含む、請求項1に記載の洗浄組成物。
  6. キレート剤、界面活性剤、共溶媒及びそれらの組み合わせよりなる群から選択される種をさらに含む、請求項1に記載の洗浄組成物。
  7. 前記キレート剤が、トリアゾール;C〜Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ及びニトロよりなる群から選択される置換基によって置換されたトリアゾール;チアゾール;テトラゾール;イミダゾール;ホスフェート;チオール;アジン;グリセロール;アミノ酸;カルボン酸;アルコール;アミド;並びにキノリンよりなる群から選択されるキレート剤種を含み;
    前記界面活性剤が、フルオロアルキル界面活性剤;ポリエチレングリコール;ポリプロピレングリコール;ポリエチレングリコールエーテル;ポリプロピレングリコールエーテル;カルボン酸塩;ドデシルベンゼンスルホン酸及びそれらの塩;ポリアクリレートポリマー;ジノニルフェニルポリオキシエチレン;シリコーンポリマー;変性シリコーンポリマー;アセチレンジオール;変性アセチレンジオール、アルキルアンモニウム塩;変性アルキルアンモニウム塩;並びに前記の二種以上の組み合わせよりなる群から選択される界面活性剤種を含み;
    前記共溶媒が、アミン;グリコール;グリコールエーテル;ポリグリコールエーテル;および前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項6に記載の洗浄組成物。
  8. 前記キレート剤が、1,2,4−トリアゾール;ベンゾトリアゾール;トリルトリアゾール;5−フェニル−ベンゾトリアゾール;5−ニトロ−ベンゾトリアゾール;4−メチル−2−フェニルイミダゾール;2−メルカプトチアゾリン;1−アミノ−1,2,4−トリアゾール;ヒドロキシベンゾトリアゾール;2−(5−アミノ−ペンチル)−ベンゾトリアゾール;1−アミノ−1,2,3−トリアゾール;1−アミノ−5−メチル−1,2,3−トリアゾール;3−アミノ−1,2,4−トリアゾール;3−メルカプト−1,2,4−トリアゾール;3−アミノ−5−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール;5−フェニルチオール−ベンゾトリアゾール;ハロがF、Cl、Br及びIよりなる群から選択されるハロ−ベンゾトリアゾール;ナフトトリアゾール;2−メルカプトベンゾイミジゾール;2−メルカプトベンゾチアゾール;5−アミノテトラゾール;5−アミノ−1,3,4−チアジアゾール−2−チオール;2,4−ジアミノ−6−メチル−1,3,5−トリアジン;チアゾール;トリアジン;メチルテトラゾール;1,3−ジメチル−2−イミダゾリジノン;1,5−ペンタメチレンテトラゾール;1−フェニル−5−メルカプトテトラゾール;ジアミノメチルトリアジン;メルカプトベンゾチアゾール;イミダゾリンチオン;メルカプトベンズイミダゾール;4−メチル−4H−1,2,4−トリアゾール−3−チオール;5−アミノ−1,3,4−チアジアゾール−2−チオール;ベンゾチアゾール;トリトリルホスフェート;インジアゾール;グアニン;アデニン;グリセロール;チオグリセロール;ニトリロトリ酢酸;サリチルアミド;イミノ二酢酸;ベンゾグアナミン;メラミン;チオシアヌル酸;アントラニル酸;没食子酸;アスコルビン酸;サリチル酸;8−ヒドロキシキノリン;5−カルボン酸−ベンゾトリアゾール;3−メルカプトプロパノール;ホウ酸;並びにイミノ二酢酸よりなる群から選択されるキレート剤種を含み;
    前記共溶媒が、ジメチルジグリコールアミン;1,8−ジアザビシクロ[5.4.0]ウンデセン;アミノプロピルモルホリン;トリエタノールアミン;メチルエタノールアミン;ジエチレングリコール;プロピレングリコール;ネオペンチルグリコール;ヒドロキシエチルモルホリン;アミノプロピルモルホリン;ジ(エチレングリコール)モノエチルエーテル;ジ(プロピレングリコール)プロピルエーテル;エチレングリコールフェニルエーテル;ジ(プロピレングリコール)ブチルエーテル;ブチルカルビトール;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項7に記載の洗浄組成物。
  9. その上にフォトレジスト及び/又は犠牲反射防止膜(SARC材料を有する基板から前記材料を除去する方法であって、
    少なくとも一種のアルカリ及びアルカリ土類金属塩基との組み合わせの第四級アンモニウム塩基を含む洗浄組成物と基板を十分な時間で接触させて、基板から前記材料を少なくとも部分的に除去する工程を含む、方法。
  10. 前記基板が、半導体デバイス構造を含む、請求項9に記載の方法。
  11. 前記接触工程が、約10分〜約45分の時間;約50℃〜約80℃の範囲の温度;及びそれらの組み合わせよりなる群から選択される条件で実行される、請求項9に記載の方法。
  12. 組成物が次の成分:
    0.1重量%〜40.0重量%の有機第四級アンモニウム塩基と;
    0.01重量%〜5重量%のアルカリ若しくはアルカリ土類塩基と;
    0重量%〜80重量%の溶媒及び/又はアミンと;
    0重量%〜5重量%の界面活性剤と;
    0重量%〜10重量%のキレート剤/不動態化剤と;
    0重量%〜98重量%の水と
    を含み、ここでは成分のパーセントは組成物の総重量を基準とする重量パーセントであり、組成物のかかる成分の重量パーセントの総計が100重量%を超過しない、請求項9に記載の方法。
  13. 前記洗浄組成物が配合物A〜C
    配合物A
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    91.0%の水
    配合物B
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    3.0%の4−メチルモルホリンN−オキシド
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.20%の5−アミノ−1,3,4−チアジアゾール−2−チオール
    90.86%の水
    配合物C
    3.60%の水酸化ベンジルトリメチルアンモニウム
    0.27%の水酸化カリウム
    3.5%の4−メチルモルホリンN−オキシド
    15.0%の4−(3−アミノプロピル)モルホリン
    0.30%のポリオキシエチレン(150)ジノニルフェニルエーテル
    0.08%の2−メルカプトベンズイミダゾール
    77.25%の水
    配合物D
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    20.0%のジメチルスルホキシド
    0.08%の2−メルカプトベンズイミダゾール
    74.28%の水
    配合物E
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    0.08%の2−メルカプトベンズイミダゾール
    83.98%の水
    配合物F
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のジ(エチレングリコール)ブチルエーテル
    10.0%の2−(2−ジメチルアミノ)エトキシ)エタノール
    0.30%のオキシラン、メチル−、オキシランによるポリマー、2,2’−(オキシドイミノ)ビス(エタノール)(2:1)、N(−3(C9−11−イソアルキルオキシ)プロピル)誘導体、C10リッチによるエーテル
    74.06%の水
    配合物G
    5.36%の水酸化ベンジルトリメチルアンモニウム
    0.28%の水酸化カリウム
    10.0%のテトラメチレンスルホン
    10.0%のジ(エチレングリコール)ブチルエーテル
    0.10%のオキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル
    0.08%の2−メルカプトベンズイミダゾール
    74.18%の水
    配合物H
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 20.0%
    水 59.02%
    配合物I
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 15.0%
    水 64.02%
    配合物J
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 9.0%
    水酸化カリウム、45%水溶液 0.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    ジノニルフェノールエトキシレート、7%水溶液 4.3%
    2−メルカプトベンズイミダゾール 0.1%
    アミノプロピルモルホリン 10.0%
    水 69.02%
    配合物K
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.62%
    配合物L
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 13.4%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 78.02%
    配合物M
    水酸化テトラメチルアンモニウム、25%水溶液 5.85%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.57%
    配合物N
    水酸化テトラメチルアンモニウム、25%水溶液 2.93%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.49%
    配合物O
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 84.82%
    配合物P
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 1.2%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 87.82%
    配合物Q
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 3.6%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.6%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 88.42%
    配合物R
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    N−メチルモルホリンオキシド、50%水溶液 7.0%
    KOH、45%水溶液 0.3%
    2−メルカプトベンズイミジゾール 0.08%
    ジノニルフェノールポリオキシエチレン 0.3%
    水 85.12%
    配合物S
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    3−アミノ−5−メルカプト−1,2,4−トリアゾール 1.0%
    水 72.04%
    配合物T
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 72.04%
    配合物U
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    2−メルカプトチアゾリン 1.0%
    水 72.04%
    配合物V
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    8−ヒドロキシキノリン 1.0%
    水 72.04%
    配合物W
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    1−フェニル−2−テトラゾリン−5−チオン 1.0%
    水 72.04%
    配合物X
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    没食子酸 1.0%
    水 72.04%
    配合物Y
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    サリチル酸 1.0%
    水 72.04%
    配合物Z
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 22.26%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    メチルジエタノールアミン 2.33%
    リン酸(86%) 1.69%
    アスコルビン酸 1.0%
    水 72.04%
    配合物A
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.12%
    配合物B
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 0.5%
    水 81.62%
    配合物C
    水酸化ベンジルトリメチルアンモニウム、40%水溶液 7.2%
    水酸化カリウム、45%水溶液 0.6%
    2−メルカプトベンズイミダゾール 0.08%
    アミノプロピルモルホリン 10%
    4−メチル−2−フェニル−イミダゾール 1.0%
    水 81.02%
    ジノニルフェノールポリオキシエチレン 0.1%
    よりなる群から選択され、ここでは全てのパーセントは配合物の総重量を基準とする重量パーセントである、請求項9に記載の方法。
  14. 前記組成物が、水酸化カリウムを含む、請求項9に記載の方法。
  15. 前記洗浄組成物が、キレート剤、界面活性剤、共溶媒及びそれらの組み合わせよりなる群から選択される種をさらに含む、請求項9に記載の方法。
  16. 前記キレート剤が、トリアゾール;C〜Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシ及びニトロよりなる群から選択される置換基によって置換されたトリアゾール;チアゾール;テトラゾール;イミダゾール;ホスフェート;チオール;アジン;グリセロール;アミノ酸;カルボン酸;アルコール;アミド;並びにキノリンよりなる群から選択されるキレート剤種を含み;
    前記界面活性剤が、フルオロアルキル界面活性剤;ポリエチレングリコール;ポリプロピレングリコール;ポリエチレングリコールエーテル;ポリプロピレングリコールエーテル;カルボン酸塩;ドデシルベンゼンスルホン酸及びそれらの塩;ポリアクリレートポリマー;ジノニルフェニルポリオキシエチレン;シリコーンポリマー;変性シリコーンポリマー;アセチレンジオール;変性アセチレンジオール、アルキルアンモニウム塩;変性アルキルアンモニウム塩;並びに前記の二種以上の組み合わせよりなる群から選択される界面活性剤種を含み;
    前記共溶媒が、アミン;グリコール;グリコールエーテル;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項15に記載の方法。
  17. 前記キレート剤が、1,2,4−トリアゾール;ベンゾトリアゾール;トリルトリアゾール;5−フェニル−ベンゾトリアゾール;5−ニトロ−ベンゾトリアゾール;1−アミノ−1,2,4−トリアゾール;ヒドロキシベンゾトリアゾール;2−(5−アミノ−ペンチル)−ベンゾトリアゾール;1−アミノ−1,2,3−トリアゾール;4−メチル−2フェニルイミダゾール;2−メルカプトチアゾリン;1−アミノ−5−メチル−1,2,3−トリアゾール;3−アミノ−1,2,4−トリアゾール;3−アミノ−5−メルカプト−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール;3−イソプロピル−1,2,4−トリアゾール;5−フェニルチオール−ベンゾトリアゾール;ハロがF、Cl、Br及びIよりなる群から選択されるハロ−ベンゾトリアゾール;ナフトトリアゾール;2−メルカプトベンゾイミジゾール;2−メルカプトベンゾチアゾール;5−アミノテトラゾール;5−アミノ−1,3,4−チアジアゾール−2−チオール;2,4−ジアミノ−6−メチル−1,3,5−トリアジン;チアゾール;トリアジン;メチルテトラゾール;1,3−ジメチル−2−イミダゾリジノン;1,5−ペンタメチレンテトラゾール;1−フェニル−5−メルカプトテトラゾール;ジアミノメチルトリアジン;メルカプトベンゾチアゾール;イミダゾリンチオン;メルカプトベンズイミダゾール;4−メチル−4H−1,2,4−トリアゾール−3−チオール;5−アミノ−1,3,4−チアジアゾール−2−チオール;ベンゾチアゾール;トリトリルホスフェート;インジアゾール;グアニン;アデニン;グリセロール;チオグリセロール;ニトリロトリ酢酸;サリチルアミド;イミノ二酢酸;ベンゾグアナミン;メラミン;チオシアヌル酸;アントラニル酸;没食子酸;アスコルビン酸;サリチル酸;8−ヒドロキシキノリン;5−カルボン酸−ベンゾトリアゾール;3−メルカプトプロパノール;ホウ酸;並びにイミノ二酢酸よりなる群から選択されるキレート剤種を含み;
    前記共溶媒が、ジメチルジグリコールアミン;1,8−ジアザビシクロ[5.4.0]ウンデセン;メチルジエタノールアミン;アミノプロピルモルホリン;トリエタノールアミン;メチルエタノールアミン;ジエチレングリコール;プロピレングリコール;ネオペンチルグリコール;ヒドロキシエチルモルホリン;アミノプロピルモルホリン;ジ(エチレングリコール)モノエチルエーテル;ジ(プロピレングリコール)プロピルエーテル;エチレングリコールフェニルエーテル;ジ(プロピレングリコール)ブチルエーテル;ブチルカルビトール;ポリグリコールエーテル;及び前記の二種以上の組み合わせよりなる群から選択される共溶媒種を含む、請求項16に記載の方法。
  18. 前記第四級アンモニウム塩基が、有機第四級アンモニウム塩基を含む、請求項1に記載の洗浄組成物。
  19. 前記ACCが、水酸化ベンジルトリメチルアンモニウム及び水酸化カリウムを含む、請求項1に記載の洗浄組成物。
  20. 前記共溶媒が、グリコールエーテルを含む、請求項6に記載の洗浄組成物。
  21. 水酸化ベンジルトリメチルアンモニウム;水酸化カリウム;テトラメチレンスルホン;ジ(エチレングリコール)ブチルエーテル;オキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテル;2−メルカプトベンズイミダゾール;及び水を含む洗浄組成物であって、
    上にフォトレジスト及び/又は犠牲反射防止膜(SARC)材料を有する基板からかかる材料を除去するために有用な洗浄組成物。
  22. 請求項1に記載の洗浄組成物と基板を十分な時間で接触させて、基板から前記材料を少なくとも部分的に除去する工程を含む、半導体デバイスの製造方法。
  23. さらに酸化剤を含む、請求項1に記載の洗浄組成物。
  24. オキシラン種を含み、前記オキシラン種が、オキシラン、メチル−、オキシランによるポリマー、2,2‘−(オキシドイミノ)ビス(エタノール)を有するエーテル(2:1)、N(−3(C9−11−イソアルキロキシ)プロピル)誘導体、C10−リッチ、オキシラン、メチル−、オキシランによるポリマー、モノ(オクチルフェニル)エーテルからなる群から選択される種を含む、請求項1に記載の洗浄組成物。
JP2007501931A 2004-03-03 2005-03-02 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス Active JP4758982B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/792,038 US8338087B2 (en) 2004-03-03 2004-03-03 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US10/792,038 2004-03-03
PCT/US2005/006713 WO2005085957A1 (en) 2004-03-03 2005-03-02 Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate

Publications (3)

Publication Number Publication Date
JP2007526523A JP2007526523A (ja) 2007-09-13
JP2007526523A5 JP2007526523A5 (ja) 2008-04-17
JP4758982B2 true JP4758982B2 (ja) 2011-08-31

Family

ID=34911755

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007501931A Active JP4758982B2 (ja) 2004-03-03 2005-03-02 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス

Country Status (8)

Country Link
US (2) US8338087B2 (ja)
EP (1) EP1730600B1 (ja)
JP (1) JP4758982B2 (ja)
KR (1) KR101256230B1 (ja)
CN (1) CN1938647B (ja)
CA (1) CA2599727A1 (ja)
TW (1) TWI408728B (ja)
WO (1) WO2005085957A1 (ja)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007536566A (ja) * 2004-05-07 2007-12-13 ドウジン セミケム カンパニー リミテッド (フォト)レジスト除去用組成物
KR101082018B1 (ko) * 2004-05-07 2011-11-10 주식회사 동진쎄미켐 레지스트 제거용 조성물
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) * 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1945748A4 (en) * 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US7674755B2 (en) 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080070820A1 (en) * 2006-09-19 2008-03-20 Wescor, Inc. Stain removing cleaning solutions
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
CN101169598A (zh) * 2006-10-27 2008-04-30 安集微电子(上海)有限公司 一种光刻胶清洗剂
EP1918322A1 (en) * 2006-11-03 2008-05-07 Henkel Kommanditgesellschaft auf Aktien Paint Stripper with Corrosion Inhibitor for Aluminium
WO2008061258A2 (en) * 2006-11-17 2008-05-22 Sachem, Inc. Selective metal wet etch composition and process
JP4499751B2 (ja) * 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
CN101201556A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
JP4692497B2 (ja) * 2007-02-28 2011-06-01 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101286016A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
CN101286017A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 厚膜光刻胶清洗剂
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
ES2386692T3 (es) * 2007-11-13 2012-08-27 Sachem, Inc. Composición de silsesquioxano poliédrico con potencial zeta negativo elevado y método para la limpieza húmeda de semiconductores sin daños
CN101481640B (zh) * 2008-01-10 2011-05-18 长兴开发科技股份有限公司 水性清洗组合物
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5502438B2 (ja) * 2009-03-24 2014-05-28 東京応化工業株式会社 多層レジスト積層体用剥離液及び多層レジスト積層体の処理方法
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
WO2011090114A1 (ja) 2010-01-25 2011-07-28 東亞合成株式会社 導電性高分子を含む基材上のフォトレジスト用現像液、およびパターン形成方法
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
CN102338994B (zh) * 2010-07-23 2014-12-31 安集微电子(上海)有限公司 一种光刻胶的清洗液
TWI430024B (zh) * 2010-08-05 2014-03-11 Asahi Kasei E Materials Corp A photosensitive resin composition, a method for manufacturing a hardened bump pattern, and a semiconductor device
CN102346383B (zh) * 2010-08-06 2016-03-16 安集微电子(上海)有限公司 一种光刻胶的清洗液
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
KR101983202B1 (ko) * 2011-06-01 2019-05-28 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
CN102200700B (zh) * 2011-06-08 2012-08-22 绵阳艾萨斯电子材料有限公司 剥离液及其制备方法与应用
CN102981376A (zh) * 2011-09-05 2013-03-20 安集微电子(上海)有限公司 一种光刻胶清洗液
TW201311883A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
TW201311884A (zh) * 2011-09-13 2013-03-16 Anji Microelectronics Co Ltd 光阻(光刻)膠的清洗液
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES
WO2013122172A1 (ja) 2012-02-17 2013-08-22 三菱化学株式会社 半導体デバイス用洗浄液及び半導体デバイス用基板の洗浄方法
US8916429B2 (en) 2012-04-30 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning techniques and compositions for use in semiconductor device manufacturing
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TW201500542A (zh) * 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
KR102008881B1 (ko) * 2013-08-06 2019-08-08 동우 화인켐 주식회사 반도체 웨이퍼 세정용 조성물
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
CN105899713B (zh) * 2014-01-14 2018-01-12 塞克姆公司 选择性金属/金属氧化物刻蚀方法
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI635160B (zh) * 2014-03-07 2018-09-11 東友精細化工有限公司 紋理蝕刻溶液組成物及晶體矽晶圓紋理蝕刻方法
TWI522421B (zh) * 2014-03-18 2016-02-21 Daxin Materials Corp Liquid crystal alignment composition
KR101956352B1 (ko) * 2014-03-20 2019-03-08 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭방법
CN103955123A (zh) * 2014-04-11 2014-07-30 武汉高芯科技有限公司 一种离子注入后晶片的湿法去胶液及光刻胶去除方法
CN106661518B (zh) * 2014-07-18 2020-01-14 嘉柏微电子材料股份公司 在cmp后使用的清洁组合物及其相关方法
CN104531397A (zh) * 2014-11-18 2015-04-22 惠晶显示科技(苏州)有限公司 一种平板玻璃基板减薄预清洗用清洗液及其应用
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
KR102310637B1 (ko) 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR102327568B1 (ko) * 2015-03-12 2021-11-17 리지필드 액퀴지션 낮은 pKa 구동의 폴리머 스트리핑 동안에 전하 착화 구리 보호를 촉진하는 조성물 및 방법
KR102152665B1 (ko) 2016-03-31 2020-09-07 후지필름 가부시키가이샤 반도체 제조용 처리액, 및 패턴 형성 방법
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
CN109195720B (zh) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
EP3523241A4 (en) 2016-10-06 2020-05-13 FujiFilm Electronic Materials USA, Inc. CLEANING FORMULATIONS FOR REMOVING RESIDUES ON SEMICONDUCTOR SUBSTRATES
CN110475845B (zh) * 2017-03-24 2022-02-25 富士胶片电子材料美国有限公司 用于移除半导体基板上的残余物的清洁组合物
WO2019000446A1 (zh) * 2017-06-30 2019-01-03 深圳市恒兆智科技有限公司 清洗除氧化皮剂及压铸铝工件除氧化皮处理方法
TWI751406B (zh) 2018-03-06 2022-01-01 美商應用材料股份有限公司 形成金屬硫系化物柱體之方法
CN108319118A (zh) * 2018-03-15 2018-07-24 昆山长优电子材料有限公司 有机剥膜液
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
EP3824059A4 (en) * 2018-07-20 2022-04-27 Entegris, Inc. CORROSION INHIBITOR CLEANING COMPOSITION
JP7101598B2 (ja) * 2018-11-26 2022-07-15 花王株式会社 三次元物体前駆体処理剤組成物
US11312922B2 (en) 2019-04-12 2022-04-26 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner comprising a sulfonic acid-containing surfactant and methods of making and using the same
KR20220005037A (ko) 2019-04-24 2022-01-12 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판으로부터 포토레지스트를 제거하기 위한 박리 조성물
EP3997521B1 (en) 2019-07-11 2023-08-30 Merck Patent GmbH Photoresist remover compositions
CN110938502B (zh) * 2019-12-09 2021-10-22 荆州市天翼精细化工开发有限公司 一种光学变色颜料真空镀膜基板清洗剂及其制备方法
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
KR20230155441A (ko) * 2021-03-08 2023-11-10 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체기판 세정용 조성물 및 세정방법
CN116200748B (zh) * 2023-03-29 2024-03-29 四川和晟达电子科技有限公司 一种超高铜离子负载的金属蚀刻液组合物及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002505448A (ja) * 1998-02-26 2002-02-19 アルファ・メタルズ・インコーポレーテッド レジストストリッピング法
JP2002062668A (ja) * 2000-08-14 2002-02-28 Mitsubishi Gas Chem Co Inc フォトレジストの剥離方法
JP2003005383A (ja) * 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
WO2003044600A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3980587A (en) 1974-08-16 1976-09-14 G. T. Schjeldahl Company Stripper composition
DE3530282A1 (de) 1985-08-24 1987-03-05 Hoechst Ag Verfahren zum entschichten von lichtgehaerteten photoresistschichten
US4964919A (en) 1988-12-27 1990-10-23 Nalco Chemical Company Cleaning of silicon wafers with an aqueous solution of KOH and a nitrogen-containing compound
JPH0344600A (ja) 1989-07-12 1991-02-26 Toshiba Corp 使用済樹脂の移送装置
US6242400B1 (en) 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US6187730B1 (en) 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5147499A (en) * 1991-07-24 1992-09-15 Applied Materials, Inc. Process for removal of residues remaining after etching polysilicon layer in formation of integrated circuit structure
US5236552A (en) * 1992-04-13 1993-08-17 At&T Bell Laboratories Photoresist stripping method
IT1265041B1 (it) * 1993-07-23 1996-10-28 Eniricerche Spa Catalizzatore bifunzionale efficace nella idroisomerizzazione di cere e procedimento per la sua preparazione
US5419779A (en) 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5520835A (en) * 1994-08-31 1996-05-28 The Procter & Gamble Company Automatic dishwashing compositions comprising multiquaternary bleach activators
US5885362A (en) 1995-07-27 1999-03-23 Mitsubishi Chemical Corporation Method for treating surface of substrate
JP3198878B2 (ja) * 1995-07-27 2001-08-13 三菱化学株式会社 表面処理組成物及びそれを用いた基体の表面処理方法
TW401604B (en) * 1995-09-11 2000-08-11 Mitsubishi Chemcal Coproration Surface treatment composition
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US6268323B1 (en) 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6117795A (en) * 1998-02-12 2000-09-12 Lsi Logic Corporation Use of corrosion inhibiting compounds in post-etch cleaning processes of an integrated circuit
US6440647B1 (en) 1998-02-26 2002-08-27 Alpha Metals, Inc. Resist stripping process
US5977601A (en) 1998-07-17 1999-11-02 Advanced Micro Devices, Inc. Method for etching memory gate stack using thin resist layer
MY144574A (en) * 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
KR100286860B1 (ko) * 1998-12-31 2001-07-12 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
KR20000053521A (ko) 1999-01-20 2000-08-25 고사이 아끼오 금속 부식 방지제 및 세척액
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6038800A (en) * 1999-07-23 2000-03-21 Seidel; Gregg A. Advertising display device
JP2001183850A (ja) * 1999-12-27 2001-07-06 Sumitomo Chem Co Ltd 剥離剤組成物
JP3514435B2 (ja) * 1999-12-28 2004-03-31 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
TWI243204B (en) 2000-02-04 2005-11-11 Sumitomo Chemical Co Electronic parts cleaning solution
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
JP2001244228A (ja) * 2000-02-29 2001-09-07 Mitsubishi Materials Silicon Corp 半導体基板の洗浄液及び洗浄方法
US6274296B1 (en) * 2000-06-08 2001-08-14 Shipley Company, L.L.C. Stripper pretreatment
US6992050B2 (en) * 2000-06-28 2006-01-31 Nec Corporation Stripping agent composition and method of stripping
WO2002027407A1 (fr) * 2000-09-27 2002-04-04 Hitachi Chemical Co., Ltd. Motif de reserve, procede de production et d'utilisation dudit motif
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
KR100822236B1 (ko) 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
JP4945857B2 (ja) 2001-06-13 2012-06-06 Jsr株式会社 研磨パッド洗浄用組成物及び研磨パッド洗浄方法
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US6572743B2 (en) * 2001-08-23 2003-06-03 3M Innovative Properties Company Electroplating assembly for metal plated optical fibers
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6551973B1 (en) * 2001-10-09 2003-04-22 General Chemical Corporation Stable metal-safe stripper for removing cured negative-tone novolak and acrylic photoresists and post-etch residue
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
TW574606B (en) * 2002-04-10 2004-02-01 Merck Kanto Advanced Chemical Thinner composition for rinsing photoresist
EP1501916B1 (en) * 2002-04-25 2009-06-17 FujiFilm Electronic Materials USA, Inc. Non-corrosive cleaning compositions for removing etch residues
EP1512050A2 (en) * 2002-06-07 2005-03-09 Mallinckrodt Baker, Inc. Cleaning compositions for microelectronic substrates
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
US7101832B2 (en) * 2003-06-19 2006-09-05 Johnsondiversey, Inc. Cleaners containing peroxide bleaching agents for cleaning paper making equipment and method
BRPI0418529A (pt) * 2004-02-11 2007-05-15 Mallinckrodt Baker Inc composições de limpeza para microeletrÈnicos contendo ácidos de halogênio oxigenados, sais e derivados dos mesmos

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002505448A (ja) * 1998-02-26 2002-02-19 アルファ・メタルズ・インコーポレーテッド レジストストリッピング法
JP2002062668A (ja) * 2000-08-14 2002-02-28 Mitsubishi Gas Chem Co Inc フォトレジストの剥離方法
JP2003005383A (ja) * 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
WO2003044600A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography

Also Published As

Publication number Publication date
EP1730600B1 (en) 2016-01-06
CN1938647B (zh) 2012-08-29
EP1730600A4 (en) 2010-07-28
JP2007526523A (ja) 2007-09-13
US20050197265A1 (en) 2005-09-08
US8338087B2 (en) 2012-12-25
KR20070017509A (ko) 2007-02-12
KR101256230B1 (ko) 2013-04-17
CA2599727A1 (en) 2005-09-15
US20130109605A1 (en) 2013-05-02
TWI408728B (zh) 2013-09-11
CN1938647A (zh) 2007-03-28
TW200534364A (en) 2005-10-16
WO2005085957A1 (en) 2005-09-15
EP1730600A1 (en) 2006-12-13

Similar Documents

Publication Publication Date Title
JP4758982B2 (ja) 基板上に付着したフォトレジスト及び/又は犠牲反射防止材料のエッチング後除去のための組成物並びにプロセス
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
KR101174911B1 (ko) 포토레지스트 제거
US9422513B2 (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
WO2007027522A2 (en) Composition and method for removing thick film photoresist
JP2013533631A (ja) エッチング後残渣を除去するための水性洗浄剤
JP2010515246A (ja) エッチング後残留物を除去するための液体洗浄剤
KR20160097201A (ko) 표면 잔류물 제거용 세정 제형

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080229

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080229

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100524

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110526

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110603

R150 Certificate of patent or registration of utility model

Ref document number: 4758982

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140610

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250