KR102323167B1 - 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치 - Google Patents

프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치 Download PDF

Info

Publication number
KR102323167B1
KR102323167B1 KR1020210008955A KR20210008955A KR102323167B1 KR 102323167 B1 KR102323167 B1 KR 102323167B1 KR 1020210008955 A KR1020210008955 A KR 1020210008955A KR 20210008955 A KR20210008955 A KR 20210008955A KR 102323167 B1 KR102323167 B1 KR 102323167B1
Authority
KR
South Korea
Prior art keywords
processing chamber
baffle
showerhead
dielectric
dielectric baffle
Prior art date
Application number
KR1020210008955A
Other languages
English (en)
Other versions
KR20210013634A (ko
Inventor
패트릭 브라이링
케빈 거버
제니퍼 오로린
나그라지 샹카르
프라모드 수브라모니움
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20210013634A publication Critical patent/KR20210013634A/ko
Application granted granted Critical
Publication of KR102323167B1 publication Critical patent/KR102323167B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/22Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed electrically, magnetically or electromagnetically, e.g. by arc
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템은 헤드부 및 스템부를 포함하며 프리커서 가스(precursor gas)를 프로세싱 챔버 내로 전달하는 샤워헤드를 포함한다. 베플(baffle)은 상기 샤워헤드의 헤드부의 외경보다 큰 외경을 갖는 베이스부를 갖는다. 상기 베플은 유전체 재료를 포함하며 상기 샤워헤드의 헤드부와 상기 프로세싱 챔버의 상부 표면 간에 배치된다.

Description

프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치{METHOD AND APPARATUS FOR PURGING AND PLASMA SUPPRESSION IN A PROCESS CHAMBER}
본 개시는 기판 프로세싱 시스템에 관한 것이며, 특히 기판 프로세싱 시스템에서의 퍼징(purging) 및 플라스마 억제에 관한 것이다.
본 명세서에서 제공되는 배경 기술 부분은 전반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 배경 기술 분야에서 기술되는 정도의 본 출원의 발명자들의 성과, 및 본 배경 기술 분야에서 기술되지 않았다면 본 출원일 이전에 선행 기술로서 인정되지 않을 수 있는 본 배경 기술 분야의 여러 측면들은 본 개시에 대한 선행 기술로서 명시적으로든 암시적으로든 되지 말아야 한다.
PEALD(plsma-enhanced atomic layer depostion) 시스템 및 PECVD(plasma-enhanced chemical vapor depostion) 시스템과 같은 기판 프로세싱 시스템이 사용되어서 반도체 웨이퍼와 같은 기판 상에 막을 증착 및 에칭할 수 있다. 몇몇 PEALD 시스템 및 PECVD 시스템은 프리커서(precursor)를 프로세싱 챔버에 공급하는 샹들리에 타입의 샤워헤드를 포함하고 있다.
이 샤워헤드는 통상적으로 프로세싱 챔버 내로 연장된 스템부 및 이 스템부에 연결된 헤드부를 포함한다. 캐비티가 이 헤드부 후방에서 이 헤드부와 상기 프로세싱 챔버의 상부 표면 간에 형성된다. 이 캐비티 또는 공간 내의 기생 플라스마는 원하지 않은 증착이 이 공간에서 유발되게 할 수 있다.
이러한 샤워헤드 후방의 공간과 관련된 문제들을 다루는 2 가지 방법이 존재한다. 제 1 방법으로서, 상기 공간이 퍼징되어서 프로세스 가스가 샤워헤드 후방으로 이르는 것을 방지하여서 원하지 않은 증착을 방지한다. 그러나, 샤워헤드 후방을 퍼징한다고 하여도 상기 공간은 격리되지 않는다. 이렇게 공간이 격리되지 않으면 요구되는 공간을 퍼징하기 위해 요구되는 퍼징 가스 흐름 레이트가 증가할 수 밖에 없다. 또한, 퍼징은 고 퍼지 가스 흐름 및 어느 정도의 퍼지 가스 흐름의 방향성을 요구한다. 이러한 고 퍼지 가스 흐름은 프로세스 가스와 혼합되고/되거나 플라스마 임피던스를 시프트시켜서 샤워헤드 후방에 광(light)을 발생시킴으로써 프로세스 조건에 악영향을 줄 수 있다.
제 2 방법으로서, 상기 공간 내에서 플라스마를 억제하여서 샤워헤드 후방에서 원하지는 않는 증착을 방지하는 장치가 사용될 수 있다. 이러한 플라스마 억제는 샤워헤드 상에서 베리어(barrier)를 생성하여서 이의 원하지 않는 구역에서 기생 플라스마를 저감시킨다.
기판 프로세싱 시스템은 헤드부 및 스템부를 포함하며 프리커서 가스(precursor gas)를 프로세싱 챔버 내로 전달하는 샤워헤드를 포함한다. 베플(baffle)은 상기 샤워헤드의 헤드부의 외경보다 큰 외경을 갖는 베이스부를 갖는다. 상기 베플은 유전체 재료를 포함하며 상기 샤워헤드의 헤드부와 상기 프로세싱 챔버의 상부 표면 간에 배치된다.
다른 특징들로서, 칼라(collar)가 상기 샤워헤드를 상기 프로세싱 챔버의 상부 표면에 연결시킨다. 상기 베플은 자신의 베이스로부터 상기 프로세싱 챔버의 상부 표면을 향하여 연장되는 스템부를 포함한다. 상기 베플의 스템부는 상기 칼라의 스템부를 둘러서 배치된다.
다른 특징들로서, 상기 베플의 스템부는 상기 프로세싱 챔버의 상부 표면을 넘어서 연장된다. 하나 이상의 퍼지 가스 유입구가 상기 베플과 상기 프로세싱 챔버의 상부 표면 간에 규정된 공간(volume)으로 퍼지 가스를 공급한다. 상기 샤워헤드의 반경 외측 표면과 상기 프로세싱 챔버의 측벽 간의 갭을 통한 퍼지 가스의 흐름은 사전 결정된 페클렛 수(Peclet number)를 제공하도록 상기 베플의 두께 및 상기 베플의 갭의 폭에 기초하여서 변한다. 간단하게는 상기 샤워헤드는 인접하는 프로세싱 챔버 벽까지는 연장될 수 없다. 이 샤워헤드와 챔버 벽 간의 갭은 샤워헤드가 전기적 변화 또는 RF 파워에 의해서 여기될 때에 이 샤워헤드와 벽 간에서 아크 발생을 방지한다.
다른 특징들로서, 퍼지 가스 흐름 레이트, 상기 베플의 갭의 폭 및 상기 베플의 두께는 5 이상의 페클렛 수를 제공하도록 선택된다. 몇몇 실례들에서, 상기 유전체 재료는 석영, 사파이어, 알루미나 및 알루미늄 질화물로 구성된 그룹으로부터 선택된다. 상기 베플의 갭의 폭은 0.2 인치 이하이며 상기 베플의 두께는 1 인치 이하이다. 하지만, 0.2 인치보다 작거나 1 인치보다 큰 갭이 사용될 수 있다. 흐름 레이트는 양자의 경우(갭이 0.2 인치보다 작은 경우 및 1 인치보다 큰 경우)에 있어서 5 이상의 페클렛 수를 유지하도록 증가될 필요가 있을 것이며 그렇지 않으면 페클렛 수는 감소할 수 있다. 알다시피, 이 페클렛 수는 이용 상황에서 따라서 변할 수 있다.
몇몇 다른 실례들에서, 유전체 베플의 두께는 매우 두꺼울 수 있으며 샤워헤드의 상부 표면과 프로세싱 챔버의 상부 표면 간의 공간을 부분적으로 또는 모두 채울 수 있다.
기판 프로세싱 시스템을 동작시키는 방법은 샤워헤드를 프로세싱 챔버의 상부 표면에 연결하는 단계를 포함한다. 샤워헤드는 헤드부 및 스템부를 포함한다. 이 방법은 상기 샤워헤드의 헤드부와 상기 프로세싱 챔버의 상부 표면 간에 베플(baffle)을 배치하는 단계를 포함한다. 상기 베플의 베이스부의 외경은 상기 샤워헤드의 헤드부의 외경보다 크다. 상기 베플은 유전체 재료를 포함한다.
다른 특징들로서, 상기 샤워헤드와 상기 프로세싱 챔버의 상부 표면은 칼라(collar)를 통해서 서로 연결된다. 상기 베플은 자신의 베이스로부터 상기 프로세싱 챔버의 상부 표면을 향하여 연장되는 스템부를 포함한다. 상기 베플의 스템부는 상기 칼라의 스템부를 둘러서 배치된다.
다른 특징으로서, 상기 베플의 스템부는 상기 프로세싱 챔버의 상부 표면을 넘어서 연장된다.
다른 특징으로서, 이 방법은 상기 베플과 상기 프로세싱 챔버의 상부 표면 간에 규정된 공간으로 하나 이상의 퍼지 가스 유입구를 사용하여서 퍼지 가스를 공급하는 단계를 포함한다.
다른 특징으로서, 이 방법은 상기 샤워헤드의 반경 외측 표면과 상기 프로세싱 챔버의 측벽 간의 갭을 통한 퍼지 가스의 흐름을 상기 베플의 두께 및 상기 베플의 갭의 폭에 기초하여서 선택하는 단계를 포함한다.
다른 특징으로서, 이 방법은 5 이상의 페클렛 수를 제공하도록 상기 베플의 갭의 폭 및 상기 베플의 두께를 선택하는 단계를 포함한다. 이 방법은 상기 유전체 재료를 석영, 사파이어, 알루미나 및 알루미늄 질화물로 구성된 그룹으로부터 선택하는 단계를 포함한다. 이 방법은 상기 베플의 갭의 폭이 0.2 인치 이하가 되며 상기 베플의 두께는 1 인치 이하가 되도록 선택하는 단계를 포함한다.
일 실시예에서, 기판 프로세싱 시스템의 프로세싱 챔버를 위한 샤워헤드 어셈블리에 있어서, 프로세싱 챔버로 가스들을 제공하도록 구성된 샤워헤드로서, 프로세싱 챔버의 상부 표면으로부터 하향으로 연장하는 스템부; 및 스템부에 연결된 헤드부로서, 헤드부는 가스들이 스템부 및 내부 캐비티를 통해 그리고 프로세싱 챔버 내로 흐르도록 내부 캐비티를 포함하는, 헤드부를 포함하는, 샤워헤드; 및 샤워헤드의 헤드부와 프로세싱 챔버의 상부 표면 간에 샤워헤드 위에 배치된 유전체 베플(baffle)로서, 유전체 베플은 유전체 베플과 프로세싱 챔버의 상부 표면 간에 공간(volume)을 규정하는, 유전체 베플을 포함하는, 샤워헤드 어셈블리가 제공된다. 다른 특징들에서, 유전체 베플은 베이스부를 포함하고, 그리고 베이스부의 외경은 샤워헤드의 헤드부의 외경보다 클 수 있다. 다른 특징들에서, 유전체 베플의 방사상 외측 에지와 프로세싱 챔버의 측벽 간의 제 1 갭이 헤드부의 방사상 외측 에지와 측벽 간의 제 2 갭보다 작을 수 있다. 다른 특징들에서, 제 1 갭의 폭은 5 이상의 페클렛 수(Peclet number)를 제공하도록 선택될 수 있다. 다른 특징들에서, 제 1 갭의 폭은 0.2 인치 이하일 수 있다. 다른 특징들에서, 유전체 베플은 중앙 개구를 포함할 수 있다. 다른 특징들에서, 유전체 베플은 석영, 사파이어, 알루미나 및 알루미늄 질화물 중 적어도 하나를 포함할 수 있다. 다른 특징들에서, 샤워헤드를 프로세싱 챔버의 상부 표면에 연결시키는 칼라(collar)를 더 포함할 수 있다. 다른 특징들에서, 유전체 베플은 프로세싱 챔버의 상부 표면을 향해 베이스부로부터 연장하는 베이스부 및 스템부를 포함하고, 그리고 유전체 베플의 스템부는 칼라 둘레에 배치될 수 있다. 다른 특징들에서, 유전체 베플의 스템부는 프로세싱 챔버의 상부 표면 위로 연장할 수 있다. 또 다른 실시예에서, 일 실시예에서 기재된 샤워헤드 어셈블리를 포함하는 기판 프로세싱 시스템에 있어서, 유전체 베플과 프로세싱 챔버의 상부 표면 간에 규정된 공간으로 퍼지 가스를 공급하도록 구성된 하나 이상의 퍼지 가스 유입구들을 더 포함하는, 기판 프로세싱 시스템이 제공된다.
본 개시의 이용 가능한 다른 분야들은 이후에 제공되는 상세한 설명으로부터 명백해질 것이다. 이후의 상세한 설명 및 특정 실례들은 오직 예시적으로 설명하기 위한 것이지 본 개시의 범위를 한정하기 위한 것이 아니다.
본 개시는 다음의 상세한 설명 및 첨부 도면들로부터 보다 완전하게 이해될 것이다.
도 1은 본 개시에 따른 샤워헤드 및 2차 퍼지 가스 흐름 경로를 갖는 프로세싱 챔버의 실례의 단면도이다.
도 2는 본 개시에 따른 샤워헤드 및 2차 퍼지 가스 흐름 경로를 갖는 프로세싱 챔버의 실례의 단면도이다.
도 3은 베플 두께 및 베플 갭의 함수로서 사전 결정된 페클렛 수(Peclet number)를 제공하는 퍼지 가스 흐름 편차를 나타내는 그래프이다.
도 4는 본 개시에 따른 방법의 실례를 나타내는 흐름도이다.
이제, 도 1 및 도 2에서는, 샤워헤드(70)를 갖는 프로세싱 챔버(60)를 포함하는 기판 프로세싱 시스템(50)의 실례들이 각기 도시되어 있다. 도 1에서, 샤워헤드(70)는 스템부(stem portion)(72) 및 헤드부(74)를 포함한다. 이 헤드부(74)는 샤워헤드(70)의 내부 캐비티(75)를 규정한다. 프리커서(precursor) 또는 퍼지 가스와 같은 유체들은 스템부(72)를 통과하여서 디스퍼션 판(disperion plate)(76) 상으로 흐리고 이어서 내부 캐비티(75)로 들어간다. 이어서, 이 유체는 헤드부(74)의 바닥 표면에 형성된 이격된 구멍들(78)을 통과하여서 프로세싱 챔버(60) 내로 진입한다. 샤워헤드(70)의 스템부(72)는 칼라(collar)(80)에 의해서 프로세싱 챔버(60)의 상부 벽(top wall)에 결합되어 있다. 몇몇 실례들에서, 이 칼라(80)는 일반적으로 T 형상의 단면을 가지며 헤드부(81) 및 스템부(83)를 구비한다.
유전체 베플(dielectric baffle)(100)이 프로세싱 챔버(60)의 샤워헤드(70)의 헤드부(74)와 상부 표면 또는 판(101) 간에 배치되어 있다. 유전체 베플(100)은 중앙 개구 또는 보어(bore)(110)를 갖는 베이스부(104), 상부 표면(112) 및 바닥 표면(114)을 구비하고 있다. 베이스부(104)는 환형의 형상을 가질 수 있다. 알다시피, 유전체 베플(100)에 의해서 생성된 중앙 개구 또는 보어(110)는 필요하지 않을 수 있다. 달리 말하면, 몇몇 실례들에서, 칼라(80)가 유전체 베플과 일체화되고/되거나 상기 중앙 개구 또는 보어(110)는 제거될 수 있다.
퍼지 흐름 레이트(purge flow rate), 유전체 베플(100)의 베플 갭 G 및 유전체 베플의 두께 T는 페클렛 수(Peclet number)를 사전 결정된 페클렛 수로 조절하도록 선택될 수 있다. 몇몇 실례들에서, 유전체 베플의 외경은 샤워헤드의 직경보다 크다. 달리 말하면, 유전체 베플의 베플 갭 G은 샤워헤드(70)의 외측 반경 에지와 프로세싱 챔버(60)의 측벽 간의 갭보다 작다.
유전체 갭(100)은 스템부(120)를 더 포함할 수 있다. 이 스템부(120)는 실린더 형상을 가질 수 있다. 유전체 베플(100)은 이 베플(100)의 반경 내측부로부터 연장되어서 칼라(80)의 스템부(83)의 외부 표면과 접하게 될 수 있다. 하나 이상의 퍼지 가스 유입구(130)이 사용되어서 퍼지 가스를 프로세싱 챔버(60)의 유전체 베플(100)과 상부 표면(101) 간에 구획된 공간 내로 공급할 수 있다.
도 1의 유전체 베플(100)은 프로세싱 챔버(60)의 상부 표면(101)를 향해서 이 표면까지 모두 연장되는 것은 아니라 오직 부분적으로만 연장되어 있다. 이와 대조하여서, 도 2의 유전체 베플(100)은 프로세싱 챔버(60)의 상부 표면(101)를 넘어서까지 연장되어 있다.
유전체 베플(100)의 기하구조는 2 개의 기능을 수행한다. 유전체 베플(100)은 가스 플레넘(gas plenum) 기능을 한다. 달리 말하면, 유전체 베플(100)은 샤워헤드(70) 위에 불활성 가스 퍼징 플레넘(inert gas purged plenum)을 형성하며, 이 플레넘은 상기한 공간을 퍼징하고 여기서 나오는 퍼지 가스를 프로세싱 챔버(60)의 나머지 부분으로 인도하는 메니폴드(manifold)를 생성한다.
유전체 베플(100)은 또한 RF(radio frequency) 플라스마 억제를 수행한다. 달리 말하면, 유전체 베플(100)의 재료 및 기하구조는 샤워헤드(70)의 상부 상에 유전체 베리어(dielectric barrier)를 형성하도록 설계된다. 유전체 베플(100)은 또한 열 전달 관리 특성을 가질 수 있으며 프로세싱 챔버(60) 내의 페디스탈(pedesgtal)(미도시)과 샤워헤드(70) 간에 생성된 플라스마 필드를 쉐이핑(shaping)하는 효과를 겸할 수 있다.
유전체 베플(100)은 샤워헤드(70) 위에 설치되고 칼라(80)와 중첩된다. 유전체 베플(100)의 기하 구조는 프로세싱 챔버(60)의 측벽을 향하여 연장되어서 샤워헤드(70) 위의 챔버 공간을 물리적으로 격리시킨다.
유전체 베플(100)은 다양한 기능들을 제어하도록 상이한 조성들을 갖는 유전체 재료들로 구성될 수 있다. 이 재료들은 금속의 산화물과 같은 환원된 금속 조성을 가질 수 있다. 이러한 타입의 재료를 사용하면, 반도체 웨이퍼와 같은 기판이 금속에 오염될 수 있는 가능성이 저감될 수 있다. 소정의 금속 산화물이 증착을 위해서 사용된 프리커서와 상호작용할 수 있기 때문에 이러한 금속 산화물을 사용하는 바는 줄어들게 된다. 또한, 유전체 재료는 유전체 베플(100)의 열전도성을 증가 또는 감소시키도록 하는 열전도 특성에 기초하여서 선택될 수 있다. 몇몇 실례들에서, 유전체 베플(100)은 석영으로 구성될 수 있지만 다른 유전체 재료들도 역시 사용될 수 있다. 오직 예를 들어 말하자면, 공정 화학 성분들에 따라서, 사파이어, 알루미나 또는 알루미늄 질화물과 같은 재료들이 사용될 수 있다. 또 다른 유전체 재료들이 역시 사용될 수 있다.
유전체 베플(100)은 퍼징 가스를 상기한 공간 외부로 인도하는 가스 분배 매니폴드 역할을 할 수 있다. 이 유전체 베플(100)에 의해서 규정되는 가스 분배 매니폴드는 상기 샤워헤드 위에서 상기 공간을 퍼징하는데 사용되는 퍼지 가스 흐름을 저감 또는 최적화하여서 가스 확산을 저감시키도록 설계된다. 이 유전체 베플(100)에 의해서 규정되는 가스 분배 매니폴드는 상기 공간을 나가는 가스를 프로세싱 챔버(60)의 특정 구역으로 인도한다. 이 가스는 프로세싱 챔버(60)의 내경(ID)으로 인도되어서 공기 커튼(air curtain) 역할을 하며 샤워헤드(70) 및 페디스탈 주변의 가상 동심 공간(virtual concentric volume)을 생성할 수 있다. 프로세싱 챔버(60)의 내경(ID)에서 공기 커튼이 생성되면 프리커서가 프로세싱 챔버(60)의 벽들로 확산되는 정도가 감소되며 이로써 원하지 않은 증착이 방지 또는 저감될 수 있다. 유전체 베플(100)에 의해서 규정되는 가스 분배 매니폴드는 또한 퍼지 가스가 샤워헤드 외경(OD)에 보다 근접하게 흐르게 하여서 가스 확산 저감을 더욱 크게 할 수 있다.
또한, 가스 분배 매니폴드는 반경 방향으로 불균일한 흐름을 일으켜서 샤워헤드 및 페디스탈 주변에 효과적으로 균일한 "커튼"을 생성할 수 있다. 이러한 반경 방향에서의 불균일한 흐름은, 다음으로 한정되지 않지만, 뷰포트(viewport) 및 챔버 쓰로트(chamber throat)와 같은 챔버 내의 불균일한 피처들(features)에 의해서 구동될 수 있다.
또한, 본 개시에 따른 유전체 베플은 플라스마를 억제하여서, 퍼지 가스 흐름 정도가 상술한 바와 같은 통상적인 퍼지 가스 플라스마 억제 시에서보다 더 낮게 하여서, 이로써 프로세스 조건에 대한 악영향을 저감시킬 수 있다.
도 3은 프리커서 농도에 대한 기대되는 효과들을 나타내는 모델링 실례를 나타내고 있다. 이 모델링은 페클렛 수(Peclet number) 5를 제공하도록 상이한 베플 갭들 및 베플 두께들을 갖는 유전체 베플에 대한 퍼지 가스 흐름 레이트를 나타낸다. 몇몇 실례들에서, 유전체 베플은 5보다 큰 페클렛 수를 제공하여서 원하지 않은 프리커서 증착을 저감시킨다. 이 모델링에서, 다음의 공식이 사용된다:
P e = v·d/D ab
여기서, Pe는 페클렛 수이며, v는 그를 통한 가스 확산이 제어되고 있는(프로세스의 온도 및 압력이 제어되는) 개구를 통한 가스 속도를 나타내며, d는 그를 통한 가스 백 확산(back-diffussion)이 제어될 개구/면적 상에서의 거리(본 경우에서는, 베플의 평탄한 부분의 두께)이며, Dab는 프로세스에서 사용되는 2 개의 가스들 간의 확산 계수 차를 나타낸다.
유전체 베플(100)을 갖는 프로세싱 챔버(60)는 이러한 유전체 베플(100)이 없이 동작하는 바에 비해서 증착 레이트가 증가되게 하고 두께 범위가 개선되게 한다. 또한, 유전체 베플(100)은 원하지 않은 증착을 줄이면서 샤워헤드(70) 위에서 퍼지 가스 흐름을 낮게 하고 기생 플라스마를 저감시킬 수 있다.
이러한 유전체 베플(100)을 사용하게 되면 프로세싱 챔버(60)에 대한 유지 보수 시간 및 빈도가 줄어들게 된다. 또한, 이 유전체 베플(100)을 사용하게 되면 기판이 파티클에 오염될 위험이 줄어든다.
본 개시에 따른 유전체 베플(100)이 PECVD 프로세스 및 PEALD 프로세스와 관련되어서 기술되었지만, 이 유전체 베플(100)은 샤워헤드(70)를 사용하는 다른 프로세스에서도 사용될 수 있다. 오직 예를 들어서 말하자면, 유전체 베플(100)은 컨포멀 막(conformal film)을 생성하기 위한 프로세스에서 사용될 수 있다.
몇몇 실례들에서, 또한, 퍼지 가스를 계속하여서 흐르게 하거나 공정 화학 성분의 흐름 이전에(몇 초 이전에) 퍼지 가스 흐름을 개시함으로써 중간의 천이 단계의 영향이 최소화될 수 있다.
도 4는 본 개시에 따른 유전체 베플을 사용하는 방법(200)의 실례를 나타내고 있다. 단계(204)에서, 이 프로세스가 시작되어서 프로세싱 챔버(60) 내에 플라스마를 발생시킨다. 단계(208)에서, 프로세싱 챔버(60) 내에서 퍼지 가스 흐름이 개시된다. 본 실례에서는 하나 이상의 프로커서 가스의 흐름 이전에 퍼지 가스 흐름이 개시되지만, 이러한 퍼지 가스 흐름은 하나 이상의 프리커서 가스 흐름을 개시하기 전에 또는 후에 개시될 수 있다. 단계(212)에서, 하나 이상의 프리커서 가스 흐름이 개시된다. 단계(216)에서, 기판 상에 막이 증착된다.
전술한 설명은 단지 예시적일 뿐이며 본 개시, 그 응용 또는 그 이용을 결코 한정하기 위한 것이 아니다. 본 개시의 넓은 교시 사항들은 다양한 형태로 구현될 수 있다. 따라서, 본 개시가 특정 실례들을 포함할지라도, 본 개시의 진정한 범위는 그러한 실례들로 한정되지 말아야 하는데, 그 이유는 다른 수정 사항들이 도면, 명세서 및 다음의 청구 범위를 논하면 명백해질 것이기 때문이다. 명료성을 위해서, 동일한 참조 부호들이 도면들에서 유사한 구성 요소들을 나타내기 위해서 사용될 수 있다. 본 명세서에서 사용된 바와 같은 표현인 "A, B 및 C 중 적어도 하나"는 비배타적 논리적 OR(non-exclusive logical OR)을 사용하는 바와 관련되며 따라서 A, B, C, A+B, A+C, B+C 또는 A+B+C를 포함하도록 해석되어야 한다. 일 방법 내의 하나 이상의 단계들은 본 개시의 원리를 변경하지 않으면서 상이한 순서 또는 동시적으로 실행될 수 있다.
50: 기판 프로세싱 시스템 60: 프로세싱 챔버
70: 샤워헤드 80: 칼라
100: 유전체 베플 110: 개구 또는 보어
120: 스템부 130: 가스 유입구

Claims (11)

  1. 기판 프로세싱 시스템의 프로세싱 챔버를 위한 샤워헤드 어셈블리에 있어서,
    프로세싱 챔버로 가스들을 제공하도록 구성된 샤워헤드로서,
    상기 프로세싱 챔버의 상부 표면으로부터 하향으로 연장하는 스템부; 및
    상기 스템부에 연결된 헤드부로서, 상기 헤드부는 상기 가스들이 상기 스템부 및 내부 캐비티를 통해 그리고 상기 프로세싱 챔버 내로 흐르도록 상기 내부 캐비티를 포함하는, 상기 헤드부를 포함하는, 상기 샤워헤드; 및
    상기 샤워헤드의 상기 헤드부와 상기 프로세싱 챔버의 상기 상부 표면 간에 상기 샤워헤드 위에 배치된 유전체 베플(baffle)로서, 상기 유전체 베플은 상기 유전체 베플과 상기 프로세싱 챔버의 상기 상부 표면 간에 공간(volume)을 규정하는, 상기 유전체 베플을 포함하는, 샤워헤드 어셈블리.
  2. 제 1 항에 있어서,
    상기 유전체 베플은 베이스부를 포함하고, 그리고 상기 베이스부의 외경은 상기 샤워헤드의 상기 헤드부의 외경보다 큰, 샤워헤드 어셈블리.
  3. 제 1 항에 있어서,
    상기 유전체 베플의 방사상 외측 에지와 상기 프로세싱 챔버의 측벽 간의 제 1 갭이 상기 헤드부의 방사상 외측 에지와 상기 측벽 간의 제 2 갭보다 작은, 샤워헤드 어셈블리.
  4. 제 3 항에 있어서,
    상기 제 1 갭의 폭은 5 이상의 페클렛 수(Peclet number)를 제공하도록 선택되는, 샤워헤드 어셈블리.
  5. 제 3 항에 있어서,
    상기 제 1 갭의 폭은 0.2 인치 이하인, 샤워헤드 어셈블리.
  6. 제 1 항에 있어서,
    상기 유전체 베플은 중앙 개구를 포함하는, 샤워헤드 어셈블리.
  7. 제 1 항에 있어서,
    상기 유전체 베플은 석영, 사파이어, 알루미나 및 알루미늄 질화물 중 적어도 하나를 포함하는, 샤워헤드 어셈블리.
  8. 제 1 항에 있어서,
    상기 샤워헤드를 상기 프로세싱 챔버의 상기 상부 표면에 연결시키는 칼라(collar)를 더 포함하는, 샤워헤드 어셈블리.
  9. 제 8 항에 있어서,
    상기 유전체 베플은 상기 프로세싱 챔버의 상기 상부 표면을 향해 베이스부로부터 연장하는 베이스부 및 스템부를 포함하고, 그리고 상기 유전체 베플의 상기 스템부는 칼라 둘레에 배치되는, 샤워헤드 어셈블리.
  10. 제 9 항에 있어서,
    상기 유전체 베플의 상기 스템부는 상기 프로세싱 챔버의 상기 상부 표면 위로 연장하는, 샤워헤드 어셈블리.
  11. 제 1 항에 기재된 샤워헤드 어셈블리를 포함하는 기판 프로세싱 시스템에 있어서,
    상기 유전체 베플과 상기 프로세싱 챔버의 상기 상부 표면 간에 규정된 공간으로 퍼지 가스를 공급하도록 구성된 하나 이상의 퍼지 가스 유입구들을 더 포함하는, 기판 프로세싱 시스템.
KR1020210008955A 2013-02-06 2021-01-21 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치 KR102323167B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/760,686 2013-02-06
US13/760,686 US9399228B2 (en) 2013-02-06 2013-02-06 Method and apparatus for purging and plasma suppression in a process chamber
KR1020140012783A KR102208576B1 (ko) 2013-02-06 2014-02-04 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140012783A Division KR102208576B1 (ko) 2013-02-06 2014-02-04 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20210013634A KR20210013634A (ko) 2021-02-04
KR102323167B1 true KR102323167B1 (ko) 2021-11-08

Family

ID=51258483

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140012783A KR102208576B1 (ko) 2013-02-06 2014-02-04 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
KR1020210008955A KR102323167B1 (ko) 2013-02-06 2021-01-21 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020140012783A KR102208576B1 (ko) 2013-02-06 2014-02-04 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치

Country Status (3)

Country Link
US (1) US9399228B2 (ko)
KR (2) KR102208576B1 (ko)
TW (1) TWI603782B (ko)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111686953A (zh) * 2020-07-15 2020-09-22 杭州华电能源工程有限公司 一种燃煤锅炉气力吹灰***平面吹扫式喷嘴及吹灰方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2628984B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
JPH02222134A (ja) * 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
DE4039930A1 (de) 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5580387A (en) 1995-06-28 1996-12-03 Electronics Research & Service Organization Corrugated waveguide for a microwave plasma applicator
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5954881A (en) 1997-01-28 1999-09-21 Northrop Grumman Corporation Ceiling arrangement for an epitaxial growth reactor
JP3641115B2 (ja) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2000277521A (ja) 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
KR100722592B1 (ko) * 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
AU2001242363A1 (en) 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100532354B1 (ko) 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
KR101121417B1 (ko) 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
DE102005056320A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR200454281Y1 (ko) * 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR101432562B1 (ko) 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
KR101603176B1 (ko) 2010-02-12 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 개선들
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8482104B2 (en) * 2012-01-09 2013-07-09 Soraa, Inc. Method for growth of indium-containing nitride films
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
KR20140033911A (ko) * 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備

Also Published As

Publication number Publication date
TW201446330A (zh) 2014-12-16
KR20210013634A (ko) 2021-02-04
TWI603782B (zh) 2017-11-01
KR102208576B1 (ko) 2021-01-27
KR20140100435A (ko) 2014-08-14
US20140217193A1 (en) 2014-08-07
US9399228B2 (en) 2016-07-26

Similar Documents

Publication Publication Date Title
KR102323167B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
TWI671792B (zh) 基板處理設備
KR102385122B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP7441275B2 (ja) 基板処理システム及び基板処理システムを動作させるための方法
CN110088885B (zh) 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头
KR102214350B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
CN112877675B (zh) 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
KR102333806B1 (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
JP5875864B2 (ja) 統合型流量平衡器と改良されたコンダクタンスとを備える下部ライナ
US20100081284A1 (en) Methods and apparatus for improving flow uniformity in a process chamber
TW201640555A (zh) 半導體製造裝置
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
TWI803753B (zh) 具有背側泵送的熱處理腔室蓋
US11732358B2 (en) High temperature chemical vapor deposition lid
KR102653087B1 (ko) 처리 장치 및 확산로를 갖는 부재
TW202230471A (zh) 熱均勻的沉積站
KR102525049B1 (ko) 비정상적인 전기 방전 및 플라즈마 집중을 방지하기 위한 절연 구조물
KR20220104733A (ko) 고온 이중 채널 샤워헤드
TW202104650A (zh) 在處理腔室內的電漿緻密化
CN219297639U (zh) 进气装置以及等离子体设备
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
US20220122819A1 (en) Semiconductor chamber components for back diffusion control
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
KR102538276B1 (ko) Pe-cvd 장치 및 방법
TW202410157A (zh) 雙通道噴淋頭組件

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant