KR101603176B1 - 프로세스 챔버 가스 유동 개선들 - Google Patents

프로세스 챔버 가스 유동 개선들 Download PDF

Info

Publication number
KR101603176B1
KR101603176B1 KR1020127023867A KR20127023867A KR101603176B1 KR 101603176 B1 KR101603176 B1 KR 101603176B1 KR 1020127023867 A KR1020127023867 A KR 1020127023867A KR 20127023867 A KR20127023867 A KR 20127023867A KR 101603176 B1 KR101603176 B1 KR 101603176B1
Authority
KR
South Korea
Prior art keywords
processing chamber
gas
annular
injection nozzle
gas injection
Prior art date
Application number
KR1020127023867A
Other languages
English (en)
Other versions
KR20120139741A (ko
Inventor
스탠리 데트마르
브라이언 티. 웨스트
로날드 베른 샤우어
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120139741A publication Critical patent/KR20120139741A/ko
Application granted granted Critical
Publication of KR101603176B1 publication Critical patent/KR101603176B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예들은 일반적으로 플라즈마 에칭 프로세스 챔버 개선들을 제공한다. 챔버의 리드의 중앙 위치에서의 이용을 위해서, 개선된 가스 주입 노즐이 제공된다. 가스 주입 노즐은 기존 플라즈마 에칭 챔버 내에서 이용될 수 있고 그리고 챔버 내에 위치된 기판의 표면에 걸쳐 일련의 원뿔형 가스 유동들을 제공하도록 구성된다. 일 실시예에서, 플라즈마 에칭 챔버에서 이용하기 위한 개선된 배기 키트가 제공된다. 배기 키트는, 기존 플라즈마 에칭 챔버 내에서 이용될 수 있는 장치를 포함하며, 그리고 챔버의 프로세싱 영역으로부터 배기 가스들의 환형 유동을 제공하도록 구성된다.

Description

프로세스 챔버 가스 유동 개선들{PROCESS CHAMBER GAS FLOW IMPROVEMENTS}
본 발명의 실시예들은 일반적으로 반도체 기판 프로세싱 장비에 관한 것이다. 특히, 본 발명은 프로세싱 챔버 내에서 프로세스 가스들의 유동을 개선하기 위한 장치 및 방법들에 관한 것이다.
극초대규모 집적(ULSI) 회로들은, 실리콘(Si) 기판과 같은 반도체 기판 상에 형성되고 여러 가지 기능들을 수행하기 위해서 협력하는 백만개 초과의 전자 디바이스들(예를 들어, 트랜지스터들)을 포함할 수 있다. ULSI 회로들에서 이용되는 전자 디바이스들의 예들로는 상보형 금속 산화물 반도체(CMOS) 전계 효과 트랜지스터들이 있다. CMOS 트랜지스터는, 폴리실리콘 게이트 전극 및 게이트 유전체를 포함하고 기판 내에 형성된 소스(source) 영역과 드레인(drain) 영역 사이에 배치되는 게이트 구조물을 구비한다.
플라즈마 에칭은 트랜지스터들 및 다른 전자 디바이스들의 제조에서 통상적으로 이용된다. 그러나, 현재의 플라즈마 프로세싱 챔버들은 프로세스 가스들의 주입, 유동 및 배기에 있어서 결함들을 갖는다. 예를 들어, 종래 기술의 플라즈마 에칭 챔버들은 챔버의 둘레 주위에 위치된 복수의 가스 주입 노즐들 및 챔버의 일 측면 상의 큰 배기 포트를 제공한다. 가스 주입 및 배기의 이러한 종래 기술의 구성은, 프로세싱되는 기판의 표면에 걸친 프로세스 가스들의 비대칭적인 유동, 기판의 불균일한 에칭, 프로세스 가스의 이용에서의 비효율성, 그리고 최종적으로는 생산량(yield) 손실을 초래한다.
그에 따라, 프로세스 가스들의 보다 효율적인 이용을 제공하고 기판 프로세싱의 균일성을 개선하기 위해 플라즈마 에칭 챔버 개선들이 필요하다.
본 발명의 일 실시예에서, 프로세싱 챔버에서 이용하기 위한 키트는, 프로세싱 챔버 내에서 기판 지지체 상에 위치된 기판의 표면에 걸쳐 복수의 동심적인 원뿔형(concentric conic) 가스 유동들로 프로세스 가스를 분배하도록 구성된 가스 주입 노즐; 및 프로세싱 챔버에 커플링된 하나 또는 둘 이상의 진공 펌프들이 기판 지지체의 둘레로부터 환형 배기 영역 내로 가스를 인출(draw)하도록, 프로세스 영역을 환형 배기 영역과 분리시키기 위해서, 프로세스 영역 주위에서 동심적으로(concentrically) 프로세싱 챔버 내에 위치되게 구성된 환형 유동 제어 부재를 포함한다.
다른 실시예에서, 프로세스 가스 주입 노즐은, 백킹(backing) 부재; 백킹 부재에 커플링되는 제 1 튜브; 제 2 튜브 ― 제 2 튜브는 제 1 튜브와 제 2 튜브 사이에서 제 1 환형 유동 채널을 형성하기 위해서 제 1 튜브 내에 동심적으로 위치됨 ―; 및 제 1 분산(dispersion) 부재를 포함하고, 제 1 분산 부재는 제 1 환형 갭이 제 1 튜브와 제 1 분산 부재 사이에 배치되도록 제 2 튜브에 커플링된다.
본 발명의 또 다른 실시예에서, 프로세스 가스 주입 노즐은, 복수의 가스 통로들이 관통된 노즐 본체; 복수의 환형 갭들을 제공하도록 배열된 복수의 분산 부재들; 및 가스 통로들을 환형 갭들과 유체 커플링(fluidly couple)시키도록 위치되는, 하나 또는 둘 이상의 슬롯들이 내부에 형성되는 복수의 개스킷 부재들을 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 종래 기술의 플라즈마 에칭 프로세싱 챔버의 개략적인 단면도이다.
도 2는 본 발명의 특정 실시예들에 따른 프로세스 챔버의 개략적인 단면도이다.
도 3은 본 발명의 일 실시예에 따른 도 2의 프로세스 챔버 내에서 이용하기 위한 가스 분배 노즐의 개략적인 단면도이다.
도 4는 본 발명의 다른 실시예에 따른 가스 분배 노즐의 개략적인 분해 등각도이다.
본 발명의 실시예들은 일반적으로 플라즈마 에칭 프로세스 챔버 개선들을 제공한다. 챔버의 리드(lid)의 중앙 위치에서의 이용을 위해서, 개선된 가스 주입 노즐이 제공된다. 가스 주입 노즐은 기존 플라즈마 에칭 챔버에서 이용될 수 있고 그리고 챔버 내에 위치된 기판의 표면에 걸쳐 일련의 원뿔형 가스 유동들을 제공하도록 구성된다. 일 실시예에서, 플라즈마 에칭 챔버에서 이용하기 위한 개선된 배기 키트(kit)가 제공된다. 배기 키트는, 기존 플라즈마 에칭 챔버에서 이용될 수 있는 장치를 포함하며, 그리고 챔버의 프로세싱 영역으로부터 배기 가스들의 환형 유동을 제공하도록 구성된다. 가스 주입 및/또는 배기 개선들을 이용하는 본 발명의 실시예들은 웨이퍼의 표면에 걸쳐 프로세싱 가스들의 보다 균일한 유동을 제공하여, 보다 균일한 에칭 프로세스들을 유도한다. 추가적으로, 본 발명의 실시예들을 이용하여 프로세스 가스들의 보다 효율적인 이용이 달성된다.
도 1은 종래 기술의 플라즈마 에칭 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세스 챔버(100)는 프로세스 영역(110)을 부분적으로 둘러싸도록 구성된 돔-형상의 리드(112), 바닥부(108) 및 측벽(106)을 가지는 챔버 본체를 구비한다. 프로세스 챔버(100) 내의 중앙에 기판 지지체(114)가 제공되며, 그에 따라 기판 지지체 상에 제공된 기판(101)이 프로세스 영역(110) 내에 위치된다. 프로세스 챔버(100)의 여러 가지 양상들을 제어하기 위해서 제어기(130)가 제공된다.
프로세스 챔버(100)의 리드(112) 근처에 하나 또는 둘 이상의 안테나들 또는 코일들(164)이 제공된다. 코일들(164)은 매치 회로(168)를 통해서 RF 전원(166)에 커플링된다. 코일들(164)로 인가되는 전력은 프로세스 챔버(100) 내의 프로세스 가스들에 유도적으로 커플링되어 플라즈마를 형성한다. 하나 또는 둘 이상의 바이어스 전원들(172)이 매치 회로(174)를 통해서 기판 지지체(114)에 커플링되어 프로세싱 동안에 기판(101)을 바이어싱한다.
프로세스 가스들은, 측벽(106) 둘레에 배치된 복수의 측면 주입 노즐들(162) 및 리드(112)에 배치된 상부 가스 분배 노즐(160)을 통해서, 하나 또는 둘 이상의 가스 공급원들(102)로부터 프로세스 챔버(100)의 프로세스 영역(110) 내로 제공된다. 배기 포트(122)가 프로세스 챔버(100)의 일 측면 상에 위치되고 그리고 진공 펌프(104)에 커플링된다. 배기 포트(122) 근처에 배치되는 스로틀 밸브(124)가 진공 펌프(104)와 함께 이용되어 프로세스 영역(110) 내의 압력을 제어한다.
종래 기술의 프로세싱 챔버(100)에서의 가스들의 전형적인 유동을 예시하기 위해서 가스 유동 경로들("P1")이 도 1에 도시되어 있다. 볼 수 있는 바와 같이, 상부 가스 분배 노즐(160), 측면 주입 노즐들(162), 및 배기 포트(122)의 구성 및 위치로 인해서, 프로세스 가스 유동의 대부분이 기판(101)에 걸쳐 균일하게 분배되지 않고 프로세스 챔버(100)의 외부로 지향된다. 사실상, 종래 기술의 프로세스 챔버(100) 내의 모든 이온화된 가스의 95% 정도가 기판(101)과 접촉하지 않고 챔버(100)의 외부로 바로 펌핑되는 것으로 밝혀졌다. 따라서, 종래 기술의 프로세스 챔버(100)에서의 가스 주입 및 배기의 구성은 프로세스 가스들의 비효율적인 이용뿐만 아니라 기판(101)의 불균일한 에칭을 초래한다.
도 2는 본 발명의 특정 실시예들에 따른 프로세스 챔버(200)의 개략적인 단면도이다. 종래 기술의 프로세스 챔버(100)와 유사하게, 프로세스 챔버(200)는 프로세스 영역(110)을 부분적으로 둘러싸도록 구성된 돔-형상의 리드(112), 바닥부(108) 및 측벽(106)을 가지는 챔버 본체를 구비한다. 프로세스 챔버(200) 내의 중앙에 기판 지지체(114)가 제공되며, 그에 따라 기판 지지체(114) 상에 제공된 기판(101)이 프로세스 영역(110) 내에 위치된다. 기판 지지체(114)는 스템(stem)(216)에 의해서 지지될 수 있다. 스템(216)은 기판 지지체(114)의 수직 이동을 위해서 모터(215)와 같은 액추에이터에 커플링될 수 있다. 제어기(230)는 모터(215) 및 하나 또는 둘 이상의 모션 제어 센서들(도시하지 않음)에 커플링되어, 프로세싱 전에, 프로세싱 동안에, 또는 프로세싱 후에 기판 지지체의 수직 이동 제어를 제공한다.
제어기(230)는 일반적으로 메모리(232), CPU(234), 및 지원 회로들(236)을 포함한다. CPU(234)는 여러 가지 챔버들 및 프로세스들을 제어하기 위해서 산업 현장에서 이용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(236)은 통상적인 방식으로 프로세서를 지원하기 위해서 CPU(234)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로망, 하위시스템들(subsystems) 등을 포함한다. 메모리(232)는 CPU(234)에 커플링된다. 메모리(232) 또는 컴퓨터-판독가능 매체는, 로컬 또는 원격인, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 또는 임의의 다른 형태의 디지털 저장장치와 같은 용이하게 입수가능한 메모리 중 하나 또는 둘 이상일 수 있다. 프로세스들을 수행하기 위한 명령들(instructions)이 메모리(232) 상에 저장될 수 있다. 명령들은, 제어기(230)에 의해서 실행될 때, 프로세싱 챔버(200)가 플라즈마 에칭 프로세스와 같은 프로세스들을 수행하게 한다.
일 실시예에서, 제어기(230)는 모터(215), 스템(216) 및 기판 지지체(114)를 통해서, 프로세싱 동안에 기판(101)의 수직 이동을 조정할 수 있다. 일 실시예에서, 목표된 에칭 프로파일을 달성하기 위해, 배기 패턴들, 프로세스 물질 유동 패턴들, 및 플라즈마 특성들에서의 작은 변동들(variations)이 보상 또는 조절(modulate)될 수 있도록 하는 방식으로, 제어기(230)가 기판(101)의 수직 이동을 조정할 수 있다. 일 예에서, 제어기(230)는, 프로세스 레시피 변화들 또는 다른 프로세싱 파라미터들, 예를 들어, 물질 유동들, 물질 공급 패턴들, 물질 타입들, RF 및 DC 필드 램핑(field ramping) 또는 펄싱, 챔버(200)의 온도, 기판 지지체(114)의 온도 등에 맞춰 기판(101)의 수직 이동을 조정한다.
프로세스 챔버(100)와 마찬가지로, 하나 또는 둘 이상의 안테나들 또는 코일들(164)이 프로세스 챔버(200)의 리드(112) 근처에 제공된다. 코일들(164)은 매치 회로(168)를 통해서 RF 전원(166)에 커플링된다. 코일들(164)로 인가되는 전력은 프로세스 챔버(200) 내의 프로세스 가스들에 유도적으로 커플링되어 플라즈마를 형성한다. 하나 또는 둘 이상의 바이어스 전원들(172)이 매치 회로(174)를 통해서 기판 지지체(114)에 커플링되어 프로세싱 동안에 기판(101)을 바이어싱한다. RF 전원(166) 및 바이어스 전원들(172)의 제어는 제어기(230)에 의해서 제공될 수 있다.
일 실시예에서, 프로세스 가스는 하나 또는 둘 이상의 가스 공급원들(202)로부터, 돔-형상의 리드(112) 내의 중앙에 위치된 가스 분배 노즐(260)을 통해서 제공된다. 일 실시예에서, 가스 분배 노즐(260)은, 프로세스 챔버(100)에서의 상부 가스 분배 노즐(160)과 동일한, 프로세스 챔버(200) 내의 위치에 존재한다. 그에 따라, 프로세스 챔버(100)에서 가스 분배 노즐(260)이 개장(retrofit)될 수 있다. 그러나, 가스 분배 노즐(260)은 가스 분배 노즐(160)의 개선에 더하여 몇 가지 개선들을 포함한다. 일 실시예에서, 가스 분배 노즐(260)은 기판(101)의 면(face)에 걸쳐 프로세스 가스의 고른 분배를 제공하기 위해서 그 가스 분배 노즐(260)의 내부에 구성되는 복수의 피쳐들(265)을 포함한다. 피쳐들(265)은 하나 또는 둘 이상의 제어가능한 가스 유입구들 및 각각의 가스 유입구에 대한 하나 또는 둘 이상의 특별하게 성형된 가스 배출구들을 포함할 수 있다. 가스 배출구들은 구멍들(apertures), 환형부들(annuli), 성형된 노즐들(shaped nozzles), 유동 지향 프로파일들(flow directing profiles) 등을 포함할 수 있다. 일 실시예에서, 각각의 유입구들 및 배출구들을 통한 가스 유동들은 각각의 유동들의 균형을 맞추거나 각각의 유동들을 조절하기 위해 질량 유동 제어기들(295)을 통해서 제어된다. 다른 실시예에서, 피쳐들(265)의 위치는 제어기(230)에 의해서 제어되는 하나 또는 둘 이상의 모터들을 통해서 자동적으로 조정 또는 조절될 수 있다. 특정 실시예들에서, 음향 에너지들 및 형상화된(shaped) 압력 펄스들을 프로세스 가스 유동들 내로 가하기 위해 프로세싱 사이클들 동안에 피쳐들(265)의 조절이 제어되어, 프로세스 챔버(200) 내에서 기판(101) 상에서 수행되는 특정 프로세스들에 대한 보다 강력한 제어를 가능하게 할 수 있다.
일 실시예에서, 가스 분배 노즐(260)은 노즐(260) 내의 하나 또는 둘 이상의 환형 구멍들을 통해 가스를 주입하기 위해서 코안다(Coanda) 효과를 사용한다. 그러한 실시예에서, 가스 유동이 노즐(260)의 표면으로부터 목표된 각도로 분리되어질 수 밖에 없을 때까지, 그 가스 유동이 노즐(260)의 표면을 따르는 미리 결정된 경로를 따르게 된다. 일 실시예에서, 코안다 효과를 나타내는 복수의 피쳐들(265)이 사용되어, 기판(101)의 표면으로 고른 가스 유동을 공급하도록 테일러링(tailor)된 일련의 원뿔형 가스 유동들을 생성한다. 추가적으로, 노즐(260) 내의 피쳐들(265)은 가스 유동이 기판(101)의 표면으로의 이송(transit)중에 스핀(spin)되고 혼합되게 하도록 구성될 수 있다. 가스 분배 노즐들(260)의 특정 예들이 도 3 및 도 4와 관련하여 후속하여 기술된다.
일 실시예에서, 도 1의 프로세스 챔버(100)와 유사하게, 배기 포트(122)가 프로세스 챔버(200)의 일 측면 상에 위치되고 그리고 진공 펌프(104)에 커플링된다. 배기 포트(122) 근처에 배치되는 스로틀 밸브(124)가 진공 펌프(104)와 함께 이용되어 프로세스 영역(110) 내의 압력을 제어한다. 일 실시예에서, 제한(restriction) 부재(290)가 배기 포트(122)의 측면 입구에 위치된다. 제한 부재(290)는 측면 입구를 통한 배기 포트(122)로의 가스 유동을 제한 또는 방지하도록 구성될 수 있다. 일 실시예에서, 동일한 진공 펌프(104) 및 스로틀 밸브(124), 또는 하나 또는 둘 이상의 추가적인 진공 펌프들(104) 및/또는 스로틀 밸브들(124)이 챔버(200) 둘레 주위에서 측벽(106)에 배치된 복수의 측면 배기 포트들(262)과 유체 소통한다. 일 실시예에서, 프로세스 챔버(200)의 배기 포트들(262)의 위치들은 프로세스 챔버(100)의 측면 가스 주입 노즐들(162)의 위치들과 일치한다. 따라서, 챔버(100)에서 측면 가스 주입 노즐들(162) 대신에 배기 포트들(262)이 개장될 수 있다.
일 실시예에서, 환형 유동 제어 부재(280)가 프로세스 챔버(200) 내에 배치되어 프로세스 영역(110)을 배기 영역(250)과 분리한다. 환형 유동 제어 부재(280)는 측벽(106)의 상부 부분으로부터 프로세스 챔버(200)의 바닥부(108)와 기판 지지체(114) 사이의 지역(area)까지 연장하도록 구성될 수 있다. 그에 따라, 프로세스 챔버(200)의 프로세스 영역(110)은 종래 기술의 챔버(100)의 프로세스 영역(110) 보다 더 둥글고(rounded) 그리고 균일하며, 그에 따라 프로세스 영역(110) 내에서 보다 집중된(concentrated) 플라즈마를 초래하고 그리고 종래 기술의 챔버(100)에서 가능한 것 보다 더 많은 이온 충돌들 및 더 높은 플라즈마 밀도들을 유도한다.
일 실시예에서, 환형 유동 제어 부재(280)는 기판 지지체(114)의 지름 보다 약간 더 큰 지름, 예를 들어 200 mm 초과의 지름을 가지는 중앙 개구부(281)를 구비하는 실질적으로 사발-형상(bowl-shaped)의 부재이다. 환형 유동 제어 부재(280)는 상부 립(284)으로부터 하부 오목 부분(285)까지 하향 연장하는 상부 볼록 부분(283)을 가질 수 있다. 하부 오목 부분(285)은 개구부(281)를 규정하는 하부 립(286)까지 연장할 수 있다.
일 실시예에서, 환형 유동 제어 부재(280)는 측벽(106)의 상부 부분에 부착하도록 하나 또는 둘 이상의 연결 피쳐들(282)을 자신의 상부 둘레에 포함한다. 그에 따라, 프로세스 챔버(100)에서 환형 유동 제어 부재(280)가 개장될 수 있다. 일 실시예에서, 유동 제어 부재(280)는 하나의 연속적인 솔리드 피스(solid piece)이다. 일 실시예에서, 유동 제어 부재(280)는 복수의 구멍들 또는 천공부들을 갖고, 그 복수의 구멍들 또는 천공부들은 그 복수의 구멍들 또는 천공부들을 관통하는 가스 유동을 특별히 테일러링하기 위해서 그 유동 제어 부재(280)를 관통하여 형성된다. 일 실시예에서, 유동 제어 부재(280)는 알루미늄 또는 스테인리스 스틸과 같은 금속성 물질로 제조된다. 다른 실시예에서, 유동 제어 부재(280)는 프로세스 챔버(200) 내에서 수행되는 플라즈마 에칭 프로세스들에 대해 내성을 가지는 세라믹 또는 고분자 물질로 제조된다. 일 실시예에서, 유동 제어 부재(280)는 하나 또는 둘 이상의 스페이서(spacer)들(272)을 통해서 챔버(200)의 측벽(106) 및/또는 바닥부(108)로부터 이격된다.
프로세스 챔버(200) 내의 개선된 가스들의 유동을 예시하기 위해서 가스 유동 경로들("P2")이 도 2에 도시된다. 볼 수 있는 바와 같이, 가스 분배 노즐(260) 및 유동 제어 부재(280)의 구성 및 위치로 인해서, 가스 유동이 기판(101)의 표면에 걸쳐 고르게 분배되고 그리고 유동 제어 부재(280)와 기판 지지체(114)의 둘레 사이에서 하향 인출된다. 기판(101)의 표면을 떠난 후에, 가스 유동이 프로세스 챔버(200)의 바닥부(108)와 유동 제어 부재(280) 사이에서 인출된다. 이어서, 가스가 배기 포트들(262 및/또는 122)을 통해서 프로세스 챔버(200)의 외부로 인출된다.
개선된 가스 유동 경로("P2")는 프로세스 가스 이용 효율 및 RF 전력 효율의 상당한 증가를 초래한다. 이러한 보다 높은 효율들은 또한 프로세스 챔버(200)의 가열 감소를 초래하고, 이는 개선된 효율 및 감소된 배출물들을 초래한다. 또한, 챔버(200)의 하부 영역들을 통해서 배기 프로세스 가스를 인출함으로써, 프로세싱 플라즈마가 배기 포트들(262 및/또는 122)에 도달하는 것이 방지 또는 저지되며, 이는 그러한 지역들에서의 증착물들(deposits)의 형성을 억제하여 챔버(200) 세정에 필요한 시간을 줄이고 기판(101)의 표면 상에서 입자들(particulates)이 발생할 가능성을 줄이는 결과를 초래한다.
일 실시예에서, 가스 분배 노즐(260) 및 환형 유동 제어 부재(280)는, 챔버(100)와 같은 플라즈마 에칭 챔버를 개장하기 위해서 필요한 하드웨어와 함께 키트에 제공될 수 있다. 일 실시예에서, 키트는 제한 부재(290)를 더 포함할 수 있다. 일 실시예에서, 키트는 주입 노즐들(162)을 배기 포트들(262)로 전환시키기 위해서 필요한 하드웨어 및 튜빙(tubing)을 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따른 프로세스 챔버(200) 내에서 이용하기 위한 가스 분배 노즐(300)의 개략적인 단면도이다. 도 3에 도시된 실시예는 프로세스 가스에 대해 복수의 유동 갭들을 제공하도록, 상이한 길이들의 복수의 네스팅된(nested) 튜브들을 포함하며, 그에 따라 도 2에 도시된 프로세스 챔버(200) 내의 기판(101)에 걸쳐 고르게 분배되는 중첩성(overlapping) 원뿔형 가스 유동("P3")이 초래된다.
일 실시예에서, 가스 분배 노즐(300)은 하나 또는 둘 이상의 프로세스 가스 유입구 튜브들에 부착하도록 구성될 수 있는 백킹 부재(302)를 포함한다. 가스 분배 노즐(300)은 백킹 부재(302)에 부착되는 외측 튜빙(304)을 더 포함한다. 일 실시예에서, 제 1 중간 튜빙(306)이 외측 튜빙(304) 내에 동심적으로 위치되고 그리고 백킹 부재(302)와 결합되며, 그에 따라 외측 환형 유동 채널(308)이 제 1 중간 튜빙(306)의 외측 표면과 외측 튜빙(304)의 내측 표면에 의해서 규정된다. 제 1 분산 부재(310)가 제 1 중간 튜빙(306)의 하부 단부와 결합하도록 구성되어 제 1 분산 부재(310)와 외측 튜빙(304)의 하부 단부 사이에 외측 환형 갭(312)이 남겨지며, 그에 따라 외측 환형 갭(312)이 외측 환형 유동 채널(308)과 유체 소통한다. 제 1 분산 부재(310)는 외측 환형 갭(312)이 조정될 수 있도록 제 1 중간 튜빙(306)과 이동가능하게 결합되어(예를 들어, 스크류 연결), 외측 환형 갭(312)을 통하여 분산되는 프로세스 가스의 유동을 조정할 수 있다. 일 실시예에서, 프로세스 가스 유동을 위한 간격을 유지하도록, 제 1 분산 부재(310)가 제 1 중간 튜빙(306)에 고정적으로 부착된다. 다른 실시예에서, 외측 튜빙(304)과 결합하고 프로세스 가스 유동을 위한 간격을 유지하도록, 제 1 분산 부재는 제 1 분산 부재 상에 원형 패턴으로 균등하게 이격된 복수의 돌출부들을 포함한다.
일 실시예에서, 제 2 중간 튜빙(314)이 제 1 중간 튜빙(306) 내에 동심적으로 위치되고 그리고 백킹 부재(302)와 결합되며, 그에 따라 중간 환형 유동 채널(316)이 제 2 중간 튜빙(314)의 외측 표면과 제 1 중간 튜빙(306)의 내측 표면에 의해서 규정된다. 제 2 분산 부재(318)가 제 2 중간 튜빙(314)의 하부 단부와 결합하도록 구성된다. 하나 또는 둘 이상의 오리피스(orifice)들(320)이 제 1 분산 부재(310) 내에 배치될 수 있고, 하나 또는 둘 이상의 오리피스들(320)은 중간 환형 유동 채널(316)과 유체 소통한다. 오리피스들(320)은 제 1 분산 부재(310)의 원주 둘레에(around the circumference) 등거리로 이격될 수 있다. 제 2 분산 부재(318)가 제 2 중간 튜빙(314)과 이동가능하게 결합될 수 있고(예를 들어, 스크류 연결), 그에 따라 중간 환형 유동 채널(316)과 또한 유체 소통하는 중간 환형 갭(321)이 제 2 분산 부재(318)와 제 1 분산 부재(310) 사이에 형성된다. 중간 환형 갭(321)을 통하여 분산되는 프로세스 가스의 유동을 조정하기 위하여, 제 2 분산 부재(318)와 제 2 중간 튜빙(314) 사이의 이동가능한 결합을 이용하여 중간 환형 갭(321)이 조정될 수 있다. 일 실시예에서, 프로세스 가스 유동을 위한 간격을 유지하도록, 제 2 분산 부재(318)가 제 2 중간 튜빙(314)에 부착된다. 다른 실시예에서, 제 1 분산 부재(310)와 결합하고 프로세스 가스 유동을 위한 간격을 유지하도록, 제 2 분산 부재(318)는 제 2 분산 부재로부터 상향으로 연장하는 복수의 돌출부들을 포함한다.
일 실시예에서, 내측 튜빙(322)은 제 2 중간 튜빙(314) 내에 동심적으로 위치되고 그리고 백킹 부재(302)와 결합되며, 그에 따라 내측 환형 유동 채널(324)이 내측 튜빙(322)의 외측 표면과 제 2 중간 튜빙(314)의 내측 표면에 의해서 규정된다. 제 3 분산 부재(326)가 내측 튜빙(322)의 하부 단부와 결합하도록 구성된다. 하나 또는 둘 이상의 오리피스들(328)이 제 2 분산 부재(318) 내에 배치될 수 있고, 하나 또는 둘 이상의 오리피스들(328)은 내측 환형 유동 채널(324)과 유체 소통한다. 오리피스들(328)은 제 2 분산 부재(318)의 원주 둘레에 등거리로 이격될 수 있다. 제 3 분산 부재(326)는 내측 튜빙(322)과 이동가능하게 결합될 수 있고(예를 들어, 스크류 연결), 그에 따라 내측 환형 유동 채널(324)과 또한 유체 소통하는 내측 환형 갭(329)이 제 3 분산 부재(326)와 제 2 분산 부재(318) 사이에 형성된다. 내측 환형 갭(329)을 통하여 분산되는 프로세스 가스의 유동을 조정하기 위하여, 제 3 분산 부재(326)와 내측 튜빙(322) 사이의 이동가능한 결합을 이용하여 내측 환형 갭(329)이 조정될 수 있다. 일 실시예에서, 가스 유동을 위한 간격을 유지하도록, 제 3 분산 부재(326)가 내측 튜빙(322)에 부착된다. 다른 실시예에서, 제 2 분산 부재(318)와 결합되고 프로세스 가스 유동을 위한 간격을 유지하도록, 제 3 분산 부재(326)는 제 3 분산 부재(326)로부터 연장하는 복수의 돌출부들을 포함한다.
일 실시예에서, 중앙 로드(central rod)(330) 또는 볼트가 백킹 부재(302) 및 제 3 분산 부재(326)와 결합하여 가스 분배 노즐(300)의 별개의 부품들을 함께 체결한다. 일 실시예에서, 중앙 로드(330)가 연장하거나 회수(retract)되어 외측 환형 갭(312), 중간 환형 갭(321), 및 내측 환형 갭(329)을 조정할 수 있고, 이는 또한 가스 분배 노즐(300)을 통해서 분산되는 프로세스 가스의 유동 패턴을 조정한다. 중앙 로드(330) 및/또는 갭들(312, 321, 329)의 조정은 수동적으로, 예를 들어 나사산 연결에 의해 이루어질 수 있다. 대안적으로, 조정은 선형 추진기들(thrusters) 또는 압전 모터들과 같은 하나 또는 둘 이상의 액추에이터들에 의해서 자동적으로 이루어질 수 있고, 그리고 제어기(230)에 의해서 제어될 수 있다. 일 실시예에서, 외측 환형 갭(312), 중간 환형 갭(321), 및 내측 환형 갭(329)은 각각 외측 튜빙(304), 제 1 중간 튜빙(306), 제 2 중간 튜빙(314), 및 내측 튜빙(322)의 길이들을 변화시킴으로써 조정된다. 또한, 튜브 지름들 및 벽 두께들을 조정하여, 외측 환형 유동 채널(308), 중간 환형 유동 채널(316), 및 내측 환형 유동 채널(324)에 대해 목표된 체적들을 제공할 수 있다. 가스 분배 노즐(300)의 컴포넌트들 각각은, 세라믹 물질들(예를 들어, Al2O3, SiC, SiN), 금속성 물질들(예를 들어, 양극산화처리된 알루미늄, 스테인리스 스틸, 니켈), 또는 저항성(resistive) 고분자 물질들과 같은, 목표된 적용예에서 이용되는 특정 프로세스 가스들에 대해서 내성을 가지는 물질들을 포함할 수 있다.
백킹 부재(302)는 하나 또는 둘 이상의 프로세스 가스 유입구 튜브들로부터 백킹 부재(302)를 통하여 가스 분배 노즐(300) 내의 목표된 유동 채널들 내로 프로세스 가스가 통과하는 것을 허용하기 위해서, 백킹 부재(302)를 관통하여 형성된 복수의 구멍들(332)을 구비할 수 있다. 구멍들(332)은 단일 가스 유입구로부터, 개별 유동 채널들 각각, 즉 외측 환형 유동 채널(308), 중간 환형 유동 채널(316), 및 내측 환형 유동 채널(324) 내로 프로세스 가스를 전달하도록 구성될 수 있다. 구멍들(332)은, 개별 유입구 튜브로부터 유동 채널들 각각으로, 프로세스 가스를 각각 전달하도록 구성될 수 있다. 예를 들어, 제 1 유입구 튜브가 외측 환형 유동 채널(308)에 연결될 수 있고, 그리고 제 2 유입구 튜브가 중간 환형 유동 채널(316)에 연결될 수 있고, 그리고 제 3 유입구 튜브가 내측 환형 유동 채널(324)에 연결될 수 있다. 일 실시예에서, 유입구 튜브들 각각이 개별 가스 공급원들에 연결된다. 일 실시예에서, 가스 분배 노즐(300) 내의 각각의 환형 갭을 통한 유동을 개별적으로 조절하기 위해서, 유입구 튜브들 각각이 개별 질량 유동 제어기들을 통해서 단일 프로세스 가스 공급원에 연결된다.
도 3과 관련하여 도시되고 설명된 가스 분배 노즐(300)이 3개의 동심적인 분산 갭들에 대해 구성되어 있지만, 목표된 바에 따라 보다 많은 수의 또는 보다 적은 수의 분산 갭들을 획득하기 위해서 조립체에 튜빙 및 분산 부재들을 부가하거나 조립체로부터 튜빙 및 분산 부재들을 뺄 수 있다는 것을 주지하여야 한다. 결과적으로, 가스 분배 노즐(300)은 도 2에 도시된 프로세스 챔버(200) 내의 기판(101)에 걸쳐 고르게 분배되는 중첩성 원뿔형 가스 유동의 임의의 목표된 구성을 달성할 수 있다.
도 4는 본 발명의 다른 실시예에 따른 가스 분배 노즐(400)의 개략적인 분해 등각도이다. 도 4에 도시된 실시예는 도 2에 도시된 프로세스 챔버(200) 내의 기판(101)에 걸쳐 고르게 분배되는 (도 3의 가스 유동("P3")과 유사한) 중첩성 원뿔형 가스 유동을 제공하기 위해서 복수의 환형 갭들을 통해서 프로세스 가스의 유동을 선택적으로 지향시키도록 구성된 복수의 교차(intersection) 개스킷들을 포함한다.
일 실시예에서, 가스 분배 노즐(400)은 노즐 본체(404)에 부착된 백킹 부재(402)를 포함한다. 백킹 부재(402) 및 노즐 본체(404) 모두는 그 백킹 부재(402)와 노즐 본체(404)를 관통하여 배치되는 복수의 정렬된 구멍들(406, 407)을 가짐으로써, 그 복수의 정렬된 구멍들(406, 407)을 통해 하나 또는 둘 이상의 프로세스 가스들이 통과하는 것을 허용한다. 백킹 부재(402)는 하나 또는 둘 이상의 프로세스 가스 유입구 튜브들에 커플링되도록 구성되어, 가스 분배 노즐(400)로의 프로세스 가스 공급을 제공할 수 있다.
제 1 개스킷(408)이 노즐 본체(404)와 제 1 분산 부재(412) 사이에 위치될 수 있다. 제 1 개스킷(408) 및 제 1 분산 부재(412) 모두는 복수의 구멍들(409, 411)을 구비하고, 이러한 복수의 구멍들(409, 411)은 노즐 본체(404)를 관통하여 형성되는 복수의 구멍들(407)과 정렬되고 제 1 개스킷(408) 및 제 1 분산 부재(412)를 관통하여 형성된다. 제 1 환형 갭(414)이 제 1 분산 부재(412)와 노즐 본체(404) 사이에 제공되도록, 제 1 분산 부재(412)가 노즐 본체(404)와 결합된다. 제 1 개스킷(408)은, 노즐 본체(404) 내의 구멍들(407) 중 하나 또는 둘 이상과 선택적으로 정렬되고 제 1 개스킷(408)을 관통하여 형성되는 하나 또는 둘 이상의 슬롯들(410)을 더 포함한다. 하나 또는 둘 이상의 슬롯들(410)은 제 1 개스킷(408)의 엣지 쪽으로 개방되어, 구멍들(407) 중 하나 또는 둘 이상으로부터 그리고 제 1 환형 갭(414)을 통하여 프로세스 가스가 통과하는 것을 허용한다. 결과적으로, 도 4에 도시된 바와 같이, 제 1 환형 갭(414)을 통한 분산을 위해서, 가스 유입구 튜브로부터 백킹 부재(402) 및 노즐 본체(404)를 통하는 경로("P4")를 따라서 프로세스 가스가 유동할 수 있다.
제 2 개스킷(416)이 제 1 분산 부재(412)와 제 2 분산 부재(420) 사이에 위치될 수 있다. 제 2 개스킷(416) 및 제 2 분산 부재(420) 모두는 복수의 구멍들(417, 419)을 구비하고, 이러한 복수의 구멍들(417, 419)은 제 1 분산 부재(412)를 관통하여 형성되는 복수의 구멍들(411)과 정렬되고 제 2 개스킷(416) 및 제 2 분산 부재(420)를 관통하여 형성된다. 제 2 환형 갭(422)이 제 2 분산 부재(420)와 제 1 분산 부재(412) 사이에 제공되도록, 제 2 분산 부재(420)가 제 1 분산 부재(412)와 결합된다. 제 2 개스킷(416)은, 제 1 분산 부재(412)를 관통하여 형성되는 구멍들(411) 중 하나 또는 둘 이상과 선택적으로 정렬되고 제 2 개스킷(416)을 관통하여 형성되는 하나 또는 둘 이상의 슬롯들(418)을 더 포함한다. 하나 또는 둘 이상의 슬롯들(418)은 제 2 개스킷(416)의 엣지 쪽으로 개방되어, 하나 또는 둘 이상의 구멍들(411)로부터 제 2 환형 갭(422)을 통하여 프로세스 가스가 통과하는 것을 허용한다. 결과적으로, 도 4에 도시된 바와 같이 제 2 환형 갭(422)을 통한 분산을 위해서, 가스 유입구 튜브로부터 백킹 부재(402), 노즐 본체(404), 제 1 개스킷(408) 및 제 1 분산 부재(412)를 통하는 경로("P5")를 따라서 프로세스 가스가 유동할 수 있다.
일 실시예에서, 제 3 개스킷(424)이 제 2 분산 부재(420)와 제 3 분산 부재(428) 사이에 위치된다. 제 3 개스킷(424)은 복수의 구멍들(425)을 구비하고, 이러한 복수의 구멍들(425)은 제 2 분산 부재(420)를 관통하여 형성되는 복수의 구멍들(419)과 정렬되고 제 3 개스킷(424)을 관통하여 형성된다. 제 3 환형 갭(430)이 제 3 분산 부재(428)와 제 2 분산 부재(420) 사이에 제공되도록, 제 3 분산 부재(428)가 제 2 분산 부재(420)와 결합된다. 제 3 개스킷(424)은 하나 또는 둘 이상의 슬롯들(426)을 더 포함하고, 이러한 하나 또는 둘 이상의 슬롯들(426)은 제 2 분산 부재(420)를 관통하여 형성되는 구멍들(419) 중 하나 또는 둘 이상과 선택적으로 정렬되고 제 3 개스킷(424)을 관통하여 형성된다. 하나 또는 둘 이상의 슬롯들(426)이 제 3 개스킷(424)의 엣지 쪽으로 개방되어, 하나 또는 둘 이상의 구멍들(419)로부터 제 3 환형 갭(430)을 통하여 프로세스 가스가 통과하는 것을 허용한다. 결과적으로, 도 4에 도시된 바와 같이 제 3 환형 갭(430)을 통한 분산을 위해서, 가스 유입구 튜브로부터 백킹 부재(402), 노즐 본체(404), 제 1 개스킷(408), 제 1 분산 부재(412), 제 2 개스킷(416) 및 제 2 분산 부재(420)를 통하는 경로("P6")를 따라서 프로세스 가스가 유동할 수 있다.
중앙 로드(432) 또는 볼트가 백킹 부재(402) 및 제 3 분산 부재(428)와 결합하여 가스 분배 노즐(400)의 별개의 부품들을 함께 체결할 수 있다. 명료함을 위해서, 중앙 로드(432)가 도 4에서 가상선(phantom)으로 도시된 것이 주지된다. 중앙 로드(432)가 회전될 때, 구멍들 중 하나 또는 둘 이상이 다양한 정도들(degrees)로 중첩됨으로써 구멍들 중 하나 또는 둘 이상을 관통하여 유동하는 프로세스 가스의 유동에 대한 조정을 초래하도록, 개스킷들(408, 416, 424) 및/또는 분산 부재들(412, 420, 428) 중 하나 또는 둘 이상이 중앙 로드(432)에 부착될 수 있다. 일 실시예에서, 가스 분배 노즐(400)을 통한 프로세스 가스 유동 및 중앙 로드(432)의 조정이 수동적으로 이루어진다. 대안적으로, 조정은 모터들과 같은 하나 또는 둘 이상의 액추에이터들에 의해서 자동적으로 이루어질 수 있고, 그리고 제어기(230)에 의해서 제어될 수 있다. 목표된 가스 유동을 제공하기 위해서 여러 가지 구멍들의 크기 및 개수 또는 여러 가지 개스킷들의 두께가 변경될 수 있다. 가스 분배 노즐(400)의 컴포넌트들 각각은, 세라믹 물질들(예를 들어, Al2O3, SiC, SiN), 금속성 물질들(예를 들어, 양극산화처리된 알루미늄, 스테인리스 스틸, 니켈), 또는 저항성 고분자 물질들과 같은, 목표된 적용예에서 이용되는 특정 프로세스 가스들에 대해서 내성을 가지는 물질들을 포함할 수 있다.
도 4와 관련하여 도시되고 설명된 가스 분배 노즐(400)이 3개의 동심적인 분산 갭들에 대해 구성되어 있지만, 목표된 바에 따라 보다 많은 수의 또는 보다 적은 수의 분산 갭들을 획득하기 위해서 조립체에 개스킷들 및 분산 부재들을 부가하거나 조립체로부터 개스킷들 및 분산 부재들을 뺄 수 있다는 것을 주지하여야 한다. 결과적으로, 가스 분배 노즐(400)은 도 2에 도시된 프로세스 챔버(200) 내에서 기판(101)에 걸쳐 고르게 분배되는 중첩성 원뿔형 가스 유동의 임의의 목표된 구성을 달성할 수 있다.
따라서, 본 발명의 실시예들은 일반적으로 플라즈마 에칭 프로세스 챔버 개선들을 제공한다. 일 실시예에서, 개선된 가스 주입 노즐이 챔버의 리드의 중앙 위치에 제공된다. 가스 주입 노즐은 기존 플라즈마 에칭 챔버 내에서 이용될 수 있고, 그리고 챔버 내에 위치된 기판의 표면에 걸쳐 일련의 원뿔형 가스 유동들을 제공하도록 구성된다. 일 실시예에서, 개선된 배기 구성이 제공된다. 이러한 배기 구성은 기존 플라즈마 에칭 챔버 내에서 이용될 수 있는 장치를 포함하며, 그리고 챔버의 프로세싱 영역으로부터 배기 가스들의 환형 유동을 제공하도록 구성된다. 가스 주입 및/또는 배기 개선들을 이용하는 본 발명의 실시예들은 웨이퍼의 표면에 걸쳐 프로세싱 가스들의 보다 균일한 유동을 제공하여 보다 균일한 에칭 프로세스들을 유도한다. 추가적으로, 본 발명의 실시예들을 이용하면 프로세스 가스들의 보다 효율적인 이용이 달성된다.
전술한 내용은 본 발명의 실시예들과 관련된 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않고 안출될 수 있을 것이고, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (16)

  1. 프로세싱 챔버에서 이용하기 위한 키트(kit)로서,
    복수의 환형 가스 전달 갭들(annular gas delivery gaps)을 가지고, 상기 프로세싱 챔버의 리드(lid)에 장착되도록 구성되는 가스 주입 노즐 - 상기 복수의 환형 가스 전달 갭들은 상기 가스 주입 노즐의 종축 방향으로 적층되고, 상기 복수의 환형 가스 전달 갭들은 상기 복수의 환형 가스 전달 갭들을 통해서 분산되는 프로세스 가스의 유동을 조정하기 위하여 조정될 수 있음 -; 및
    상기 프로세싱 챔버 내에서 상기 가스 주입 노즐의 아래에서 이격되어 배치되도록 구성되는 환형 유동 제어 부재 - 상기 환형 유동 제어 부재는 사발-형상(bowl-shaped)이면서 상기 프로세싱 챔버의 측벽으로부터 연장하며, 하부 오목 부분으로 하향 연장하는 상부 볼록 부분을 가짐 - 를 포함하며,
    상기 환형 유동 제어 부재는, 상기 환형 유동 제어 부재를 관통하여 연장하며 200 mm 보다 큰 지름을 갖는 개구부를 가지는,
    프로세싱 챔버에서 이용하기 위한 키트.
  2. 제 1 항에 있어서,
    상기 가스 주입 노즐은,
    복수의 튜브들 - 상기 복수의 튜브들은 상기 복수의 튜브들을 관통하는 복수의 환형 가스 채널들을 제공하도록 동심적으로(concentrically) 배열됨 -; 및
    상기 복수의 환형 가스 전달 갭들에 상기 복수의 환형 가스 채널들과의 유체 소통을 제공하도록 배열되는 복수의 분산 부재들을 포함하는,
    프로세싱 챔버에서 이용하기 위한 키트.
  3. 제 2 항에 있어서,
    상기 복수의 분산 부재들 중 적어도 하나가 상기 복수의 튜브들 중 적어도 하나와 이동가능하게 결합되는,
    프로세싱 챔버에서 이용하기 위한 키트.
  4. 제 2 항에 있어서,
    상기 복수의 분산 부재들 중 적어도 하나는, 상기 복수의 분산 부재들 중 적어도 하나를 관통하여 배치되며 상기 복수의 환형 가스 채널들 중 적어도 하나와 유체 소통하는 하나 또는 둘 이상의 오리피스들(orifices)을 구비하는,
    프로세싱 챔버에서 이용하기 위한 키트.
  5. 제 1 항에 있어서,
    상기 가스 주입 노즐은,
    복수의 가스 통로들이 관통된 노즐 본체;
    상기 복수의 환형 가스 전달 갭들을 제공하도록 배열된 복수의 분산 부재들; 및
    상기 가스 통로들을 상기 환형 가스 전달 갭들과 유체 커플링시키도록(fluidly couple) 위치되는, 하나 또는 둘 이상의 슬롯들이 내부에 형성되는 복수의 개스킷 부재들(gasket members)을 포함하는,
    프로세싱 챔버에서 이용하기 위한 키트.
  6. 제 5 항에 있어서,
    상기 개스킷 부재들 중 적어도 하나가 상기 분산 부재들 중 적어도 2개 사이에 위치되는,
    프로세싱 챔버에서 이용하기 위한 키트.
  7. 제 6 항에 있어서,
    상기 가스 주입 노즐은, 상기 가스 주입 노즐을 관통하여 배치되고 상기 복수의 개스킷 부재들에 커플링되는 중앙 로드(central rod)를 더 포함하는,
    프로세싱 챔버에서 이용하기 위한 키트.
  8. 제 7 항에 있어서,
    상기 중앙 로드를 회전시킴으로써 상기 가스 주입 노즐을 통한 가스 유동이 조정되는,
    프로세싱 챔버에서 이용하기 위한 키트.
  9. 리드(lid) 및 기판 지지체를 구비하는 프로세싱 챔버에 있어서,
    프로세싱 챔버에서 이용하기 위한 키트(kit)로서,
    복수의 환형 가스 전달 갭들(annular gas delivery gaps)을 가지고, 상기 프로세싱 챔버의 상기 리드에 장착되도록 구성되는 가스 주입 노즐 - 상기 복수의 환형 가스 전달 갭들은 상기 가스 주입 노즐의 종축 방향으로 적층되고, 상기 복수의 환형 가스 전달 갭들은 상기 복수의 환형 가스 전달 갭들을 통해서 분산되는 프로세스 가스의 유동을 조정하기 위하여 조정될 수 있음 -; 및
    상기 프로세싱 챔버 내에서 상기 기판 지지체 둘레에서 상기 가스 주입 노즐의 아래에 배치되도록 구성되는 환형 유동 제어 부재 - 상기 환형 유동 제어 부재는 사발-형상(bowl-shaped)이면서 상기 프로세싱 챔버의 측벽으로부터 연장하며, 하부 오목 부분으로 하향 연장하는 상부 볼록 부분을 가짐 - 를 포함하며,
    상기 환형 유동 제어 부재는, 상기 환형 유동 제어 부재를 관통하여 연장하며 200 mm 보다 큰 지름을 갖는 개구부를 가지는, 개선된(improvement) 키트를 포함하는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  10. 제 9 항에 있어서,
    상기 가스 주입 노즐은,
    복수의 튜브들 - 상기 복수의 튜브들은 상기 복수의 튜브들을 관통하는 복수의 환형 가스 채널들을 제공하도록 동심적으로(concentrically) 배열됨 -; 및
    상기 복수의 환형 가스 전달 갭들에 상기 복수의 환형 가스 채널들과의 유체 소통을 제공하도록 배열되는 복수의 분산 부재들을 포함하는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  11. 제 10 항에 있어서,
    상기 복수의 분산 부재들 중 적어도 하나가 상기 복수의 튜브들 중 적어도 하나와 이동가능하게 결합되는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  12. 제 10 항에 있어서,
    상기 복수의 분산 부재들 중 적어도 하나는, 상기 복수의 분산 부재들 중 적어도 하나를 관통하여 배치되며 상기 복수의 환형 가스 채널들 중 적어도 하나와 유체 소통하는 하나 또는 둘 이상의 오리피스들(orifices)을 구비하는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  13. 제 9 항에 있어서,
    상기 가스 주입 노즐은,
    복수의 가스 통로들이 관통된 노즐 본체;
    상기 복수의 환형 가스 전달 갭들을 제공하도록 배열된 복수의 분산 부재들; 및
    상기 가스 통로들을 상기 환형 가스 전달 갭들과 유체 커플링시키도록(fluidly couple) 위치되는, 하나 또는 둘 이상의 슬롯들이 내부에 형성되는 복수의 개스킷 부재들(gasket members)을 포함하는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  14. 제 13 항에 있어서,
    상기 개스킷 부재들 중 적어도 하나가 상기 분산 부재들 중 적어도 2개 사이에 위치되는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  15. 제 14 항에 있어서,
    상기 가스 주입 노즐은, 상기 가스 주입 노즐을 관통하여 배치되고 상기 복수의 개스킷 부재들에 커플링되는 중앙 로드(central rod)를 더 포함하는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
  16. 제 15 항에 있어서,
    상기 중앙 로드를 회전시킴으로써 상기 가스 주입 노즐을 통한 가스 유동이 조정되는,
    리드 및 기판 지지체를 구비하는 프로세싱 챔버.
KR1020127023867A 2010-02-12 2011-02-09 프로세스 챔버 가스 유동 개선들 KR101603176B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30407310P 2010-02-12 2010-02-12
US61/304,073 2010-02-12
PCT/US2011/024153 WO2011100293A2 (en) 2010-02-12 2011-02-09 Process chamber gas flow improvements

Publications (2)

Publication Number Publication Date
KR20120139741A KR20120139741A (ko) 2012-12-27
KR101603176B1 true KR101603176B1 (ko) 2016-03-14

Family

ID=44368408

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127023867A KR101603176B1 (ko) 2010-02-12 2011-02-09 프로세스 챔버 가스 유동 개선들

Country Status (5)

Country Link
US (2) US8828182B2 (ko)
KR (1) KR101603176B1 (ko)
CN (1) CN102763199B (ko)
TW (1) TWI539517B (ko)
WO (1) WO2011100293A2 (ko)

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US20120145701A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Electrical resistance heater and heater assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103227090B (zh) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 一种线性等离子体源
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10344380B2 (en) * 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6146886B2 (ja) * 2014-03-26 2017-06-14 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10544519B2 (en) 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020146047A1 (en) 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送***的耐腐蚀结构
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN113994024A (zh) 2019-07-04 2022-01-28 应用材料公司 用于基板处理腔室的隔离器设备和方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN115362538A (zh) * 2020-04-06 2022-11-18 朗姆研究公司 气体注射器的陶瓷增材制造技术
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
CN115812245A (zh) * 2020-09-18 2023-03-17 株式会社国际电气 基板处理装置、半导体装置的制造方法以及程序
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639586A (zh) * 2020-12-16 2022-06-17 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7160421B1 (ja) 2022-02-10 2022-10-25 株式会社シー・ヴィ・リサーチ 成膜装置、成膜方法及びガスノズル

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523382A (en) * 1918-05-17 1925-01-13 Golden State Milk Products Com Atomizing nozzle
US1679830A (en) * 1924-07-28 1928-08-07 Lang Adolf Liquid-fuel burner
US2551114A (en) * 1948-03-24 1951-05-01 Daniel And Florence Guggenheim Two-liquid feeding device for combustion chambers
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
KR100854995B1 (ko) 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
CN100421807C (zh) * 2005-12-09 2008-10-01 北京北方微电子基地设备工艺研究中心有限责任公司 单进气双区可调喷嘴
FR2899313B1 (fr) * 2006-03-31 2008-05-09 Huau Christian Bernard Louis Bruleur polyvalent a flamme creuse pour hydrocarbures
CN100576438C (zh) * 2006-11-15 2009-12-30 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
US20080110567A1 (en) 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes

Also Published As

Publication number Publication date
US20110198417A1 (en) 2011-08-18
US9779917B2 (en) 2017-10-03
CN102763199A (zh) 2012-10-31
US20140374509A1 (en) 2014-12-25
KR20120139741A (ko) 2012-12-27
TWI539517B (zh) 2016-06-21
US8828182B2 (en) 2014-09-09
TW201135839A (en) 2011-10-16
WO2011100293A3 (en) 2011-12-15
CN102763199B (zh) 2016-01-20
WO2011100293A2 (en) 2011-08-18

Similar Documents

Publication Publication Date Title
KR101603176B1 (ko) 프로세스 챔버 가스 유동 개선들
US10626500B2 (en) Showerhead design
US10714372B2 (en) System for coupling a voltage to portions of a substrate
US10763150B2 (en) System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
CN113186519B (zh) 具有导通控制的化学沉积设备
KR102232748B1 (ko) 기판을 가공하기 위한 플라즈마 처리 장치 및 플라즈마 처리에 의해 처리된 기판의 면내 균일성을 제어하는 방법
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
US8840725B2 (en) Chamber with uniform flow and plasma distribution
KR20110114538A (ko) 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치
US11121009B2 (en) Power feeding mechanism and method for controlling temperature of a stage
US20220213959A1 (en) Chamber body design architecture for next generation advanced plasma technology
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
KR102083854B1 (ko) 기판 처리 장치 및 기판 처리 방법
TWI634598B (zh) Process chamber and semiconductor processing device
JPH10294307A (ja) プラズマ処理装置
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
JP4405496B2 (ja) プラズマ処理装置
US9142435B2 (en) Substrate stage of substrate processing apparatus and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant