KR200454281Y1 - 온도 제어 샤워헤드 - Google Patents

온도 제어 샤워헤드 Download PDF

Info

Publication number
KR200454281Y1
KR200454281Y1 KR2020080013796U KR20080013796U KR200454281Y1 KR 200454281 Y1 KR200454281 Y1 KR 200454281Y1 KR 2020080013796 U KR2020080013796 U KR 2020080013796U KR 20080013796 U KR20080013796 U KR 20080013796U KR 200454281 Y1 KR200454281 Y1 KR 200454281Y1
Authority
KR
South Korea
Prior art keywords
showerhead
contact plate
temperature
plate
stem
Prior art date
Application number
KR2020080013796U
Other languages
English (en)
Other versions
KR20090003703U (ko
Inventor
헤너 메인홀드
댄 엠. 더블
스테판 라우
빈스 윌슨
이에워 스리니마산
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Priority to KR2020080013796U priority Critical patent/KR200454281Y1/ko
Publication of KR20090003703U publication Critical patent/KR20090003703U/ko
Application granted granted Critical
Publication of KR200454281Y1 publication Critical patent/KR200454281Y1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학적인 기상 증착법(CVD)을 위한 온도 제어된 샤워헤드 챔버는 전기적인 히터를 사용하여 정밀한 온도 제어를 가능하게 하기 위해 열을 분산하는 것을 강화시킨다. 열은 후방 플레이트로부터 복사(radiation) 및 유체 통로와 샤워헤드 스템을 통하여 수행됨으로써 분산된다. 온도 제어 시스템은 열 교환기에 연속적으로 연결된 유체 통로를 갖는 CVD 챔버 내에서 하나 이상의 온도 제어된 샤워헤드를 포함한다.

Description

온도 제어 샤워헤드{TEMPERATURE CONTROLLED SHOWERHEAD}
본 고안은 기판(substrate) 상에 박막(film)을 퇴적시키기 위한 장치 및 시스템에 관한 것이다. 특히, 본 고안은 반응 챔버로 가스를 주입하기 위한 화학적인 기상 증착(chemical vapor deposition, CVD) 장치에 관한 것이다. 보다 상세하게, 본 고안은 온도 제어된 샤워헤드(temperature controlled showerhead)와 이의 온도 제어 시스템에 관한 것이다.
CVD 샤워헤드 반응기(reactors)는 제 2 평행한 평면 표면 상부로 가능한 한 일정하게 반응체(reactant) 및 캐리어 가스를 분배하기 위해 관통되거나 다공(porous) 평면 표면을 채택한다. 이러한 형상은 다중 기판의 지속적인 일괄 처리(batch processing) 또는 단일의 둥근 웨이퍼 처리를 위해 사용될 수 있다. 웨이퍼는 웨이퍼 표면 상에 박막을 증착시키고 반응 가스가 상호 작용하는 처리 온도로 일반적으로 가열된다.
샤워헤드 반응기(showerhead reactors) 또는 평행 플레이트 반응기는 가령 플라즈마-화학기상증착법(plasma-enhanced chemical vapor deposition, PECVD)인 플라즈마가 증착된 처리의 수행으로 이들을 야기시킨다. 대부분의 PECVD 반응기에 있어 상단 및 최저부 전극은 동일한 크기이다. 웨이퍼 전극은 기판 지지부일 수 있고 접지(grounded)될 수 있으며 상기 샤워헤드는 인가된 RF 파워(RF power)를 가질 수 있다. 바이어스 RF 파워(bias RF power)는 상기 기판 지지부로 인가될 수 있다. 상기 샤워헤드 내 인가된 RF는 챔버로 가스 공급 라인 내 기생 방전(parasitic discharge)의 형성을 회피하기 위해 상기 가스 공급 시스템 내에서 절연 단면(insulating sections)을 필요로 할 수 있다. RF 파워는 상기 샤워헤드가 접지되는 동안 기판 지지부 전극을 통하여 인가될 수 있다.
웨이퍼 대 웨이퍼 균일성(Wafer-to-wafer uniformity)은 웨이퍼 대 웨이퍼로부터 반응 온도를 가변화시킴으로써 영향받을 수 있으며, 시간 대비 샤워헤드 구성 요소의 방사율의 변화와 처리 조건, 세척 사이클, 아이들링 타임(idling time)은 가스 반응 온도 뿐만 아니라 기판 또는 웨이퍼를 모두 영향 줄 수 있다. 비록 많은 웨이퍼가 지속적인 일괄 처리이후 상기 샤워헤드가 균형 온도(equilibrium temperature)에 이른다 하더라도, 이러한 요인들은 균형 온도에 이르기 전에 증착 사이클(deposition cycles)의 개수 또는 균형 온도에 영향을 줄 수 있다. 또한, 다중 스테이션 챔버에서 샤워헤드 온도는 스테이션으로부터 스테이션까지 가변화될 수 있다. 예를 들어, 스테이션 1 지점에서 냉각 인커밍 웨이퍼(cool incoming wafers)는 샤워헤드의 점진적인 냉각을 야기할 수 있다. 샤워헤드의 열 사이클은 샤워헤드 자체로부터 서로 다른 열 팽창계수를 가지는 샤워헤드 상에 코팅으로부터 소립자(particles)를 형성할 수 있다.
그러므로 양호한 웨이퍼 대 웨이퍼 균일성을 갖는 제조할 가치 있는 장비를 형성하기 위하여 챔버 내 각각의 샤워헤드의 온도를 정밀하게 제어하는 것이 바람직하다. 상기 샤워헤드는 양호한 웨이퍼 대 웨이퍼 균일성을 유지하는 동안 생산량(throughput)을 감소시키거나 또는 자국(footprint)을 증가시킴없이 가장 적은 비용으로 생산될 수 있고 소립자가 형성됨이 없이 설계될 수 있다.
온도 제어 CVD 샤워헤드와 강화된 온도 분산을 갖는 온도 제어 시스템은 빠른 반응으로 온도 제어를 정밀하게 할 수 있고 안정화시킬 수 있다. 정밀한 온도 제어는 일괄 처리를 일괄 처리로 지속적인 일괄 처리 이내에서 웨이퍼 대 웨이퍼 비균일성을 감소시킨다. 증가된 열 분산과 히터는 작동 환경의 변화가 상기 시스템을 교란할 때 온도 설정 지점으로 빠른 회복을 가능케할 수 있다. 증가된 열 분산은 샤워헤드 스템을 통하여 증가된 전도에 의해 구현되고, 추가적인 대류 냉각(convective cooling)은 후방 플레이트로부터 증가된 방사선과 유체 통로 내 유체를 사용한다. 온도 제어 시스템은 샤워헤드 유체 통로에서 흐르는 대류 냉각을 순차적으로 냉각시키는 열 교환기를 포함한다. 추가적으로, 샤워헤드 온도는 최적화 처리를 위한 추가적인 파라미터를 제공할 수 있다.
어느 한 측면에서, 본 고안은 대류 냉각 유체 통로를 갖는 스템(stem), 상기 스템에 열적으로 커플 결합된 후방 플레이트, 상기 후방 플레이트로 물리적으로 부착된 히터, 후방 플레이트로 열적으로 커플 결합된 접촉 플레이트(face plate)와 접촉 플레이트의 온도를 측정하기 위한 온도 센서를 포함하는 온도 제어 CVD 샤워헤드에 관한 것이다. 온도 센서는 접촉 플레이트로 부착된 열전쌍(thermocouple)일 수 있다. 또한 적외선 방사선, 형광 발광 또는 고온 측정법(pyrometry)에 기초된 온도 측정을 위한 비접촉 방법이 채택될 수 있다. 상기 후방 플레이트는 알루미늄 또는 알루미늄 합금으로 형성될 수 있다. 후방 플레이트의 외부 표면은 방사율(emissivity)을 증가시키기 위해 재료로 코팅 처리될 수 있다. 상기 코팅 처리는 양극 처리된 알루미늄(anodized aluminum)일 수 있다. 상기 히터는 전기 저항 히터(electrical resistance heater)이고 후방 플레이트 내에서 둘러싸여 구현될 수 있다. 상기 접촉 플레이트는 알루미늄, 양극 처리되거나 또는 코팅 처리된 알루미늄 또는 고온, 화학 및 플라즈마 저항이 되도록 설계되는 그 외 다른 금속으로 제조될 수 있다.
상기 스템은 채널을 수용하고 채널을 통하여 반응물과 캐리어 가스는 접촉 플레이트로 흐르며, 상기 가스는 접촉 플레이트 내 홀 또는 관통 구멍을 통하여 분배된다. 방지 플레이트(baffle plate) 또는 다소의 그 외 다른 분배 장치는 균등하게 가스를 분포시키는 것을 보조하기 위해 접촉 플레이트와 가스 채널 단부 사이에서 위치될 수 있다. 또한, 상기 스템은 대류 냉각 유체 통로를 수용하고 이를 통하여 냉각 유체가 샤워헤드를 냉각시키기 위하여 흐를 수 있다. 유체 통로가 구성되어 반응물 및 캐리어 가스를 샤워헤드로 운반하는, 스템 내 반응물 채널로부터 이격된다. 대류 냉각 유체는 입구에서 스템으로 유입되고 하나 이상의 출구 채널을 통하여 스템에서 유출될 수 있다. 스템에서, 유입 또는 유출 채널 또는 통로의 양 채널은 상기 유체와 상기 표면 사이에서 전도성 열 전달을 위해 설계된 나선형 경로 또는 다소의 그 외 다른 구불 구불한 경로를 형성할 수 있다. 상기 냉각 유체는 건조한 공기, 아르곤, 헬륨, 질소, 수소 또는 이들의 혼합물을 세척할 수 있다. 비 록 바람직하지 않지만, 액체 냉각제에 기초된 오일 또는 물이 대류 냉각 유체로써 사용될 수 있다. 특히, CDA가 대략 50-100 프사이(psi)의 압력으로 실리콘 웨이퍼 제조 공장(fab facilities)에 의해 제공될 수 있다. 또한 상기 CDA는 연속적으로 하나 이상의 샤워헤드로 연결된 열 교환기에 의해 냉각될 수 있다. 일련의 냉각은 CDA가 열 교환기에 의해 중간 냉각(intermediate cooling)을 갖는 다양한 샤워헤드로 공급될 수 있다. 예를 들어, CDA는 열 교환기에 의해 냉각된 제 1 샤워헤드로 공급될 수 있고, 열 교환기에 의해 냉각된 제 2 샤워헤드로 공급될 수 있으며, 열 교환기에 의해 냉각된 제 3 샤워헤드로 공급될 수 있고, 열 교환기에 의해 냉각된 제 4 샤워헤드로 공급될 수 있으며 이후 배출된다. 상기 장치는 사용된 공기의 양을 최소화시키고 안전 위험을 제거하며 배출의 낮은 온도를 보장한다.
접촉 플레이트는 홀 또는 관통 구멍을 포함하고 이를 통하여 가스 반응물은 웨이퍼로 흐른다. 접촉 플레이트는 서로 다른 크기의 홀 패턴의 다양한 형상물을 가질 수 있다. 상기 접촉 플레이트는 홀 패턴을 세척하거나 또는 변화시키는 것을 촉진하기 위하여 후방 플레이트로 제거 가능하게 부착될 수 있다. 접촉 플레이트의 온도는 접촉 플레이트와 열적인 접촉 및 물리적인 열전쌍(thermocouple)에 의해 측정될 수 있거나 또는 광학적인 온도 측정과 같이 RF 간섭(interferences)에 보다 덜 영향받을 수 있는 그 외 다른 수단에 의해 측정될 수 있다. 열전쌍(thermocouple)이 사용되면, 후방 플레이트와 접촉 플레이트 사이 스탠드오프(standoff) 및 스템(stem)을 통하여 상기 접촉 플레이트로 연결될 수 있다. 고주파(RF) 필터는 인가된 RF로부터 샤워헤드까지 온도 신호에 있어 간섭을 감소시키거 나 또는 제거하기 위하여 상기 열전쌍에 전기적으로 커플 결합될 수 있다.
또한 RF 필터는 상기 히터로 전기적으로 커플 결합될 수 있다. 상기 히터와 열전쌍 중 어느 하나 또는 2개 모두는 증착(deposition) 과정 중에 사용된 일정 주파수의 RF 파워로부터 이격되어 분리될 수 있다. 제어기는 상기 접촉 플레이트 지점에서 목적 온도를 유지하기 위하여 상기 열전쌍 및 히터로 커플 결합될 수 있다.
또 다른 측면에 있어서, 본 고안은 CVD 챔버 내 하나 이상의 샤워헤드 온도를 제어하기 위한 온도 제어 시스템에 관한 것이다. 상기 시스템은 CVD 챔버 및 냉각 시스템을 포함한다. 상기 CVD 챔버는 하나 이상의 온도 제어 샤워헤드를 포함한다. 각각의 샤워헤드는 상기 접촉 플레이트의 온도를 측정하기 위한 열전쌍과 스템, 후방 플레이트, 접촉 플레이트를 포함한다. 상기 스템은 대류 냉각 유체 통로를 포함하고 후방 플레이트로 열적으로 커플 결합되며, 이는 상기 접촉 플레이트로 열적으로 커플 결합된다. 상기 냉각 시스템은 샤워헤드 사이에서 각각의 샤워헤드와 열 교환기를 통하여 일련의 흐름 냉각 유체에 대한 각각의 샤워헤드 내 대류 냉각 유체 통로로 연결된다. 상기 냉각 시스템은 액체 냉각된 열 교환기와 대류 냉각 유체 통로에 대한 연결체를 포함한다. 또한, 온도 제어 시스템은 열전쌍으로 커플 결합된 제어기와 후방 플레이트로 물리적으로 부착된 히터를 포함할 수도 있다.
대류 냉각 유체는 깨끗한 건조 공기(CDA), 아르곤, 헬륨, 질소, 수소 또는 이들의 복합물이 될 수 있다. 상기 대류 냉각 유체는 실리콘 제조 공장 연결부를 통하여 운반될 수 있고 CDA가 될 수 있다. 상기 CDA는 대략 50-100 프사이(psi)의 압력으로 운반될 수 있고 제 1 샤워헤드 스템에 대한 주변 온도(ambient temperature)가 될 수 있다. 상기 CDA는 서로 다른 냉각 샤워헤드 사이에서 연속적으로 냉각될 수 있으며, 이는 상기 동일한 공구 상에 동일한 처리 챔버 내에서 형성될 수 있거나 또는 형성되지 않을 수 있다. 어느 한 열 교환기는 하나 이상의 공구에 대한 하나 이상의 챔버 내에서 샤워헤드를 냉각시키기 위해 사용될 수 있다. CDA는 최종 냉각 이후 주변 압력 및/또는 주변 온도에서 최종적으로 배출될 수 있다. 상기 열 교환기 내 액체 냉각제는 물 또는 또 다른 액체 냉각제로 촉진될 수 있다. 열 교환기는 박힌 냉각제 라인(embedded coolant line)과 대류 냉각 유체 라인을 갖는 캐스트 메탈 블록(cast metal block)일 수 있다. 상기 캐스트 메탈(cast metal) 재료는 알루미늄일 수 있다. 또한, 상기 냉각 시스템은 상기 냉각 시스템으로부터 하나 이상의 샤워헤드를 이격시키기 위해 형성된 하나 이상의 바이패스 루프(bypass loops)를 포함할 수 있다. 또한, 상기 냉각 시스템은 냉각의 양을 제어하기 위하여 각각의 샤워헤드로 냉각 유체의 흐름 비율(flowrate)을 조정하거나 또는 제어하기 위해, 상기 제어기에 커플 결합된 흐름 모듈레이터(flow modulators)를 포함할 수 있다. 다소의 실시예에 있어서, 또한 상기 CVD 챔버는 높은 방사율(emissivity)을 갖는 코팅을 가지는 챔버 상단(chamber top)을 포함할 수 있다. 상기 코팅은 챔버 상단의 표면 내측부 상에 형성될 수 있고 양극처리 알루미늄이 형성될 수 있다.
또한 또 다른 측면에 있어서, 본 고안은 CVD 샤워헤드 온도를 제어하기 위한 온도 제어 시스템에 관한 것이다. 상기 시스템은 상기 샤워헤드로 열적으로 커플 결합된 냉각 수단, 상기 샤워헤드로 열적으로 커플 결합된 가열 수단, 상기 샤워헤 드의 접촉부에 열적으로 커플 결합된 온도 감지 수단, 온도 감지 수단과 가열 수단에 전기적으로 커플 결합된 RF 필터링 수단 및 온도를 제어하기 위한 제어 수단을 포함한다. 또한 상기 시스템은 방사 냉각 수단(radiative cooling means)과 대류 냉각 수단(convective cooling means)을 포함할 수 있다.
어느 한 측면에 있어서, 본 고안은 대류 냉각 유체 통로를 갖는 스템, 상기 스템에 열적으로 커플 결합된 후방 플레이트, 상기 후방 플레이트로 열적으로 커플 결합된 접촉 플레이트를 포함하는 온도 제어 CVD 샤워헤드에 관한 것이다. 상기 대류 냉각 유체 통로는 상기 통로를 나가는 냉각 유체체가 상기 샤워헤드와 동일한 온도가 되도록 설계될 수 있다. 또한, 상기 샤워헤드 장치는 플라즈마 RF 간섭 범위(plasma RF interference range)의 외측부에 위치하는 유출 냉각 유체를 측정하기 위한 온도 센서를 포함할 수 있다. 상기 온도 센서는 적외선 방사, 형광 발광 또는 고온 측정계에 기초된 온도 측정을 위한 비접촉 방법 또는 열전쌍(thermocouple)이 될 수 있다. 후방 플레이트는 알루미늄 또는 알루미늄 합금으로 제조될 수 있다. 상기 후방 플레이트의 외부 표면은 방사율을 증가시키기 위한 재료로 코팅될 수 있다. 상기 코팅은 양극 처리 알루미늄이 될 수 있다. 다소의 실시예에 있어서, 히터는 상기 후방 플레이트로 부착될 수 있으며, 이는 전기 저항 히터가 될 수 있으며 상기 후방 플레이트 내에서 구현될 수 있다.
또 다른 측면에 있어서, 본 고안은 CVD 챔버 내 샤워헤드 온도를 제어하기 위한 온도 제어 시스템에 관한 것이다. 상기 시스템은 하나 이상의 온도 제어 샤워헤드, 대류 냉각 유체 통로에 유체적으로 커플 결합된 냉각 시스템, 제어기를 갖는 CVD 챔버를 포함할 수 있다. 각각의 샤워헤드는 대류 유체 통로를 가지는 스템, 상기 스템에 열적으로 커플 결합된 후방 플레이트, 상기 후방 플레이트로 열적으로 커플 결합된 접촉 플레이트를 포함할 수 있다. 상기 냉각 시스템은 대류 냉각 유체 통로에 대한 유입구 및 유출구, 액체 냉각 열 교환기, 흐름 모듈레이터, 상기 스템에서 나가는 대류 냉각 유체로 열적으로 커플 결합된 온도 센서를 포함할 수 있다. 상기 열 교환기는 상기 열 교환기에 의해 매개적으로 냉각되고 하나 이상의 샤워헤드의 통로를 통하여 연속적으로 흐르는 대류 냉각 유체로부터 열을 제거할 수 있다. 상기 흐름 모듈레이터(flow modulators)는 상기 제어기로부터 받는 정보에 기초된 각각의 샤워헤드로 대류 냉각 유체의 흐름 비율을 제어할 수 있다. 상기 온도 센서는 접촉 플레이트의 온도를 상기 제어기가 결정할 수 있도록 하기 위하여 샤워헤드를 나가는 유체의 온도를 측정할 수 있다. 상기 제어기는 접촉 플레이트 온도를 결정하고 제어하기 위하여 흐름 모듈레이터와 온도 센서로 커플 결합될 수 있다. 다소의 경우에 있어서, 히터는 후방 플레이트로 부착될 수 있고 열을 제공하기 위하여 제어기로 커플 결합될 수 있다.
또한 또 다른 측면에 있어서, 본 고안은 CVD 용 온도 제어 샤워헤드 접촉 플레이트에 관한 것이다. 상기 접촉 플레이트는 실질적으로 편평하고 원형인 전방 표면과 후방 표면을 포함한다. 상기 후방 표면은 상기 후방 플레이트로 접촉 플레이트를 부착하기 위한 많은 나사가 형성된 블라인드 홀 및 하나 이상의 결합 특징부(mating features)를 포함할 수 있다. 또한, 상기 접촉 플레이트는 상기 접촉 플레이트의 또 다른 측부 상에서 상기 샤워헤드 스템으로부터 상기 처리 영역으로 가스 흐름을 위한 수 많은 작은 관통 홀(through holes)을 포함할 수 있다. 상기 작은 관통 홀은 대략 0.01 내지 0.5 인치 또는 대략 0.04 인치의 직경을 갖는 대략 10 내지 10,000, 2 내지 5000, 3 내지 4000 또는 200 내지 2000개의 홀을 형성할 수 있고 불균일한 분포를 가진 홀 패턴을 형성할 수 있다. 상기 접촉 플레이트는 대략 0.25 내지 0.5 인치, 또는 대략 0.125 내지 0.5 인치 또는 대략 0.25 내지 0.375의 두께를 가질 수 있으며, 높은 온도와 화학 및 플라즈마 저항이 형성되는 알루미늄, 양극 처리 또는 코팅 형성 알루미늄 또는 그외 다른 금속으로 제조될 수 있다. 또한 열전쌍 접촉 홀이 포함될 수 있다. 상기 접촉 플레이트는 하나 이상의 맞물림 결합 특징부를 통하여 상기 후방 플레이트로 제거 가능하게 부착되도록 형성된다. 상기 맞물림 결합 특징부는 후방 표면, 요홈, 다수의 나사가 형성된 블라인트 홀 및 맞물려 결합하는 턱(jaw) 위로 주변 측부벽으로 형성될 수 있다.
이러한 특징 및 그 외 다른 특징과 본 고안의 장점은 첨부된 도면과 관계하여 보다 상세하게 하기에서 설명될 것이다.
본 고안의 수반하는 상세한 설명에 있어서, 많은 특징적인 실시예가 본 고안의 전체적인 이해를 제공하기 위하여 출발한다. 그러나, 종래 기술의 당업자에게 자명함에 따라, 본 고안은 특정한 설명없이 실행될 수 있거나 또는 대안적인 요소 또는 처리 과정을 사용함으로써 실행될 수 있다. 그 외 다른 경우에 있어 잘 알려진 처리 과정, 절차 및 구성 요소는 본 고안의 불필요하게 불명료하지 않도록 하기 위하여 보다 상세하게 설명되지 않는다.
상기 어플리케이션에 있어서, "기판" 및 "웨이퍼"의 용어는 교체 가능하게 사용될 수 있다. 다음의 상세한 설명은 반도체 처리 장치에서 수행된다. 그러나, 본 고안은 제한되지 않는다. 상기 장치는 다양한 형태, 크기 및 재료의 가공 제작품(work pieces)을 처리하기 위해 이용될 수 있다. 반도체 웨이퍼에 추가적으로, 본 고안을 이용하는 그 외 다른 제작품은 디스플레이 표면 평면 인쇄회로기판 등과 같은 다양한 물품을 포함한다.
샤워헤드 온도는 시간에 대해 드리프트(drift) 형성되고 반응 비율과 박막 특성의 견지에서 증착 작용에 영향을 준다. 도 1은 어떠한 온도 제어 즉, 가열 또는 냉각이 없이 50 웨이퍼 런(50 wafer run)에 대한 4개의 샤워헤드 온도 그래프이다. 4개의 스테이션 챔버 내 4개의 샤워헤드는 대략 4000 초(seconds) 동안에 50 웨이퍼 런에 대해 구성된다. 스테이션 1 샤워헤드는 라인 102에 대응하고 스테이션 2는 라인 104에 대응되며, 스테이션 3은 라인 106에 대응하며, 스테이션 4는 라인 108에 대응된다. 시간이 흐름에 따라, 스테이션 2 내지 4의 온도는 대략 3700초에서 안정한 상태의 온도에 이를 때까지 증가한다. 플라즈마 조건은 라인 110에서 단계적인 기능(step function)으로써 구성된다. 초기에, 상기 플라즈마는 샤워헤드를 예열 작동하기 위해 모조 증착 모드(dummy deposition mode)로 남아 있으며 대략 10분 이후 상기 웨이퍼 처리 공정이 시작된다. 스테이션 1에서, 상기 웨이퍼가 처 리 온도로 예열 작동함에 따라 스테이션 1에서 각각의 유입 웨이퍼가 샤워헤드를 포함하는 챔버 구성 요소를 냉각시키기 때문에 웨이퍼 처리 공정이 시작된 이후 상기 온도는 점차적으로 감소되기 시작한다. 이와 같이 다음의 스테이션 내 온도 곡선은 점차 보다 높아진다. 스테이션 2 샤워헤드는 스테이션 2로 유입되는 웨이퍼가 스테이션 3으로 유입되는 웨이퍼보다 더 냉각되기 때문에 스테이션 3 샤워헤드보다 더 냉각된다. 모든 스테이션에 대하여, 상기 샤워헤드 온도는 다소의 시간 이후 균형 온도에 이른다.
도 1은 다중 스테이션 챔버 내 처리되는 웨이퍼가 각각의 스테이션에서 서로 다른 샤워헤드 온도를 실험하는 것을 도시한다. 이와 같이 상기 샤워헤드 온도가 증착된 박막 특성에 영향을 줄 때, 웨이퍼 상에 증착된 각각의 층은 다소 서로 다른 특성을 가진다. 샤워헤드 온도에 대해 민감한 CVD 처리의 어느 한 실시예는 실리콘 질화물 스페이서(silicon nitride spacer)이다. 샤워헤드에 민감한 CVD 처리의 또 다른 실례는 테트라에칠로소실리케이트(tetraethylorthosilicate, TEOS)이다.
도 2A는 서로 다른 샤워헤드 온도 이하에서 증착된 박막 두께를 도시한다. 그 외 다른 모든 처리 파라미터(process parameters)가 동일하고, 더욱이 박막은 보다 높은 샤워헤드 온도에서 증착된다. 이와 같이 가령, 다소의 유휴 시간(idle time) 또는 챔버 세척 이후 웨이퍼 런(wafer run)의 시작에서 증착된 박막 두께는 상기 샤워헤드 온도가 균형에 이른 이후 증착된 박막 두께보다 더 작게 형성된다. 상기 박막에 따라, 그러한 두께 차이는 제조된 최종 장치의 수행으로 영향(impact) 을 받을 수 있거나 또는 영향을 받을 수 없다. 도 2B는 실리콘 질화물 스페이서 박막 특성-장력(stress) 상에서 샤워헤드 온도를 도시한다. 상기 샤워헤드 온도가 증가함에 따라, 상기 장력은 감소한다. 특히 트랜지스터 레벨(transistor level)에서 증착된 박막 장력은 장치 수행에 대해 큰 영향을 줄 수 있다. 이와 같이 목표 장력은 샤워헤드 온도를 조작하여 구현될 수 있다. 샤워헤드 온도 조절하는 기능은 또 다른 처리 파라미터를 제공하고 상기 처리 파라미터로 목표 박막 특성을 구현하고 박막 특성 및 증착 두께에서 웨이퍼 대 웨이퍼 변형물(균일하지 않음)을 감소시킨다.
온도 제어 샤워헤드
온도 제어 샤워헤드는 개별적인 하위-층과 벌크 박막(bulk film) 모두에 대해 웨이퍼 대 웨이퍼 균일성(wafer-to-wafer uniformity)을 향상시키고, 비처리 지연(non-processing delays)을 제거함으로써 생산량을 증가시키며, 열 사이클링(thermal cycling)을 감소시키거나 또는 제거함으로써 소립자(particles)를 감소시키고, 미세 조정 박막 특성(tuning film properties)용 다양한 처리 파라미터를 추가한다. 박막 웨이퍼 대 웨이퍼 균일성은 웨이퍼의 지속적인 일괄 처리(batch of wafers)에 대해 보다 덜 가변화되기 때문에 향상된다(일괄 처리 이내 및 어느 한 일괄처리에서 또 다른 일괄처리와, 공구 조건과 독립적임).
이는 상기 샤워헤드가 냉각될 때 일괄처리에 있는 제 1 웨이퍼와 상기 샤워헤드가 균형 온도에 이를때 일괄 처리에 있는 최종 웨이퍼 사이에서 박막 특성에 있어 차이점을 감소시킨다. 동일한 온도로 형성되도록 챔버 내 모든 샤워헤드를 제 어함으로써, 서로 다른 하위-층 내 박막 특성 균일성은 개선된다. 가령, 샤워헤드를 가열하기 위한 모조 증착 시간(dummy deposition time)인 비처리 시간(non-processing time)이 제거되어 생산량을 증가시킬 수 있다. 열 사이클링(thermal cycling)은 상기 샤워헤드 온도가 샤워헤드를 냉각시키도록 허용하는 대신 상기 스테이션이 아이들(idle) 상태이거나 또는 세척되는 동안 유지되기 때문에 감소될 수 있다. 열 사이클링에 있어 감소는 상기 구성 요소상의 코팅과 챔버 구성 요소 사이 서로 다른 열 팽창 계수의 효과를 감소시키고 이에의해 소립자를 감소시킨다. 다소의 CVD 처리 과정에 대해 전술된 바와 같이, 목적 박막 특성은 그 외 다른 처리 파라미터와 함께 상기 샤워헤드 온도를 제어함으로써 구현될 수 있다. 예를 들어 높은 장력을 가진 실리콘 질화물 스페이서에 대해 낮은 샤워헤드 온도가 바람직하다.
일반적으로 샹들리에(chandelier)형태와 플러쉬 마운트(flush mount)인 2개의 CVD 샤워헤드의 주요 형태가 있다. 샹들리에와 유사한, 상기 샹들리에 샤워헤드는 어느 한 단부 상에 챔버의 상단 및 또 다른 단부 상에 접촉 플레이트에 부착된 스템(stem)을 가진다. 상기 스템의 일부분은 RF 파워와 가스 라인의 연결을 가능하게 하기 위해 챔버를 돌출시킬 수 있다. 상기 플러쉬 마운트 샤워헤드(flush mount showerheads)는 챔버 상단으로 일체로 형성되고 스템을 가지지 않는다. 본 고안은 샹들리에 형태 샤워헤드가 제어된 온도와 관계된다.
온도를 제어하기 위하여, 상기 샤워헤드 온도에 기초된 열이 추가되거나 도는 제거된다. 상기 샤워헤드 온도는 (1)충전된 소립자(charged particles)가 에너지를 분할하기 위해 샤워헤드와 충돌하고, (2)상기 인가된 RF 에너지가 상기 샤워 헤드와 커플 결합되며, 및/또는 (3)외부 열은 가령 전기 히터로부터 전기 에너지에 의해 의도적으로 추가되기 때문에 상기 플라즈마가 작동될 때 증가한다. 상기 샤워헤드 온도는 쿨러 재료가 가령, 보다 낮은 온도에서의 반응 가스 또는 주변 온도에서 웨이퍼인 상기 챔버로 유입될 때 감소하고, 챔버 천장까지 샤워헤드 스템 재료를 통하여 열 전도를 수행하고 상기 샤워헤드 표면으로부터 방사에 의해 열이 제거되는 경우 샤워헤드 온도는 감소한다. 다소의 상기 열의 경우 일반적인 챔버 작동의 부분으로써 발생되고, 그 외 다른 열은 샤워헤드 온도를 제어하기 위햐 사용될 수 있다.
도 3A, 도 3B 및 도 3C는 본 고안의 다양한 실시예에 따르는 샤워헤드의 횡단면도이다. 도 3A와 관련하여, 상기 샤워헤드(300)는 스템(stem, 304), 후방 플레이트(back plate, 306)과 접촉 플레이트(face plate, 310)를 포함한다. 상기 스템(304)은 상부 및 하부 단면으로 분배될 수 있고, 이는 서로 다른 직경을 가진다. 어느 한 실시예에 있어서, 상기 상부 스템은 대략 1.5 내지 2 인치, 바람직하게 대략 1.75 인치의 직경을 가진다. 상기 하부 스템 직경은 대략 2 내지 2.5인치, 바람직하게 대략 2.25 인치이다. 상기 접촉 플레이트 직경은 바람직하게 상기 웨이퍼 크기의 대략 100% 내지 125% 크기인, 상기 웨이퍼 크기보다 다소 보다 크거나 또는 유사하고 다소 보다 크게 형성될 수 있다. 예를 들어, 300mm(12인치) 처리 공정 챔버에 있어 상기 접촉 플레이트 직경은 대략 13 인치 또는 대략 15인치의 크기로 형성될 수 있다. 상기 접촉 플레이트 및 후방 플레이트는 대략 0.25 내지 0.5 인치 또는 대략 0.125 내지 0.5 인치의 두께를 각각 가질 수 있다. 상기 접촉 플레이트 는 알루미늄, 양극이 형성되거나 코팅 형성된 알루미늄, 또는 높은 온도, 화학 및 플라즈마 저항되도록 고안되는 그 외 다른 재료로 제조될 수 있다.
어느 한 실시예에 있어서, 상기 후방 플레이트는 대략 0.5인치 두께이고 상기 접촉 플레이트는 대략 3/8인치이다. 반응물 가스(reactant gases)는 샤워헤드 스템(304) 내 가스 유입 채널(302)을 통하여 도입되고, 상기 후방 플레이트(306)를 지나 흐르고 상기 후방 플레이트(306)과 상기 접촉 플레이트(310) 사이 매니폴드 영역(308)에 유입된다. 도 3B에 있어서, 방지재(baffle, 312)는 상기 매니폴드 영역(308)을 통하여 균등하게 상기 가스를 분배한다. 상기 방지재(312)는 수 많은 나사못(344)과 방지재 플레이트 내 나사못 홀(342) 또는 나사못 삽입구(threaded inserts)를 경유하여 상기 후방 플레이트(306)로 부착될 수 있다. 상기 매니폴드 영역의 체적은 상기 후방 플레이트와 접촉 플레이트 사이 간극에 의해 형성된다. 상기 간극은 대략 0.5 내지 1 인치, 바람직하게 대략 0.75인치로 형성될 수 있다. 상기 간극 내 일정한 가스 흐름을 유지하기 위하여, 상기 간극은 가령 3, 6 또는 10 위치인 다양한 위치에서 상기 후방 플레이트와 상기 접촉 플레이트 사이에 위치된 많은 분리기/스페이서(separator/spacers, 332)와 일정하게 유지할 수 있다. 도시된 바와 같이, 나사못(338)은 나사못 블라인드 홀(threaded blind holes, 328) 지점에서 상기 접촉 플레이트에 대해 분리기/스페이서(332)를 통하여 상기 후방 플레이트(306)를 고정시킨다. 그 외 다른 실시예에 있어서, 내부 나사못이 없거나 내부 나사못과 함께, 다양한 형태의 부싱(bushings) 또는 스페이서가 사용될 수 있다. 비록 도시된 나사못이 상기 접촉 플레이트로 후방 플레이트 및 나사못을 유입 시킨다 하더라도, 상기 반전 형상물(reverse configuration)이 사용될 수 있다. 예를 들어, 나사못은 상기 접촉 플레이트 내로 끼워 맞춤될 수 있고 스페이서를 통하여 후방 플레이트 내 관통 홀로 유입될 수 있다. 상기 나사못은 너트(nuts)를 사용하여 상기 후방 플레이트로 고정될 수 있다.
상기 가스는 웨이퍼의 표면 상에 증착을 야기하기 위하여 접촉 플레이트(310) 내 관통 구 또는 홀(334)를 통하여 상기 처리 영역으로 유입된다. 상기 관통 홀은 기계 가공되고, 밀링 가공되거나 또는 드릴링 가공될 수 있다. 각각의 홀은 직경이 대략 0.04인치 또는 직경이 0.01 내지 0.5인치가 될 수 있다. 다소의 홀은 서로 다른 크기를 가질 수 있다. 100 내지 10,000 또는 2 내지 5000 또는 대략 3 내지 4000 또는 대략 200 내지 2000 개의 홀들이 형성될 수 있다. 상기 홀은 가령 벌집 모양 패턴(honey comb pattern) 또는 점점 보다 커지는 원형과 같이 다양한 패턴으로 상기 접촉 플레이트에 걸쳐 균등하게 분포될 수 있다. 원하는 박막 균일성, 박막 프로파일과 가스 흐름을 포함한 다양한 요인들에 의존하여, 상기 홀은 상기 접촉 플레이트의 중앙에서 상대적으로 더 조밀하게 분포하거나 상기 접촉 플레이트의 변부에서 상대적으로 더 조밀하게 분포하는 불균일한 분포의 다양한 패턴을 가질 수 있다. 어느 한 실시예에 있어서, 상기 홀은 상기 중심으로부터 점점 더 추가적으로 이격되어 배치된 홀과 함께 일정하게 공간이 형성된 원형의 패턴을 가질 수 있다. 일반적으로, 다양한 홀 패턴과 밀도가 사용될 수 있다.
다소의 경우에 있어서, 상기 접촉 플레이트(310)는 상기 후방 플레이트(306) 에 착탈가능하게 부착되어 상기 관통구/홀 형상은 보다 용이하게 변화가능될 수 있고 상기 접촉 플레이트가 세척될 수 있다. 상기 접촉 플레이트(310)의 후방 표면은 상기 후방 플레이트로부터 부착하고 탈착하기 위한 맞물려 결합 가능한 특징(mating features)을 포함할 수 있다. 도시된 바와 같이, 결합 가능한 특징은 요홈(330) 및 나사못 블라인드 홀(346)이 될 수 있다. 상기 요홈(330)은 상기 후방 플레이트 상에 대응 립(corresponding lip) 상으로 맞물려 결합 가능하다. 후방 플레이트 또는 접촉 플레이트 상의 나사못 홀(340)은 원주 방향으로 위치되고 홀(346)과 매치 결합된다. 나사못은 상기 후방 플레이트와 접촉 플레이트를 함께 부착한다. 원주 방향으로 위치된 나사못의 개수는 4개 이상이 될 수 있고, 10개 이상이 될 수 있고 대략 24개이거나 또는 대략 50까지 형성될 수 있다. 후방 플레이트 및 상기 접촉 플레이트에 대한 그 외 다른 결합 특징이 사용될 수 있다. 예를 들어, 그 외 다른 고정 메카니즘은 스트랩 또는 클립을 포함할 수 있거나 또는 결합에 기초하여 형성된 단순한 마찰부가 상기 접촉 플레이트의 치수 형상화가 후방 플레이트 내 대응되는 수용부(receptacle)의 치수와 매치 결합되는 장소에 사용될 수 있다. 도 3A에서 도시된 바와 같이, 상기 접촉 플레이트는 레지(ledge)를 가지는 원주 방향 측부 벽(circumferential sidewall)을 포함할 수 있다. 상기 후방 플레이트는 상기 후방 플레이트는 상기 레지(ledge) 상에 위치될 수 있고 나사못으로 부착될 수 있다. 어느 한 실시예에 있어서, 연동하는 조 메카니즘(interlocking jaw mechanism)은 접촉 플레이트 또는 후방 플레이트의 주변 측부벽 변부 상에 특히 기계 가공된 노치(notches)가 대응물(counterpart) 상의 톱니와 결합하는(mate) 장소에서 사용된다. 후방 플레이트와 접촉 플레이트는 상기 샤워헤드가 가열되고 상기 톱니와 노치가 연장될 때 마찰에 의해 부착될 수 있다. 움직이지 않는 부분을 수반하는 상기 메카니즘은 나사못이 바람직할 수 있으며 상기 나사못(screws)은 나사산 형성되어야 하고 소립자(particles)를 벗겨 착탈시킬 수 있다. 게다가 가능한 또 다른 메카니즘은 각각의 대응물로 나사 형성될 수 있는 후방 플레이트 또는 접촉 플레이트의 주변 측부벽 상에 나사산(threads)을 수반한다. 결합 특징부와 고정 메카니즘에도 불구하고, 상기 후방 플레이트와 접촉 플레이트는 이들 사이에 양호한 전기 및 열 접촉을 유지하기 위하여 부착된다.
작동중에, 샤워헤드 접촉 플레이트는 챔버 내 스트레스가 많은 조건(stressful conditions)이 있을 수 있다. 예를 들어, 가령 300 ℃인 매우 높은 온도까지 변화로부터 열 스트레스(thermal stress)는 상기 재료를 강등하고 후방 플레이트 또는 접촉 플레이트를 랩(warp) 형성될 수 있다. 작동중 플라즈마는 소립자와 약한 지점을 야기하면서 표면 재료를 부식시킬 수 있다. 또한 반응물은 가령 불소 가스인 화학적인 침해(chemical attack)로 상기 접촉 플레이트를 부식시킬 수 있다. 박막이 상기 표면상에 형성되거나 또는 알루미늄 플루오르화물의 경우에 플라즈마 특성을 영향을 줄 때, 부산물(by products) 또는 반응 제품의 원하지 않는 증착은 처리 수행에 영향을 주는 가스 흐름 홀을 방해할 수 있으며, 소립자(particles)를 야기할 수 있다. 누적적으로, 이러한 경우는 소립자, 균일성 및 플라즈마 수행의 견해에 있어 처리 수행에 영향을 줄 수 있다. 상기 접촉 플레이트를 세척하거나 또는 교체하기 위한 기능은 전체 샤워헤드 조립체를 교체해야 할 필 요없이 비용이 효과적이다.
도 3A에 있어서, 히터(314)는 상기 후방 플레이트(306)으로 열적으로 부착될 수 있다. 상기 히터(314)는 전기 히터일 수 있으며 상기 후방 플레이트(306) 내에서 둘러싸일 수 있다. 상기 히터는 진공 브레이징 처리(vacuum brazing process)에 의해 부착될 수 있다. 상기 히터 코일(314)은 상기 스템을 통하여 상기 코일에 연결되는 히터 와이어(heater wires, 316)에 의해 제어된다. 상기 샤워헤드가 챔버 작동중에 높은 RF 에너지에 영향을 받기 때문에, 모든 히터 또는 일부 히터는 절연되고 RF로부터 이격된다. 상기 RF 격리는 EMI/RFI 필터 또는 그 외 다른 상업적으로 이용 가능한 RF 격리 장치를 통하여 수행될 수 있다. 다소의 실시예에 있어서, 상기 히터는 사용되지 않는다.
도 3C는 그 외 다른 요소를 강조하기 위하여(emphasize) 샤워헤드의 다소 서로 다른 횡단면을 도시한다. 열전쌍(thermocouple, 318)은 상기 접촉 플레이트 온도를 측정하기 위하여 접촉 플레이트(310)와 열적인 접촉을 형성한다. 상기 열전쌍(318)은 상기 후방 플레이트(306)와 접촉 플레이트(310) 사이 스탠드오프(standoff, 320)를 통하여 상부 스템으로부터 연결된다. 상기 접촉 플레이트(310) 지점에서, 상기 열전쌍은 열전쌍 접촉 홀 내 상기 접촉 플레이트 재료를 접촉할 수 있다. 상기 히터 와이어와 요소와 유사하게, 또한 상기 열전쌍은 RF로부터 이격되고 절연된다. 상기 RF 격리는 어느 한 주파수에서 RF 필터와 또 다른 주파수의 RF 트랩(trap)을 통하여 수행될 수 있다. 그 외 다른 실시예에 있어서, 그 외 다른 온도 센스 장치는 상기 접촉 플레이트의 온도를 측정하기 위하여 사용될 수 있다. 특히, 비접촉 온도 센서가 사용될 수 있다. 실례는 고온 측정법(pyrometry), 형광 발광에 기초된 온도 측정 및 적외선 온도 측정을 포함한다.
상기 온도가 제어된 샤워헤드는 전도, 대류 및 복사에 의해 열 제거가 보강된다. 열은 상기 샤워헤드 스템 자체를 통하여 이격되어 수행되고, 상기 샤워헤드 스템은 챔버 상단에 연결된다. 상기 스템 직경은 상기 챔버 상단으로 전도성 열 손실을 최대로 하기 위하여 설계될 수 있다. 또한 열은 상기 스템(304) 내 대류 냉각 유체 통로에서 흐르는 냉각 유체를 통하여 대류에 의해 제거된다. 도 3B의 실시예는 냉각 유체 유입구(322)를 포함하고, 상기 유입구를 통하여 가령 세척 건조 공기(CDA), 아르곤, 헬륨, 질소, 수소 또는 이러한 것들의 혼합물인 냉각 유체가 흐를 수 있다. 상기 유체는 상기 스템 하부에서 나선형 경로로 흐를 수 있다. 상기 나선형 경로는 대류 냉각 유체 통로의 개구부(324)를 통하여 도 3B에서 도시된다. 상기 냉각 유체는 하나 이상의 냉각 유체 출구 채널(326)을 통하여 상기 스템을 나간다. 어느 한 실시예에 있어서, 2개의 냉각 유체 출구 채널이 제공된다. 비록 본 명세서에서의 실례는 나선형 통로를 사용하고 2개의 출구 채널을 사용하지만, 종래 기술의 당업자들은 상기 샤워헤드로부터 상기 냉각 유체까지 열을 효과적으로 전달하기 위하여 또 다른 구불 구불한 통로를 설계할 수 있다.
상기 유체 냉각 채널이 설계되어 상기 유출 유체가 상기 샤워헤드 스템의 온도까지 완전하게 가열될 수 있다. 상기 접촉 플레이트 온도와 스템 온도가 서로 연관되기 때문에, 상기 유출 유체의 온도를 측정함으로써 상기 접촉플레이트 온도를 추정하는 것이 가능하다. 상기 유출 유체 온도는 RF에 의해 야기된 전기자기 간섭 으로부터 이격되어 측정될 수 있다. 이는 상기 샤워헤드 내측부와 샤워헤드의 연합된 RF필터 회로에서 열전쌍의 사용을 회피할 수 있다.
또 다른 시나리오에 있어서, 상기 냉각 유체는 냉각의 양을 제어하기 위하여 추가적으로 조절될 수 있다. 유출 유체 온도에 기초된 피드백 루프(feedback loop)는 냉각의 양을 변화시키기 위해 상기 흐름을 증가시키거나 또는 감소시킬 수 있다. 이러한 냉각은 상기 후방 플레이트 상의 열을 추가하거나 또는 대체시킬 수 있다. 어플리케이션(applications)을 보다 덜 요구하기 위하여, 상기 냉각은 상기 샤워헤드 온도를 제어하기 위하여 사용될 수 있고, 상기 히터 요소와 RF 격리 장치가 생략될 수 있다. 보다 요구되는 어플리케이션에 있어, 냉각 유체의 조절은 샤워헤드 온도를 제어하기 위한 추가적인 파라미터이다.
전도 대류에 추가적으로, 열은 상기 후방 플레이트로부터 상기 샤워헤드로부터 이격되어 방사될 수 있다. 방사 냉각을 향상하기 위하여, 상기 후방 플레이트의 외부 표면은 높은 방사 재료(emissivity material)로 코팅 될 수 있다. 예를 들어, 상기 코팅은 양극이 형성된 알루미늄이 될 수 있다. 상기 방사는 상기 챔버의 상단에 의해 흡수될 수 있다. 또한 상기 챔버 상단은 방사 열 전달을 증가시키기 위하여 높은 방사 재료(emissivity material)로 처리될 수 있다. 상기 챔버 상단의 내측부 표면은 양극이 형성된 알루미늄으로 코팅 조립될 수 있다. 상기 챔버 상단은 가열 냉각 수 라인으로 독립적으로 냉각될 수 있다.
전도 및 방사 열 제거는 충분하게 낮은 온도에서 상기 샤워헤드를 유지하며 이에 의해 전기 히터는 정확하게 이를 후방에서 가열할 수 있다. 상기 열 제거없 이, 상기 샤워헤드 온도는 제어되지 않고 높은 온도로 존재한다. 상기 열 제거는 온도 제어를 위한 헤드룸(headroom)을 형성한다. 어느 한 실시예에 있어서, 상기 열 제거는 상기 샤워헤드 온도를 대략 200oC 이하로 존재하게 한다. 상기 히터는 상기 접촉 플레이트와 후방 플레이트 사이 대부분의 열 전달이 상기 파라미터 주위에 있기 때문에 상기 후방 플레이트의 직경 주변에서 단순한 코일이다. 상기 샤워헤드와 후방 플레이트 사이에서 보다 많은 열 접촉은 전도성 열 전달과 이에 상기 스템을 통한 열 손실이 증가하기 때문에 온도 제어를 향상시킬 수 있다.
제어 시스템
하나 이상의 샤워헤드 스템에 연결된 냉각 시스템은 각각의 샤워헤드 스템을 통하여 흐르는 대류 냉각 유체를 냉각시킨다. 상기 냉각 시스템은 액체 냉각된 열 교환기를 포함하고 상기 샤워헤드에 대한 연결부를 포함한다. 도 4는 본 고안의 실시예에 따르는 냉각 시스템의 구성도이다. 상기 실시예에 있어서, 열 교환기(401)는 4개의 샤워헤드(411, 413, 415 및 417)에 연결된다. 상기 대류 냉각 유체는 상기 열 교환기(401)의 격식 및 각각의 샤워헤드를 통하여 연속적으로 흐른다. 상기 대류 냉각 유체는 유입구(409)에서 상기 시스템으로 들어가고 여기에서 유체는 상기 제 1 샤워헤드 스템으로 유입된다. 어느 한 샤워헤드를 통하여 흐른 이후, 상기 대류 냉각 유체가 이후 샤워헤드를 통하여 흐르기 이전에 상기 열 교환기 내 냉각 냉각제에 의해 냉각된다. 열 교환기 내 최종 격실을 통하여 최종 냉각이후, 상기 대류 냉각 유체가 유출구(411)에서 냉각 시스템으로부터 배출된다. 대류 냉각 유체 는 세척 건조 공기(CDA), 아르곤, 헬륨, 질소, 수소, 또는 이러한 기체들 중 하나 이상의 혼합물이 될 수 있다. 어느 한 실시예에 있어서, 상기 대류 냉각 유체는 설비 압력에서 CDA가 제공된 설비(facilities)이다. 서로 다른 흐름 비율은 서로 다른 설비 압력이 필요하다. 예를 들어, 80 프사이(psi)의 설비 압력에서 CDA의 100 slm(standard liters per minute)이 사용될 수 있다. 배출은 대략 주변 온도 및 압력 또는 다소 높은 온도 및 압력에서 형성될 수 있다. 비록 개방 시스템은 대류 냉각 유체가 상기 시스템으로 되돌아오지 않는 위치에서 도시된다 하더라도, 어느 한 열 교환기를 통하여 중간 매개 냉각(intermediate cooling) 및 상기 샤워헤드를 통한 일련의 흐름 개념이 폐쇄 시스템으로 수행될 수 있다.
다소의 실시예에 있어서, 상기 샤워헤드로부터 냉각 유체 온도의 유출은 측정되며 샤워헤드 온도를 결정하기 위해 사용된다. 온도 센서(441, 443, 445 및 447)는 유출 냉각 유체에 열적으로 커플 결합될 수 있고 게다가 RF 간섭(interference)의 범위 외측부에 형성될 수 있다. 상기 형상은 RF 필터링 장치를 위한 필요를 제거할 수 잇다. 전술된 바와 같이, 상기 대류 냉각 통로가 설계되어 유출 냉각 유체 온도는 샤워헤드 스템의 온도와 동일하다. 이에, 종래 기술의 당업자는 다양한 구성요소의 열적인 특성을 주지하면서 샤워헤드 온도에 대해 측정된 유출 유체 온도를 연관시키기 위하여 알고리즘을 설계할 수 있다.
일정한 실시예에 있어서, 상기 샤워헤드는 후방 플레이트에 부착된 히터를 포함하지 않을 수 있다. 상기 샤워헤드 온도는 처리 과정 중에 예열(preheating) 및 원격 플라즈마 세척(remote plasma cleaning)을 증가시킨다. 이러한 실시예에 있어서, 상기 냉각 유체로부터 활성 냉각은 샤워헤드 온도를 제어하기 위하여 사용될 수 있다. 제어 밸브(421, 423, 425 및 427)는 상기 제어기로부터의 입력에 기초한 상기 샤워헤드에 대한 냉각 유체의 흐름을 제어한다. 상기 냉각 유체는 상기 샤워헤드 스템으로 흐를 수 있거나 또는 바이패스 루프(by-pass loop, 431, 433, 435, 437)로 전환될 수 있다. 대체로 냉각은 상기 샤워헤드에 대한 냉각 유체의 흐름에 기초하여 수행될 수 있다. 활성 냉각 디자인만이 수용 가능한 샤워헤드 온도의 범위가 보다 큰 장소인, 보다 덜 요구하는 어플리케이션에서 적절할 수 있다. 이러한 실시예에 있어서, 상기 샤워헤드 온도는 접촉 열전쌍 또는 비접촉 열 센스 수단을 통하여 상기 샤워헤드에서 측정되거나 또는 유출 냉각 유체 온도에 기초되어 결정될 수 있다.
어느 한 실시예에 있어서, 4개의 샤워헤드와 4개의 격실은 도 4에서 도시되지만, 상기 냉각 시스템은 샤워헤드 및 격실의 또 다른 개수로 설계될 수 있다. 다소의 경우에 있어, 상기 냉각 시스템은 많은 반도체 처리 공정 공구를 위한 상기 샤워헤드를 냉각시키기 위하여 제공될 수 있다. 각각의 반도체 처리 공정 공구가 각각 4개의 스테이션을 갖는 어느 한 다중 스테이션 챔버를 가지는 경우, 8 샤워헤드에 연결되는 8 격실을 가지는 냉각 시스템은 2 공구를 제공하기 위해 설계될 수 있다. 다소의 반도체 처리 공구는 많은 다중스테이션 챔버를 가질 수 있다. 상기의 경우 냉각 시스템은 단일 공구 상에 많은 챔버 내 모든 샤워헤드를 제공하기 위해 설계될 수 있다. 4 격실 열 교환기가 많은 4개의 스테이션 챔버를 갖는 공구 상에서 사용되는 경우, 공구당 많은 열 교환기가 사용될 수 있다.
다소의 경우에 있어 하나 이상의 샤워헤드는 전체적으로 대류 냉각 유체 흐름을 위한 바이패스 형성될 수 있다. 이와 같이 각각의 샤워헤드 연결은 대응 밸브를 가지는 바이패스 루프를 포함할 수 있다. 일정한 처리 공정을 위하여, 모든 스테이션이 온도가 제어된 샤워헤드를 요구하거나 또는 웨이퍼 상에 재료를 증착시키기 위해 형성되지는 않는다. 상기 경우 상기 바이패스 루프는 스테이션 4에서 사용될 수 있다.
상기 열 교환기(401)를 위한 액체 냉각제는 유입구(405)에서 상기 시스템에 유입되고 유출구(407)에서 상기 시스템을 나가기 이전에 냉각제 경로(403)를 흐른다. 비록 하나의 루프만이 냉각제 경로(403)를 위해 도시된다 하더라도, 상기 냉각제 경로는 요구된 열 전달, 유입구에서의 냉각제 온도 및 유출구에서의 냉각제 온도, 냉각제 경로의 직경에 의존하는 많은 루프로 구성될 수 있다. 상기 액체 냉각제는 물 또는 가령 프레온(Freon)과 같이 잘 알려진 액체 냉각제의 그 외 다른 형태가 될 수 있다. 어느 한 실시예에 있어서, 상기 액체 냉각제는 물이 운반된 설비이다. 상기 열 교환기에서 유출된 이후, 상기 액체 냉각제는 가령 배수관(drain)으로 추가적으로 착탈되기 이전에 처리될 수 있거나 또는 처리되지 않을 수 있다. 예를 들어, 액체 냉각제와 같은 물이 운반된 설비(facilities)는 직접 배출될 수 있다. 그러나, 만약 그 외 다른 액체 냉각제가 사용되는 경우, 상기 냉각제는 압축될 수 있고 상기 열 교환기로 다시 재순환될 수 있으며 이는 폐쇄 루프 냉각 시스템에서 형성된다.
상기 열 교환기(401)의 서로 다른 설계가 이용될 수 있다. 도 4는 횡단 흐름 열 교환기를 도시하며 이의 흐름은 서로에 대해 대략 수직하게 흐른다. 그러나, 반대 흐름 또는 평행 흐름 열 교환기가 사용될 수 있다. 종래 기술의 당업자는 의도된 열 전달을 야기하기에 충분한 표면 영역을 가진 열 교환기를 설계할 수 있다. 어떠한 한 실시예에 있어서, 상기 열 교환기(401)는 대류 냉각 유체 파이핑(piping)과 액체 냉각제를 둘러싸는 주형 금속(cast metal)이 될 수 있다. 상기 금속은 알루미늄 또는 의도된 열 전달 특성을 가지는 그 외 다른 금속이 될 수 있다. 상기 주형 금속 설계(cast metal design)는 흔적(footprint) 또는 공간 요구가 거의 없는 조밀한 열 교환기를 허용한다.
온도 제어 시스템
상기 샤워헤드 온도 제어 시스템은 각각의 샤워헤드의 온도를 제어하기 위한 제어기, 냉각 시스템 및 하나 이상의 샤워헤드를 포함한다. 도 5는 어느 한 샤워헤드에 관련되어 상기 온도 제어 시스템의 주요 구성 요소를 설명한다. 상기 도면 내 샤워헤드 그래프는 상기 챔버 상단에 대한 부착 부분을 포함한다. 대류 냉각 유체는 구성 요소(502)로부터 상기 샤워헤드로 흐르며 여기에서 이는 샤워헤드를 냉각시키는 처리 공정에서 가열되고, 상기 열 교환기(506)로 유출된다. 다소의 실시예에 있어서, 상기 샤워헤드로 흐르는 상기 냉각 유체는 제어 밸브 또는 그 외 다른 흐름 조정기(522)에 의해 조정된다. 상기 흐름을 조정함으로써, 상기 냉각 유체에 의해 제공된 냉각은 증가될 수 있거나 또는 감소될 수 있다.
전술된 바와 같이, 상기 열 교환기로부터 대류 냉각 유체는 도면 부호 504와 같은 또 다른 구성 요소로 흐를 수 있다. 상기 샤워헤드가 챔버 내 제 1 스테이션 과 같이 형성되는 경우, 구성 요소(502)는 공기 설비가 될 수 있으며 구성 요소(504)는 스테이션 샤워헤드와 같은 또 다른 샤워헤드가 될 수 있다. 상기 샤워헤드가 제 1 스테이션과 같이 형성되지 않는 경우, 구성 요소(502 및 506)는 동일한 구성 요소 즉, 전술된 바와 같이 액체 냉각된 열 교환기가 될 수 있다. 상기 냉각 루프는 대체로 냉각이 조정되는 장소인 피드백 루프(feed back loop)를 가지지 않을 수 있다. 간단한 설계는 상기 전기 히터(518)가 일정한 온도로 상기 샤워헤드를 정밀하게 가열 할 수 있도록 충분히 상기 샤워헤드를 냉각시킬 수 있다.
열전쌍(510)은 전술된 바와 같이 상기 접촉 플레이트와 물리적으로 접촉한다. 열전쌍(510)은 열전쌍 신호로부터 전극과 같이 샤워헤드 상에 인가된 RF의 효과를 제거하기 위하여 RF 격리 장치(512)에 연결된다. 전형적으로, PECVD 내에서 인가된 RF는 2개의 주파수 즉, 고주파수(가령, 13.56 MHz)와 저주파수(가령, 400 kHz)를 가진다. 상기 RF 절연 장치(isolation device)는 고주파 필터와 저주파 필터를 포함한다. RF 절연체가 없다면, 상기 RF 간섭이 너무 크기 때문에 열전쌍 측정이 무용지물하다.
RF 절연 장치의 가능한 형상의 구성도는 도 6에서 도시된다. 상기 열전쌍(510/601)은 스테인리스 강철 외장(stainless steel sheath)에 의해 둘러싸인다. 상기 외장은 커패시터(capacitor, 605)에 대해 평행하게 코일(603)로 감긴다. 인덕터(inductor) 및 커패시터(capacitor)와 같은 코일은 13.56MHz 신호를 차단하는 탱크 회로(tank circuit)를 형성한다. 상기 코일은 대략 1 마이크로헨리(microhenry)의 인덕턴스를 가질 수 있고, 커패시터(605)는 대략 85 피코패럿(pf, picofarads) 의 커패시턴스를 가질 수 있다. 잔존하는(remaining) 13.56MHz RF는 제 2 커패시터(607)로 그라운드(ground, 609)로 짧아 지고, 이는 대략 10000pf의 커패시턴스를 가질 수 있다. 또한 상기 외장과 함께 고주파의 트래핑(trapping)은 상기 외장에서 끼워 맞추어 형성된 열전쌍 와이어 내 상기 RF를 차단한다. 상기 400kHz 주파수는 도면 부호 603/605에 의해 차단되지 않으며, 이의 저주파수로 인하여 상기 커패시터(607)에 의해 그라운드로 짧게 형성되지 않는다. 이에 13.56MHz 필터의 단부에서 여전히 저주파 필터(611)에 의해 연속적으로 외부로 필터되는 400kHz 노이즈(noise)가 존재한다. 어느 한 설계에 있어, 저주파 필터는 2단계 낮은 패스 필터(jpass filter)와 유사한 LC 설계가 될 수 있다. 2단계 모두 고주파 필터와 유사한 LC 설계가 될 수 있다. 상기 저주파 필터는 열전쌍 와이어와 직접 연결될 수 있지만, 고주파 필터는 상기 외장(sheath)에만 연결될 수 있다.
도 5에 관하여, 상기 필터 요소(518)는 RF 절연 장치(isolation device, 508)에 연결된다. RF 절연 장치(508)는 인가된 RF의 효과로부터 히터 전기 신호를 절연하기 위한 RF 필터 또는 그 외 다른 이용 가능한 장치가 될 수 있다. 온도 제어기(516)는 절연 장치(isolation device, 512)를 통하여 열전쌍(510)으로부터 온도 정보를 얻으며, 피드백 루프(feed back loop) 내 RF 절연 장치(508)를 통하여 상기히터(518)에 대한 입력(input)을 조절한다.
또 다른 실시예에 있어서, 유출 냉각 유체 온도는 RF 간섭의 범위 외측부에 위치되는 온도 센스 장치(520)에 의해 측정될 수 있다. 상기 실시예에 있어서, RF 필터는 온도 셍스 장치(520)에 필요하다. 상기 제어기는 샤워헤드 온도에 대한 유 출 냉각 유체 온도와 연관된다.
또한, 온도 제어기(516)는 구성 요소(514)로부터 피드포워드 정보(feed forward information)를 얻을 수 있다. 상기 피드포워드 정보는 플라즈마가 활성화될 때까지 시간 주기가 될 수 있다. 다소의 경우에 있어 피드포워드 정보는 샤워헤드로 흐르는 가스 흐름, 냉각 웨이퍼를 갖는 웨이퍼 처리 공정과 같이 상기 샤워헤드 온도에 영향을 주는 그 외 다른 예견 가능한 경우를 포함할 수 있다. 상기 제어기는 가령 챔버 정화(chamber purge)와 같이 냉각의 경우를 예견하여 상기 히터의 입력을 증가시킬 수 있거나 또는 가령 플라즈마의 활성 중인 가열의 경우를 예견하여 히터의 입력을 감소시킬 수 있다. 또한 상기 제어기는 가열의 경우를 예상하여 냉각 유체 흐름을 증가시킴으로써 상기 냉각을 증가시킬 수 있거나 또는 냉각의 경우를 예상하여 냉각 유체 흐름을 감소시킴으로써 상기 냉각을 감소시킬 수 있다.
입력 및 출력 구성 요소의 다양한 복합물이 서로 다른 제어 구성으로 사용될 수 있다. 예를 들어, 활성 냉각(냉각 유체 흐름의 조정)은 샤워헤드 온도를 정밀하게 제어하기 위하여 활성 가열(active heating)(후방 플레이트 내 히터)이 사용될 수 있다. 상기 샤워헤드 온도는 상기 접촉 플레이트에 부착된 열전쌍으로부터 직접 측정될 수 있거나 또는 유출 냉각 유체 온도로부터 간접적으로 결정될 수 있다. 다소의 경우, 활성 냉각만이 또는 활성 가열만이 상기 제어 시스템에 포함될 수 있다. 상기 샤워헤드로부터 제거된 열을 정밀하게 결정하기 위하여 상기 유입구에서 냉각 유체의 온도 측정과 같이 여전히 그 외 다른 입력이 포함될 수 있다.
일정한 실시예에 있어서, 상기 온도 제어기는 상기 시스템 제어기와 일체로 형성될 수 있다. 상기의 경우에 있어 구성 요소(514)는 제어기(516)로부터 분리되지 않는다.
실험
샤워헤드 온도 제어 시스템은 본 고안에 따라 수행된다. 수행된 상기 제어 시스템은 피드 백(feed back) 입력(열전쌍 만)을 사용하는 제어기와 전술된 바와 같이 온도가 제어된 샤워헤드를 포함한다. 4개의 스테이션 챔버를 위한 상기 샤워헤드 온도는 50 웨이퍼 런(wafer run)에 걸쳐 측정되고 도 7에서 구성된다. 여러 개 중 4개인 각각의 샤워헤드에 대한 온도는 분리된 곡선상에서 구성된다. 세트 포인트(set point)는 260 ℃이다. 스테이션 1에 대해 측정된 온도는 라인 701과 같다. 스테이션 2 내지 4에 대해 측정된 온도는 서로 매우 밀접하고 라인 703과 같다. 도 1과 같이, 플라즈마 조건은 도면 부호 705에서 단계적인 기증으로써 또한 구성된다.
히터가 꺼지고 온도가 제어되지 않는 도 1과 비교하여 샤워헤드 온도 내 차이는 급격하다. 대략 1800초 까지 모조 증착과정(dummy deposition) 동안, 상기 샤워헤드 온도는 도 1의 온도와 유사하게 작동한다. 상기 온도는 대략적인 시간 1800초에서 웨이퍼 증착이 시작된 이후 빠르게 안정화된다. 적어도 스테이션 2 내지 4의 샤워헤드를 위해, 상기 온도는 보다 더 빠르게 안정화된다. 스테이션 1의 온도(701)는 대략 256 ℃의 하부까지 대략 500초 동안 하부 방향으로 기울어지지만, 웨이퍼 처리 공정 중에(during remaining of the wafer processing) 세트 포인트에서 회복되고 유지된다.
상기 데이타는 온도 제어 구상도를 사용하여 샤워헤드 온도가 50 웨이퍼 일괄처리 이내에서 대략 4 ℃범위를 넘을 정도로 제어될 수 있다. 상기 데이타가 피드포워드 제어를 사용하지 않고 형성되기 때문에, 피드포워드 제어를 포함하는 수행은 대략 4 ℃보다 작은 정도에 대한 반응을 개선할 수 있다.
또 다른 테스트에 있어서, 테트라에칠로소실리케이트(Tetraethylorthosilicate, TEOS)에 대한 증착 비율의 웨이퍼 대 웨이퍼 결과는 본 고안에 따르는 온도 제어된 샤워헤드와 표준 샤워헤드를 사용하여 연구된다. 상기 표준 샤워헤드는 본 고안의 온도 제어 특징부를 포함하지 않는다. 상기 표준 샤워헤드는 냉각 메카니즘 또는 히터를 포함하지 않는다. 조건 변경에 대한 온도 제어 샤워헤드의 반응성을 테스트 하기 위하여, 100 웨이퍼는 4개의 조건 하에서 각각의 샤워헤드와 함께 증착된다. 각각의 조건 이전에, 상기 처리 챔버는 원격 플라즈마 세척(remote plasma clean, RPC)에 영향받으며, 이에 플라즈마는 처리 챔버로부터 원격적으로 위치되는 챔버로 가스로부터 점화된다. RPC 챔버로부터 플라즈마가 활성화된 종류(Plasma-activated species)는 처리 공정 챔버를 향하여 운반 라인을 통하여 흐른다. 이와 같이 RPC는 웨이퍼(1, 26, 51 및 76) 이전에 수행된다. 제 1 조건에서, TEOS는 웨이퍼 당 12초 동안 증착되고 증착 두께가 측정된다. 제 2 조건에 있어서, RPC 이후 상기 샤워헤드는 20분 동안 반응 채널로부터 질소 가스로 냉각된다. 표준 샤워헤드에서 대략 질소 가스로 강제된 냉각의 대략 20분 이후 상기 샤워헤드 온도는 대략 240℃에 이른다. 제 3 조건에서, 상기 처리 공정 챔버는 RPC 이후 유휴 상태가 된다(be idled overnight). 이러한 유휴 기간 동안에, 축받 이(pedestal)는 대략 350℃에 대해 가열되어, 상기 기간 넘어 표준 샤워헤드 내에서 상기 샤워헤드는 350℃보다 작은 온도로 균형된다. 제 4 조건에서, RPC 이후 상기 샤워헤드는 20분 동안 높은 파워의 플라즈마로 가열된다. 질소는 대략 10 slm의 흐름 비율로 상기 플라즈마를 발생시키기 위하여 사용된다. 상기 챔버 압력은 대략 1500와트의 고주파 파워와 대략 2.5토르(Torr)에서 유지된다.
도 8A와 도 8B는 각각의 측정된 웨이퍼에 대해 옹스트롬(angstroms)으로 증착된 두께의 구성을 도시한다. 6개의 웨이퍼는 각각의 조건에 대해 측정된다. 영역(801)은 전술된 바와 같은 제 1 조건에 대해 일치한다. 상기 RPC 이후, 샤워헤드 온도는 샤워헤드 표면에서 방열 작용이 에너지를 착탈하기 때문에 상승된다. 상기 표준 샤워헤드는 두께 데이타에 의해 도시되는 바와 같이 온도 제어 샤워헤드에 있어 가능한 추가적인 냉각이 없이 보다 오래 높은 온도로 유지된다. TEOS 증착 비율은 보다 높은 샤워헤드 온도에서 보다 높다. 몇몇의 웨이퍼 이후, 상기 표준 샤워헤드와 온도 제어 샤워헤드에서의 증착이 감소된 이후 서서히 증가된다. 상기 온도 제어 샤워헤드는 상대적으로 안정한 증착 비율을 유지하지만, 증착 비율은 상기 표준 샤워헤드에 대해 재차 감소하기 시작한다. 상기 표준 샤워헤드 스테이션 내 제 2 감소는 도 1의 곡선(102) 상에서 도시된 온도 감소와 같이 상기 스테이션으로 유입되는 냉각 웨이퍼의 효과에 기여된다. 상기 데이타는 RPC 시퀀스(sequence) 이후 상기 온도 제어 샤워헤드가 일정한 온도로 균형되고 이에 증착 비율이 상기 표준 샤워헤드보다 빠른 것을 도시한다.
영역(802)은 상기 제 2 조건과 대응한다. RPC 시퀀스 이후 상기 프로세스 챔 버는 질소로 냉각된다. 상기 영역에 있어 온도 제어 샤워헤드를 사용한 상기 증착은 초기에 보다 덜 영향받으며, 상기 표준 샤워헤드보다 증착 두께에 있어 하강(drop)이 보다 적게 존재한다. 영역(803)은 제 3 조건과 대응한다. 오버나이트 아이들링(overnight idling)이후, 온도 제어 샤워헤드를 사용한 증착은 RPC 시퀀스과정 이후의 것과 동일한 특성을 가진다. 상기 증착은 초기에 담기고(dips) 상대적으로 일정한 수치를 유지한다. 증착 파라미터가 비록 동일하다 하지만, 상기 표준 샤워헤드는 또 다른 영역보다 영역(803) 내 보다 낮은 증착 비율을 유지한다. 최종적으로, 영역(804)은 제 4 조건과 대응한다. RPC 시퀀스 과정 이후 높은 에너지의 플라즈마는 RPC 자체 과정 보다 높은 온도로 상기 샤워헤드를 가열시킨다. 표준 샤워헤드에 있어서, 높은 증착 비율은 영역(4)에 기록된다. 증착의 초기 담금(initial dip) 이후, 상기 두께는 보다 높은 수치에서 균형될 수 있다. 온도 제어된 샤워헤드에 있어, 높은 에너지의 플라즈마는 아마도 제 1 웨이퍼를 제외하고 증착에 영향을 주지 않을 것 같다.
전체적으로, 상기 표준 샤워헤드에 대해 측정된 두께 범위는 대략 37 옹크스트롬(angstroms)이고 온도 제어 샤워헤드에 대해 측정된 두께 범위는 대략 13 옹그스트롬(angstroms)이다. 상기 증착에 대한 웨이퍼 대 웨이퍼 비 균일성(wafer-to-wafer non-uniformity)은 표준 샤워헤드에 대해 3.7%이고 온도 제어된 샤워헤드에 대해 1.3%이다. 온도 제어된 샤워헤드에 대한 보다 나은 웨이퍼 대 웨이퍼 균일성 은 표준 샤워헤드의 균일성 보다 66% 개선된다.
여러가지 설명이 명확성을 위해 생략되었다 하더라도, 여러가지 설계가 수행될 수 있다. 그러므로, 본 실례는 제한되지 않고 설명되어 고려되고, 본 고안은 여기에서 주어진 설명에 제한되지 않고, 첨부된 청구항의 범위 이내에서 수정될 수 있다.
도 1은 시간 대비 4개의 스테이션 챔버 내 샤워헤드 온도의 그래프를 도시하는 도면.
도 2A는 다양한 샤워헤드 온도에서 증착된 실리콘 질화물 스페이서(silicon nitride spacer)의 그래프를 도시하는 도면.
도 2B는 다양한 샤워헤드 온도에서 증착된 실리콘 질화물 스페이서에 대한 박막 스트레스(film stress)의 그래프를 도시하는 도면.
도 3A, 도 3B 및 도 3C는 본 고안의 다양한 실시예에 따르는 온도 제어 샤워헤드의 횡단면 구성도를 도시하는 도면.
도 4는 본 고안의 실시예에 따르는 냉각 시스템의 구성도를 도시하는 도면.
도 5는 본 고안의 실시예에 따르는 온도 제어 시스템의 구성도를 도시하는 도면.
도 6은 RF 노이즈를 감소시키거나 또는 제거하기 위한 RF 필터의 어느 한 실시예의 구성도를 도시하는 도면.
도 7은 본 고안에 따르는 온도 제어 샤워헤드를 사용하여 측정된 샤워헤드 온도의 도표를 도시하는 도면.
도 8A는 표준 샤워헤드를 사용하며 4개의 서로 다른 시작 조건(starting conditions)을 가지는 100 웨이퍼 대비 TEOS 박막 두께를 나타내는 도표를 도시하는 도면.
도 8B는 온도 제어 샤워헤드를 사용하며 동일한 4개의 시작 조건을 가지는 100 웨이퍼 대비 TEOS 박막 두께를 나타내는 도표를 도시하는 도면.

Claims (26)

  1. 화학적 기상 증착법(CVD)을 위한 온도 제어된 샤워헤드에 있어서, 상기 샤워헤드는
    (a) 대류 냉각 유체 통로를 포함하는 스템,
    (b) 상기 스템과 열적으로 커플 결합된 후방 플레이트,
    (c) 상기 후방 플레이트에 물리적으로 부착된 히터,
    (d) 상기 후방 플레이트에 열적으로 커플 결합된 접촉 플레이트,
    (e) 상기 접촉 플레이트의 온도를 측정하기 위한 온도 센서를 포함하는 것을 특징으로 하는 화학적 기상 증착법을 위한 온도 제어된 샤워헤드.
  2. 제 1 항에 있어서, 상기 온도 센서는 상기 접촉 플레이트로 물리적으로 부착된 열전쌍(thermocouple)이고, 상기 열전쌍으로 전기적으로 커플 결합된 라디오 주파수(RF) 필터를 추가적으로 포함하는 것을 특징으로 하는 샤워헤드.
  3. 제 1 항에 있어서, 상기 히터에 전기적으로 커플 결합된 RF 필터를 추가적으로 포함하는 것을 특징으로 하는 샤워헤드.
  4. 제 1 항에 있어서, 상기 후방 플레이트의 외부 표면은 양극이 형성된 알루미늄 코팅을 포함하는 것을 특징으로 하는 샤워헤드.
  5. 제 1 항에 있어서, 상기 히터는 상기 후방 플레이트 내에서 끼워 맞춤되어 형성되는 것을 특징으로 하는 샤워헤드.
  6. 제 1 항에 있어서, 상기 접촉 플레이트는 상기 후방 플레이트에 제거 가능하게 부착되는 것을 특징으로 하는 샤워헤드.
  7. 제 1 항에 있어서, 상기 스템은 1.5 인치 내지 2.5 인치의 직경을 가지는 것을 특징으로 하는 샤워헤드.
  8. 제 1 항에 있어서, 상기 접촉 플레이트와 상기 후방 플레이트는 0.25 인치 내지 0.5 인치의 두께를 가지는 것을 특징으로 하는 샤워헤드.
  9. 제 1 항에 있어서, 상기 후방 플레이트와 상기 접촉 플레이트 사이의 간극은 0.5 인치 내지 1 인치인 것을 특징으로 하는 샤워헤드.
  10. 제 1 항에 있어서, 상기 접촉 플레이트는 13 인치의 직경을 가지는 것을 특징으로 하는 샤워헤드.
  11. 제 2 항에 있어서, 상기 후방 플레이트와 접촉 플레이트 사이 스탠드오 프(standoff)를 추가적으로 포함하고 이를 통하여 열전쌍이 상기 접촉 플레이트로 부착되는 것을 특징으로 하는 샤워헤드.
  12. 화학적인 기상 증착법(CVD)을 위한 온도 제어된 샤워헤드에 있어서, 상기 샤워헤드는
    (a) 대류 냉각 유체 통로를 가지는 스템,
    (b) 상기 스템에 열적으로 커플 결합된 후방 플레이트,
    (c) 상기 후방 플레이트로 열적으로 커플 결합된 접촉 플레이트를 포함하고,
    상기 대류 냉각 유체 통로는 유출 냉각 유체 온도가 상기 스템 온도와 동일하도록 형성되는 것을 특징으로 하는 샤워헤드.
  13. 제 12 항에 있어서, 상기 유출 냉각 유체 온도를 측정하기 위해 형성된 온도 센서를 추가적으로 포함하는 것을 특징으로 하는 샤워헤드.
  14. 제 12 항에 있어서, 상기 후방 플레이트의 외부 표면은 양극이 형성된 알루미늄 코팅을 포함하는 것을 특징으로 하는 샤워헤드.
  15. 제 12 항에 있어서, 상기 접촉 플레이트는 상기 후방 플레이트로 제거 가능하게 부착되는 것을 특징으로 하는 샤워헤드.
  16. 제 12 항에 있어서, 상기 스템은 1.5 인치 내지 2.75 인치의 직경을 가지고, 상기 접촉 플레이트와 상기 후방 플레이트는 0.25 인치 내지 0.5 인치의 두께를 가지며, 상기 후방 플레이트와 상기 접촉 플레이트 사이 간극은 0.5 인치 내지 1 인치이고, 상기 접촉 플레이트는 13 인치 내지 15 인치의 직경을 가지는 것을 특징으로 하는 샤워헤드.
  17. 화학적인 기상 증착법(CVD)을 위한 온도 제어된 샤워헤드 접촉 플레이트에 있어서, 상기 접촉 플레이트는
    (a) 평면이고 원형인 전방 표면,
    (b) 복수의 나사못 블라인드 홀(threaded blind holes)를 포함하고 후방 플레이트에 대해 결합하는 특징부(mating feature)를 포함하는 평면이고 원형인 후방 표면,
    (c) 가스 흐름을 위한 복수의 작은 관통 홀을 포함하고,
    대류 냉각 유체의 통로를 가진 스템, 상기 스템에 열적으로 커플 결합된 후방 플레이트, 상기 후방플레이트에 물리적으로 부착된 히터와 접촉플레이트의 온도를 측정하기 위한 온도센서를 포함한 샤워헤드의 후방플레이트에 상기 접촉플레이트가 부착되는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  18. 제 17 항에 있어서, 상기 결합 특징부는 주변 측부벽을 포함하는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  19. 제 17 항에 있어서, 상기 결합 특징부는, 접촉플레이트 또는 후방플레이트의 주변 측부벽 변부상에 기계가공된 노치가 대응물상의 톱니와 결합하고 연동하는 조(interlocking jaw) 메카니즘을 포함하는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  20. 제 17 항에 있어서, 복수의 작은 관통 홀은 100 내지 10,000개의 관통 홀인 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  21. 제 17 항에 있어서, 복수의 작은 관통 홀은 3 내지 4000 관통 홀인 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  22. 제 20 항에 있어서, 상기 작은 홀들은 불균일한 분포의 패턴을 형성하는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  23. 제 17 항에 있어서, 복수의 작은 관통 홀 직경은 0.01 인치 내지 0.5 인치인 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  24. 제 17 항에 있어서, 상기 접촉 플레이트의 두께는 1/8 인치 내지 1/2 인치인 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  25. 제 17 항에 있어서, 열전쌍 접촉 홀(thermocouple contact hole)을 추가적으로 포함하는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
  26. 제 17 항에 있어서, 하나 이상의 나사못 블라인드 홀에 커플 결합된 복수의 스페이서를 추가적으로 포함하는 것을 특징으로 하는 샤워헤드 접촉 플레이트.
KR2020080013796U 2007-10-16 2008-10-16 온도 제어 샤워헤드 KR200454281Y1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR2020080013796U KR200454281Y1 (ko) 2007-10-16 2008-10-16 온도 제어 샤워헤드

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/974,945 2007-10-16
US11/974,966 2007-10-16
US12/181,927 2008-07-29
KR2020080013796U KR200454281Y1 (ko) 2007-10-16 2008-10-16 온도 제어 샤워헤드

Publications (2)

Publication Number Publication Date
KR20090003703U KR20090003703U (ko) 2009-04-21
KR200454281Y1 true KR200454281Y1 (ko) 2011-06-23

Family

ID=41289369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020080013796U KR200454281Y1 (ko) 2007-10-16 2008-10-16 온도 제어 샤워헤드

Country Status (1)

Country Link
KR (1) KR200454281Y1 (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130132330A (ko) * 2012-05-25 2013-12-04 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
KR20170082129A (ko) * 2016-01-05 2017-07-13 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd를 위한 배플 및 노즐을 갖는 냉각된 가스 피드 블록
KR20180001587U (ko) * 2016-11-18 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
KR20190074913A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190074854A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理***中的衬底支撑件的动态温度控制
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
TW202104656A (zh) 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
KR102132216B1 (ko) * 2020-03-20 2020-08-05 (주) 엠엠티케이 Cvd 공정의 샤워헤드
KR102656121B1 (ko) * 2021-12-24 2024-04-12 (주)보부하이테크 용접 결함 및 크랙 발생을 개선한 히터 구조

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040062833A (ko) * 2003-01-03 2004-07-09 주식회사 아이피에스 박막증착용 반응용기

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040062833A (ko) * 2003-01-03 2004-07-09 주식회사 아이피에스 박막증착용 반응용기

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
KR102222837B1 (ko) * 2012-05-25 2021-03-04 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
KR102140345B1 (ko) * 2012-05-25 2020-08-03 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
KR20130132330A (ko) * 2012-05-25 2013-12-04 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
KR102385547B1 (ko) * 2012-05-25 2022-04-11 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
KR20210025558A (ko) * 2012-05-25 2021-03-09 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
KR20200095434A (ko) * 2012-05-25 2020-08-10 노벨러스 시스템즈, 인코포레이티드 Rf-전력공급된, 온도-제어된 가스 확산기
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR20170082129A (ko) * 2016-01-05 2017-07-13 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd를 위한 배플 및 노즐을 갖는 냉각된 가스 피드 블록
KR102557829B1 (ko) * 2016-01-05 2023-07-19 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd를 위한 배플 및 노즐을 갖는 냉각된 가스 피드 블록
KR20180001587U (ko) * 2016-11-18 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR200496056Y1 (ko) 2016-11-18 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR20230031270A (ko) * 2016-11-18 2023-03-07 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR102628832B1 (ko) 2016-11-18 2024-01-23 어플라이드 머티어리얼스, 인코포레이티드 열 반복성 및 인-시튜 샤워헤드 온도 모니터링
KR20190074913A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR102366987B1 (ko) 2017-12-20 2022-02-25 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR102242820B1 (ko) 2017-12-20 2021-04-21 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190074854A (ko) * 2017-12-20 2019-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
KR20090003703U (ko) 2009-04-21

Similar Documents

Publication Publication Date Title
US10584415B2 (en) Temperature controlled showerhead
KR200454281Y1 (ko) 온도 제어 샤워헤드
US8137467B2 (en) Temperature controlled showerhead
US20090095218A1 (en) Temperature controlled showerhead
TWI572740B (zh) 應用於高溫操作之溫度控制噴灑頭
US20160056032A1 (en) Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US11692732B2 (en) Air cooled faraday shield and methods for using the same
US20160204009A1 (en) Methods and systems to improve pedestal temperature control
TWM361710U (en) Temperature controlled showerhead
CN114207767B (zh) 多站半导体处理中的可独立调整流路传导性
JP2002129331A (ja) 成膜装置および処理装置
JP3147392U (ja) 温度制御型シャワーヘッド
TWI842908B (zh) 多站半導體處理中的可獨立調整流路傳導性
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead
KR19980068793A (ko) 반도체 제조장비
KR19980065707A (ko) 반도체 제조장비

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20140610

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160607

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170609

Year of fee payment: 7

EXPY Expiration of term