KR101520885B1 - 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치 - Google Patents

성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치 Download PDF

Info

Publication number
KR101520885B1
KR101520885B1 KR1020120082062A KR20120082062A KR101520885B1 KR 101520885 B1 KR101520885 B1 KR 101520885B1 KR 1020120082062 A KR1020120082062 A KR 1020120082062A KR 20120082062 A KR20120082062 A KR 20120082062A KR 101520885 B1 KR101520885 B1 KR 101520885B1
Authority
KR
South Korea
Prior art keywords
reaction tube
source gas
open
film
close valve
Prior art date
Application number
KR1020120082062A
Other languages
English (en)
Other versions
KR20130018123A (ko
Inventor
케이스케 스즈키
켄타로 가도나가
유이치로 모로즈미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130018123A publication Critical patent/KR20130018123A/ko
Application granted granted Critical
Publication of KR101520885B1 publication Critical patent/KR101520885B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(과제) 기둥 형상을 갖고 조밀하게 배열되는 전극의 도괴를 막는 것이 가능한 반도체 장치를 제공한다.
(해결 수단) 전계 효과 트랜지스터와, 기둥 형상을 갖는 커패시터를 갖는 반도체 장치로서, 상기 전계 트랜지스터의 불순물 확산 영역과 전기적으로 접속하고, 기둥 형상을 갖는 제1 전극과, 상기 제1 전극의 적어도 측면에 형성되는 유전체막과, 상기 유전체막 상에 형성되는 제2 전극과, 상기 기둥 형상을 갖는 상기 제1 전극의 길이 방향과 교차하는 방향으로 연장되고, 상기 제2 전극의 적어도 일부를 관통하여 상기 제1 전극을 연결하는 붕소 첨가 질화 실리콘막에 의해 형성되는 지지막을 구비하는 반도체 장치에 의해, 상기의 과제가 달성된다.

Description

성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치{FILM FORMING METHOD, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME, FILM FORMING APPARATUS, AND SEMICONDUCTOR DEVICE}
본 발명은, 반도체 웨이퍼 등의 기판에 박막을 성막하는 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치에 관한 것이다.
반도체 기억 소자의 더 한층의 고집적화를 위해, 커패시터의 웨이퍼면 상의 점유 면적을 저감하면서 정전 용량을 충분히 확보할 수 있는 기둥 형상 구조를 갖는 메모리 셀이 주목을 끌고 있다. 구체적으로는, 이 메모리 셀에 있어서의 커패시터는, 기둥 형상을 갖는 하부 전극과, 그 측면에 형성되는 유전체막과, 그 위에 형성되는 상부 전극에 의해 구성된다. 기둥 형상의 하부 전극의 측면을 이용함으로써, 커패시터의 면적을 확보하는 것이 가능해지고, 따라서 충분한 정전 용량이 얻어진다.
일본공개특허공보 2010-153418호 일본공개특허공보 2006-287194호
전술한 기둥 형상의 하부 전극은, 고집적화의 요청으로부터 2차원적으로 고밀도로 들어서도록 형성되기 때문에, 예를 들면 직경 약 40㎚이고, 높이 약 2000㎚라는 고애스펙트비를 갖고 있다. 이 때문에, 메모리 셀의 제조 과정에 있어서 하부 전극이 도괴되어 버린다는 문제가 발생할 수 있다.
이를 방지하기 위해, 기판면과 평행하게 연장되고, 복수의 하부 전극의 상단 부근을 연결하여 하부 전극을 지지하는 지지막을 형성하는 시도가 행해지고 있다. 예를 들면 특허문헌 1에는, 루테늄(Ru)에 의해 형성되는 필러형 전극의 상부를 연결하는 질화 실리콘막이 개시되어 있다.
한편, 반도체 기억 소자의 개발 동향으로서, 고집적화를 위해 한계 치수의 더 한층의 저감이 요구되고 있다. 한계 치수의 저감은, 예를 들면 절연막에 의한 절연 특성의 악화를 초래할 우려가 있고, 이를 방지하기 위해, 종래는 사용되지 않았던 절연체 재료가 사용되도록 되어 오고 있다. 새로운 재료 중에는, 예를 들면 성막 온도가 지금까지의 재료보다도 낮은 것도 있다. 이 경우, 그 절연막을 성막한 후의 프로세스에 있어서, 그 성막 온도보다도 높은 온도로 기판을 가열하면, 그 절연막이 열화하는 등의 문제가 발생할 수 있다. 그 때문에, 후속의 프로세스 온도를 낮게 해야 되지만, 그렇게 하면, 예를 들면 전술한 지지막에 작용하는 응력이 커져, 오히려 기둥 형상의 하부 전극이 도괴되어 버리는 사태가 되기도 한다.
본 발명은, 상기에 비추어, 기둥 형상을 갖고 조밀하게 배열되는 전극의 도괴를 막는 것이 가능한 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치를 제공한다.
본 발명의 제1 관점에 의하면, 복수의 기판을 보유지지(保持)하는 기판 보유지지부가 수용되는 반응관과, 실리콘을 포함하는 제1 원료 가스를 상기 반응관으로 공급하는 제1 가스 공급부로서, 상기 제1 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제1 개폐 밸브를 갖는 당해 제1 원료 가스 공급부와, 붕소를 포함하는 제2 원료 가스를 상기 반응관으로 공급하는 제2 가스 공급부로서, 상기 제2 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제2 개폐 밸브를 갖는 당해 제2 원료 가스 공급부와, 질소를 포함하는 제3 원료 가스를 상기 반응관으로 공급하는 제3 가스 공급부로서, 상기 제3 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제3 개폐 밸브를 갖는 당해 제3 원료 가스 공급부와, 상기 반응관과, 당해 반응관과 접속되는 배기부와의 사이에 형성되고, 상기 반응관과 상기 배기부를 연통시키고 차단하는 제4 개폐 밸브를 구비하는 성막 장치에 있어서 행해지는 성막 방법으로서, 상기 제4 개폐 밸브를 닫은 채, 상기 제1 개폐 밸브를 열어 상기 제1 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제1 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제1 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 실리콘층을 형성하는 질화 실리콘층 퇴적 스텝과, 상기 제4 개폐 밸브를 닫은 채, 상기 제2 개폐 밸브를 열어 상기 제2 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제2 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제2 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 붕소층을 형성하는 질화 붕소층 퇴적 스텝을 소정의 횟수씩 반복함으로써, 붕소 첨가 질화 실리콘막을 성막하는 성막 방법이 제공된다.
본 발명의 제2 관점에 의하면, 복수의 기판을 보유지지하는 기판 보유지지부가 수용되는 반응관과, 실리콘을 포함하는 제1 원료 가스를 상기 반응관으로 공급하는 제1 가스 공급부로서, 상기 제1 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제1 개폐 밸브를 갖는 당해 제1 원료 가스 공급부와, 붕소를 포함하는 제2 원료 가스를 상기 반응관으로 공급하는 제2 가스 공급부로서, 상기 제2 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제2 개폐 밸브를 갖는 당해 제2 원료 가스 공급부와, 질소를 포함하는 제3 원료 가스를 상기 반응관으로 공급하는 제3 가스 공급부로서, 상기 제3 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제3 개폐 밸브를 갖는 당해 제3 원료 가스 공급부와, 상기 반응관과, 당해 반응관과 접속되는 배기부와의 사이에 형성되고, 상기 반응관과 상기 배기부를 연통시키고 차단하는 제4 개폐 밸브와, 상기 제4 개폐 밸브를 닫은 채, 상기 제1 개폐 밸브를 열어 상기 제1 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제1 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제1 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 실리콘층이 형성되고, 상기 제4 개폐 밸브를 닫은 채, 상기 제2 개폐 밸브를 열어 상기 제2 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제2 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제2 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 붕소층이 형성되도록, 상기 제1 개폐 밸브, 상기 제2 개폐 밸브, 상기 제3 개폐 밸브 및, 상기 제4 개폐 밸브를 제어하는 제어부를 구비하는 성막 장치가 제공된다.
본 발명의 제3 관점에 의하면, 전계 효과 트랜지스터와, 기둥 형상을 갖는 커패시터를 갖는 반도체 장치를 제조하는 제조 방법으로서, 상기 전계 효과 트랜지스터가 형성된 기판 상에, 붕소 첨가 질화 실리콘막을 포함하는 다층막을 형성하고, 상기 다층막을 관통하여, 상기 전계 효과 트랜지스터의 불순물 확산 영역과 전기적으로 접속되고, 기둥 형상을 갖는 제1 전극을 형성하고, 상기 붕소 첨가 질화 실리콘막이 남도록 상기 다층막을 제거하고, 상기 제1 전극의 적어도 측면에 유전체막을 형성하고, 상기 유전체막을 덮는 제2 전극을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 제4 관점에 의하면, 전계 효과 트랜지스터와, 기둥 형상을 갖는 커패시터를 갖는 반도체 장치로서, 상기 전계 트랜지스터의 불순물 확산 영역과 전기적으로 접속하고, 기둥 형상을 갖는 제1 전극과, 상기 제1 전극의 적어도 측면에 형성되는 유전체막과, 상기 유전체막 상에 형성되는 제2 전극과, 상기 기둥 형상을 갖는 상기 제1 전극의 길이 방향과 교차하는 방향으로 연장되고, 상기 제2 전극의 적어도 일부를 관통하여 상기 제1 전극을 연결하는 붕소 첨가 질화 실리콘막에 의해 형성되는 지지막을 구비하는 반도체 장치가 제공된다.
본 발명의 실시 형태에 의하면, 기둥 형상을 갖고 조밀하게 배열되는 전극의 도괴를 막는 것이 가능한 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치를 제공한다.
도 1은 본 발명의 실시 형태에 의한 반도체 장치의 구조를 나타내는 개략 단면도이다.
도 2는 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 3은 도 2에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 4는 도 3에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 5는 도 4에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 6은 도 5에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 7은 도 6에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 8은 도 7에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 9는 도 8에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 10은 도 9에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 11은 도 10에 이어서, 본 발명의 실시 형태에 의한 반도체 장치의 제조 방법을 설명하는 설명도이다.
도 12는 도 2에서 도 11까지를 참조하면서 설명한 반도체 장치의 제조 방법에 적합하게 사용할 수 있는 성막 장치를 나타내는 개략도이다.
도 13은 도 12의 성막 장치를 나타내는 개략 상면도이다.
도 14는 본 발명의 실시 형태에 의한 성막 방법을 설명하는 타이밍 차트이다.
도 15는 본 발명의 실시 형태에 의한 성막 방법의 효과를 확인하기 위해 행한 실험의 결과를 설명하는 도면이다.
도 16은 본 발명의 실시 형태에 의한 반도체 장치 및, 그 제조 방법에 의해 얻어지는 효과를 설명하는 도면이다.
도 17은 본 발명의 실시 형태에 의한 성막 방법의 효과를 확인하기 위해 행한 실험의 다른 결과를 설명하는 도면이다.
도 18은 본 발명의 실시 형태에 의한 성막 방법의 효과를 확인하기 위해 행한 실험의 다른 결과를 설명하는 도면이다.
(발명을 실시하기 위한 형태)
이하, 첨부의 도면을 참조하면서, 본 발명의 한정적이지 않은 예시의 실시 형태에 대해서 설명한다. 첨부의 전체 도면 중, 동일 또는 대응하는 부재 또는 부품에 대해서는, 동일 또는 대응하는 참조 부호를 붙여, 중복되는 설명을 생략한다. 또한, 도면은, 부재 또는 부품 간의 상대비를 나타내는 것을 목적으로 하지 않고, 따라서, 구체적인 치수는, 이하의 한정적이지 않은 실시 형태에 비추어, 당업자에 의해 결정되어야 할 것이다.
(제1 실시 형태)
도 1에서 도 11까지를 참조하면서, 본 발명의 제1 실시 형태에 의한 반도체 장치의 제조 방법에 대해서, 필러형 커패시터를 포함하는 메모리 셀을 제조하는 경우를 예로 들어 설명한다.
도 1은, 본 실시 형태에 의한 반도체 장치인 메모리 셀의 일 예를 나타내는 단면도이다. 도시한 바와 같이, 메모리 셀(100)은, p형의 실리콘 웨이퍼(W)(이하, 웨이퍼(W))에 형성되는 (전계 효과) 트랜지스터(Tr)와, 층간 절연막(1)을 개재하여 트랜지스터(Tr)의 상방에 형성되는 커패시터(C)를 갖고 있다. 트랜지스터(Tr)는, 웨이퍼(W)에 국소적으로 절연체를 매입함으로써 형성된 소자 분리 영역(50)과, 소자 분리 영역(50)에 의해 구획되는 활성화 영역에 있어서 웨이퍼(W)에 형성된 오목부의 측벽을 덮는 게이트 산화막(51s)과, 게이트 산화막(51s)에 의해 획성(define)되는 오목부를 매입함과 함께, 오목부보다도 상방에 돌출하도록 형성되는 게이트 전극(51e)과, 소스/드레인 영역으로서의 불순물 확산 영역(51c, 51n)을 갖고 있다.
또한, 트랜지스터(Tr)의 불순물 확산 영역(51c)은, 콘택트 플러그(51p)를 개재하여 비트선(51b)과 전기적으로 접속되어 있다. 한편, 소자 분리 영역(50) 상에는 워드선(51w)이 형성되어 있다. 또한, 게이트 전극(51e) 및 워드선(51w) 의 위에는 예를 들면 질화 실리콘으로 이루어지는 절연막(54)이 형성되고, 이들을 덮도록 절연막(53)이 형성되어 있다. 절연막(53)의 위에는, 전술한 층간 절연막(1)이 형성되어 있다.
커패시터(C)는, 필러 형상을 갖는 하부 전극(7L)과, 하부 전극(7L)을 덮는 유전체층(7K)과, 유전체층(7K) 상에 형성되는 상부 전극(7U)을 갖고 있다. 하부 전극(7L)은, 소스 전극 또는 드레인 전극을 겸하는 콘택트 플러그(2)를 개재하여 트랜지스터(Tr)의 불순물 확산 영역(51n)과 전기적으로 접속되어 있다.
또한, 하부 전극(7L)은, 본 실시 형태에 있어서는 루테늄(Ru)으로 형성되고, 예를 들면 약 40㎚의 직경과, 약 2000㎚의 높이를 갖고 있다. 하부 전극(7L)의 애스펙트비(높이/직경)가 큰 경우에는, 하부 전극(7L)이 도괴될 우려가 있기 때문에, 하부 전극(7L)의 상부에 하부 전극(7L)의 도괴를 막기 위한 서포트막(5)이 형성되어 있다. 서포트막(5)은, 후술하는 바와 같이 붕소를 함유하는 질화 실리콘(SiBN)에 의해 형성된다. 설명의 편의상, 이하의 설명에 있어서 서포트막(5)을 SiBN막이라고 하는 경우가 있다.
또한, 유전체층(7K)은, 예를 들면 하프늄옥사이드(HfO2), 알루미늄옥사이드(Al2O3), 티탄산 스트론튬(SrTiO3), 또는 산화 지르코늄(ZrO2)과 같은 고유전체(high-k) 재료로 구성된다. 또한, 이들 재료를 적층한 다층막에 의해 유전체층(7K)을 형성해도 좋다.
또한, 상부 전극(7U)은, Ru, W 등의 금속 또는 폴리실리콘에 의해 형성할 수 있다.
(제2 실시 형태)
다음으로, 본 발명의 제2 실시 형태에 의한 반도체 장치의 제조 방법에 대해서 설명한다. 이하의 설명에서는, 도 1에 나타내는 반도체 장치(100)를 제조하는 경우를 예로 든다.
(트랜지스터(Tr)의 형성)
먼저, 반도체 장치(100)의 트랜지스터(Tr)의 제조에 대해서 설명한다. 우선, 포토리소그래피 기술에 의해, 소자 분리 영역(50)이 되는 오목부를 웨이퍼(W)에 형성하고, 오목부를 매입하도록 웨이퍼(W) 상에 예를 들면 산화 실리콘을 퇴적하고, 그 산화 실리콘막을 예를 들면 화학 기계 연마(CMP)법에 의해 제거함으로써, 소자 분리 영역(50)이 형성된다. 이어서, 웨이퍼(W) 표면에 있어서의 소자 분리 영역(50)의 사이의 영역에 대하여, 인 등의 n형 불순물을 이온 주입한다. 이 후, 이온이 주입된 영역의 위에 마스크층을 형성하여, 웨이퍼(W)에 오목부를 형성한다. 이에 따라, 이온이 주입된 영역이 분리되어, 불순물 확산 영역(51c 및 51n)이 얻어진다. 또한, 마스크층을 남긴 채, 열 산화법에 의해 오목부의 내면에 게이트 산화막(51s)을 형성한다. 이어서, 게이트 산화막(51s)으로 획성되는 오목부를 매입하도록 예를 들면 TiN 등의 금속과 질화 실리콘을 이 순서로 퇴적하고, 마스크층을 제거(리프트 오프)하면, 게이트 전극(51e) 및 절연막(54)이 얻어진다.
또한, 마스크층에는, 소자 분리 영역(50)의 표면에 대응한 개구가 형성되어 있고, 이에 따라, 게이트 전극(51e)과 함께 워드선(51w)이 형성되고, 워드선(51w) 상에도 절연막(54)이 얻어진다.
다음으로, 게이트 전극(51e), 절연막(54) 및, 웨이퍼(W)의 표면을 덮도록 절연막(53)을 형성한다. 이어서, 절연막(53) 중에, 불순물 확산 영역(51c)과 접속하는 콘택트 플러그(51p)를 형성한 후, 콘택트 플러그(51p)와 접속하는 비트선(51b)을 형성한다. 다음으로, 절연막(53) 및 비트선(51b)을 덮도록 층간 절연막(1)을 형성한 후, 포토리소그래피 기술 및 에칭에 의해, 콘택트 플러그(2)를 형성하기 위해, 층간 절연막(1) 및 절연막(53)을 관통하는 개구를 형성한다.
이어서, 개구의 측면 및 저면과, 층간 절연막(1)의 위에 티탄(Ti) 및 질화 티탄(TiN)을 이 순서로 퇴적한 후, 통로를 매입하도록 텅스텐(W)을 퇴적한다. 이 후, 층간 절연막(1) 상에 퇴적된 Ti, TiN 및, W를, CMP법에 의해 제거하고, 층간 절연막(1)을 노출시킨다. 이에 따라, 층간 절연막(1) 및 절연막(53)을 관통하고, 불순물 확산 영역(51n)에 전기적으로 접속하는 콘택트 플러그(2)가 형성된다. 이 후, 층간 절연막(1) 상에, 절연막(질화 실리콘)(3)을 형성하면, 트랜지스터(Tr)의 형성이 종료한다.
(커패시터(C)의 형성)
다음으로, 도 2에서 도 11까지를 참조하면서, 메모리 셀(100)의 커패시터(C)의 형성 방법을 설명한다. 도 2에서 도 11은, 설명의 편의상, 트랜지스터(Tr)를 생략한다. 또한, 도 2에서 도 8에 있어서는 2개의 단면도를 나타낸다. 각 도면의 (a)는, 도 1에 나타내는 단면(x-z면)에 대응한 단면을 나타내고, 도 (b)는, 도 (a) 중의 A-A선에 따른 단면도(z-y면)를 나타낸다.
도 2에 나타내는 바와 같이, 절연막(3)의 위에, 산화 실리콘막(4), 붕소를 함유하는 질화 실리콘(SiBN)막(5), 산화 실리콘막(6), 어모포스 카본막(7) 및, 반사 방지막(8)을 이 순서로 예를 들면 CVD법에 의해 퇴적한다. 이들 막의 막두께를 예시하면, 절연막(3)은 50∼100㎚이고, 산화 실리콘막(4)은 1∼3㎛이고, SiBN막(5)은 약 100㎚이고, 산화 실리콘막(6)은 약 100㎚이고, 어모포스 카본막(7)은 약 800㎚이다. 또한, 반사 방지막(8)은, 산질화 실리콘(SiON)층과, 이 위에 퇴적되는 산화 실리콘막에 의해 구성될 수 있다.
또한, SiBN막(5)은, 후술하는 본 발명의 실시 형태에 따른 퇴적 방법에 의해 적합하게 성막된다. 이 성막 방법에 대해서는 후술한다.
또한, 반사 방지막(8)의 위에는 포토 레지스트막(9)이 형성되고 있고, 도 2(a)에 나타내는 바와 같이, 포토 레지스트막(9)은, y축 방향으로 연장되는 라인이 x축 방향으로 소정의 피치로 배열되는 라인·앤드·스페이스(L/S) 패턴으로 패터닝되어 있다. 여기에서, L/S 패턴의 스페이스는, 콘택트 플러그(2)의 상방에 위치하고 있고, 또한, 스페이스의 폭은 콘택트 플러그(2)의 폭(직경)과 동일하다.
다음으로, 포토 레지스트막(9)을 마스크로서 이용하고, CF4 가스를 이용한 이방성 드라이 에칭에 의해 반사 방지막(8)을 에칭하고, 또한, 포토 레지스트막(9)과 에칭된 반사 방지막(8)을 마스크로서 이용하고, 산소(O2) 가스를 이용한 이방성 드라이 에칭에 의해, 어모퍼스 카본막(7)을 에칭한다. 이때, 포토 레지스트막(9)도 또한 O2 가스를 이용한 에칭에 의해 제거된다. 이 결과, 도 3에 나타내는 구조가 얻어진다.
이어서, L/S 패턴이 전사된 어모퍼스 카본막(7)을 마스크로서 이용하고, 이방성 드라이 에칭에 의해, 산화 실리콘막(6), SiBN막(5), 산화 실리콘막(4) 및, 절연막(3)(질화 실리콘)을 에칭한다. 이 결과, 도 4(a)에 나타내는 바와 같이, 산화 실리콘막(6), SiBN막(5), 산화 실리콘막(4) 및, 절연막(3)을 관통하여, y축 방향으로 연장하고, x축 방향으로 소정의 피치로 배열되는 복수의 홈부(10)가 형성된다. 홈부(10)의 저부에는 콘택트 플러그(2)가 노출되어 있다.
다음으로, 에칭되지 않고 남는 산화 실리콘막(6)의 표면을 덮고, 홈부(10)를 매입하도록 루테늄(Ru)막(11)을 CVD법에 의해 퇴적한다. 이 후, 산소(O2) 가스와 염소(Cl2) 가스와의 혼합 가스를 이용한 드라이 에칭에 의해, 또는 CMP에 의해, 산화 실리콘막(6)이 노출될 때까지 Ru막(11)을 제거한다. 이 결과, 도 5(a)에 나타내는 바와 같이, 홈부(10)에 매입된 Ru막(11)이, 산화 실리콘막(6)의 표면에 노출되게 된다. 또한, Ru막(11)은, 저부에 있어서 콘택트 플러그(2)와 전기적으로 접속되어 있다.
이어서, 산화 실리콘막(6)의 표면과, 여기에 노출되는 Ru막(11)의 위에, 도 6에 나타내는 바와 같이, 산화 실리콘막(12), 어모퍼스 카본막(13) 및, 반사 방지막(14)을 이 순서로 퇴적한다. 여기에서, 산화 실리콘막(12)의 막두께는 90∼110㎚이고, 어모퍼스 카본막(13)의 막두께는 720∼880㎚이다. 또한, 반사 방지막(14)은, 산질화 실리콘(SiON)층과, 이 위에 퇴적되는 산화 실리콘막에 의해 구성될 수 있다. 또한, 반사 방지막(14)의 위에는 포토 레지스트막(17)이 형성되어 있다. 이 포토 레지스트막(17)은, 도 6(b)에 나타내는 바와 같이, x축 방향으로 연장되는 라인이 y축 방향으로 소정의 피치로 배열되는 L/S 패턴으로 패터닝되어 있다. 또한, 포토 레지스트막(17)에 있어서의 라인은, 콘택트 플러그(2)의 배열 방향(x축 방향)을 따름과 함께, 이들 콘택트 플러그(2)의 상방에 위치하고 있다.
또한, 도 2에 나타낸 포토 레지스트막(9)의 라인은 y축 방향으로 연장되어 있었기 때문에, 포토 레지스트막(9)에 기초하여 형성된 Ru막(11)도 또한 y축 방향으로 연장되어 있다. 한편, 도 6에 나타낸 포토 레지스트막(17)의 라인은 x축 방향으로 연장되어 있다. 즉, 상방으로부터 (-z축 방향으로) 보면, Ru막(11)과 포토 레지스트막(17)의 라인이 우물 정자(井) 형상으로 배열되어 있다. 그리고, Ru막(11)에 있어서의 포토 레지스트막(17)의 라인과 겹치는 부분의 하방에, 콘택트 플러그(2)가 위치하고 있다.
다음으로, 포토 레지스트막(17)을 마스크로서 이용하고, CF4 가스를 이용한 이방성 드라이 에칭에 의해 반사 방지막(14)을 에칭한다. 그리고, 포토 레지스트막(17)과, 포토 레지스트막(17)의 L/S 패턴이 전사된 반사 방지막(14)을 마스크로서 이용하고, 산소(O2) 가스를 이용한 이방성 드라이 에칭에 의해, 어모퍼스 카본막(13)을 에칭한다. 이에 따라, 포토 레지스트막(17)의 L/S 패턴이 어모퍼스 카본막(13)에 전사된다. 또한, 이 에칭에 의해, 포토 레지스트막(17)도 또한 제거된다. 이 결과, 도 7에 나타내는 구조가 얻어진다.
다음으로, 어모퍼스 카본막(13)을 마스크로서 이용하고, 이방성 드라이 에칭에 의해 산화 실리콘막(12), 산화 실리콘막(6), SiBN막(5) 및, 산화 실리콘막(4)을 에칭한다. 이 결과, 도 8에 나타내는 구조가 얻어진다. 이 구조를 사시도로 나타내면 도 9와 같다. 즉, 이 구조는, x축 방향으로 연장되고, y축 방향으로 소정의 피치로 배열되는 복수의 제1 벽부(W1)와, 제1 벽부(W1)에 직교하는, y축 방향으로 연장되고, x축 방향으로 소정의 피치로 배열되는 제2 벽부(W2)를 갖고 있다. 제2 벽부(W2)는, Ru막(11)으로 구성되어 있고, 제1 벽부(W1)는, Ru막(11)을 포함함과 함께, 어모퍼스 카본막(13)을 이용한 에칭에 있어서 잔존한 산화 실리콘막(4), SiBN막(5), 산화 실리콘막(6) 및, 산화 실리콘막(12)을 갖고 있다.
다음으로, 산화 실리콘막(12)을 마스크로서 이용하고, 예를 들면 유도 결합 플라즈마(ICP)형의 드라이 에칭 장치에 있어서 Ru막(11)을 이방성 에칭한다. 이 이방성 에칭에서는, 산소(O2) 가스와 염소(Cl2) 가스를 혼합한 가스가 에칭 가스로서 이용된다. 이 에칭에 의해, 도 9에 나타내는, 노출된 제2 벽부(W2)가 제거되고, 제1 벽부(W1)가 남게 된다(도 10 참조).
이어서, 묽은 불산(DHF)을 이용한 습식 에칭에 의해, 제1 벽부(W1) 내에 잔존하고 있는 산화 실리콘막(12), 산화 실리콘막(6) 및, 산화 실리콘막(4)을 제거하면, 도 11에 나타내는 바와 같이, 층간 절연막(1) 및 절연막(3) 상에, Ru막(11)과 SiBN막(5)이 남는다. 이 Ru막(11)은, 콘택트 플러그(2)의 위에 입설함과 함께, 매트릭스 형상으로 배치되는 복수의 기둥 형상을 갖고 있으며, 하부 전극(7L)에 상당한다. 도 11에 나타내는 바와 같이, 하부 전극(7L)은, x축 방향으로 연장되는 SiBN막(5)에 의해 상부에 있어서 연결되고, 이에 따라 도괴가 방지되고 있다.
다음으로, 하부 전극(7L), 절연막(3) 및, SiBN막(5)의 노출면을 덮도록 유전체층(7K)을 형성한다. 이 후, CVD법에 의해, Ru, W 등의 금속 또는 폴리실리콘을 이용하여, 상부 전극(7U)을 형성한다. 그리고, 상부 전극(7U) 상에 층간 절연막(73), 배선(74) 및, 표면 보호막(75)(도 1)을 형성하면, 도 1에 나타내는 메모리셀(100)이 완성된다.
(제3 실시 형태)
다음으로, 전술한 SiBN막(5)을 성막하는 데에 적합한, 본 발명의 실시 형태에 의한 성막 장치에 대해서, 도 12 및 도 13을 참조하면서 설명한다.
도 12는, 본 발명의 실시 형태에 의한 성막 장치를 나타내는 개략 단면도이고, 도 13은, 성막 장치(가열 수단은 생략)를 나타내는 횡단면도이다. 도시하는 바와 같이, 성막 장치(200)는, 하단이 개구되고 천정이 있는 원통체 형상의 처리 용기(400)를 갖고 있다. 처리 용기(400)는, 예를 들면 석영에 의해 형성되고, 그 천정에는, 석영제의 천정판(6)이 형성되어 있다. 또한, 처리 용기(400)의 하단 개구부에는, 예를 들면 스테인리스 스틸에 의해 형성된, 원통체 형상을 갖는 매니폴드(800)가 O링 등의 시일 부재(81)를 개재하여 연결되고, 매니폴드(800)에 의해 처리 용기(400)가 지지되어 있다. 매니폴드(800)의 하부 개구로부터, 다수매의 피처리체로서의 반도체 웨이퍼(W)를 다단으로 올려놓은 보유지지 수단으로서의 석영제의 웨이퍼 보트(120)가 승강 가능하도록 삽탈이 자유롭게 되어 있다. 본 실시 형태에 있어서, 웨이퍼 보트(120)의 지주(12A)에는, 예를 들면 50∼100매의 직경이 300㎜의 웨이퍼(W)를 대략 등(等)피치로 다단으로 지지될 수 있다.
웨이퍼 보트(120)는, 석영제의 보온통(140)을 개재하여 테이블(160) 상에 올려놓여져 있고, 이 테이블(160)은, 매니폴드(800)의 하단 개구부를 개폐하는 예를 들면 스테인리스 스틸제의 덮개부(180)를 관통하는 회전축(20)에 의해 지지된다. 회전축(20)과, 회전축(20)이 관통하는, 덮개부(180)에 있어서의 관통공과의 사이에는, 예를 들면 자성 유체 시일(22)이 개설되고, 이 회전축(20)을 기밀하게 회전 가능하도록 지지하고 있다. 또한, 덮개부(180)의 주변부와 매니폴드(800)의 하단부에는, 예를 들면 O링 등으로 이루어지는 시일 부재(24)가 개설되어 있고, 처리 용기(400) 내의 밀폐성이 보유지지되어 있다.
회전축(20)은, 예를 들면 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 아암(26)의 선단에 부착되어 있고, 웨이퍼 보트(120) 및 덮개부(180) 등을 일체적으로 승강하여 처리 용기(400) 내로 출입된다. 또한, 테이블(160)을 덮개부(180)에 고정하고, 웨이퍼 보트(120)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하게 해도 좋다.
매니폴드(800)에는, 플라즈마화되는 질화 가스로서, 예를 들면 암모니아(NH3) 가스를 공급하는 질화 가스 공급 수단(28)과, 성막 가스인 실란계 가스로서 예를 들면 DCS(디클로로실란) 가스를 공급하는 실란계 가스 공급 수단(30)과, 붕소 함유 가스로서 예를 들면 BCl3 가스를 공급하는 붕소 함유 가스 공급 수단(32)과, 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급하는 퍼지 가스 공급 수단(36)이 접속되어 있다.
구체적으로는, 질화 가스 공급 수단(28)은, 매니폴드(800)의 측벽을 내측으로 관통하고, 굴곡되어 상방향으로 연장되는 석영관으로 이루어지는 가스 분산 노즐(38)을 갖고 있다. 가스 분산 노즐(38)에는, 그 길이 방향을 따라서 복수(다수)의 가스 분사공(38A)이 소정의 간격을 두고 형성되어 있으며, 각 가스 분사공(38A)으로부터 수평 방향을 향하여 대략 균일하게 암모니아 가스가 분사된다.
또한, 실란계 가스 공급 수단(30)은, 매니폴드(800)의 측벽을 내측으로 관통하고, 굴곡되어 상방향으로 연장되는 석영관으로 이루어지는 가스 분산 노즐(40)을 갖고 있다. 가스 분산 노즐(40)에는, 그 길이 방향을 따라서 복수(다수)의 가스 분사공(40A)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사공(40A)으로부터 수평 방향을 향하여 대략 균일하게 실란계 가스인 DCS 가스가 분사된다.
또한, 붕소 함유 가스 공급 수단(32)는, 매니폴드(800)의 측벽을 내측으로 관통하고, 굴곡되어 상방향으로 연장되는 석영관으로 이루어지는 가스 분산 노즐(42)을 갖고 있다. 가스 분산 노즐(42)에는, 그 길이 방향을 따라서 복수(다수)의 가스 분사공(42A)(도 13 참조)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사공(42A)으로부터 수평 방향을 향하여 대략 균일하게 BCl3 가스가 분사된다.
또한, 퍼지 가스 공급 수단(36)은, 매니폴드(800)의 측벽을 내측으로 관통하는 가스 노즐(46)을 갖고 있다.
전술한 각 노즐(38, 40, 42, 46)에는, 각각의 가스 통로(48, 50, 52, 56)가 접속되어 있다. 각 가스 통로(48, 50, 52, 56)에는, 각각 개폐 밸브(48A, 50A, 52A, 56A) 및, 예를 들면 매스 플로우 컨트롤러 등의 유량 제어기(48B, 50B, 52B, 56B)가 형성되어 있다. 이들에 의해, NH3 가스, DCS 가스, BCl3 가스 및, N2 가스가, 유량 제어되어 공급될 수 있다. 또한, 이들 각 가스의 공급, 정지, 가스 유량의 제어, 배기계(ES)의 개폐 밸브(84a) 및 압력 조정 밸브(84b), 그리고 고주파 전원(76)의 온·오프 제어 등은, 예를 들면 컴퓨터 등을 포함하는 제어부(60)에 의해 행해진다. 제어부(60)는, 성막 장치(200)의 전체의 동작도 제어한다. 또한, 제어부(60)는, 제어를 행하기 위한 프로그램이 기억되어 있는 기억 매체(62)로부터 프로그램을 읽어 들이고, 읽어 들인 프로그램을 실행함으로써, 성막 장치(200) 및 각 구성 부품 또는 부재를 제어한다. 기억 매체(62)는, 예를 들면, 하드 디스크, 콤팩트 디스크, 광자기 디스크, 메모리 카드, 플로피 디스크(등록 상표) 등이라도 좋다.
처리 용기(400)의 측벽의 일부에는, 그 높이 방향을 따라서 플라즈마를 발생시켜 질화 가스를 활성화시키는 활성화부(66)가 형성되어 있다. 구체적으로는, 활성화부(66)는, 처리 용기(400)의 측벽에 상하 방향으로 가늘고 길게 형성된 개구(70)를, 그 외측으로부터, 예를 들면 석영제의 플라즈마 구획벽(72)으로 기밀하게 덮음으로써 형성되어 있다. 보다 구체적으로는, 개구(70)에 대하여, 그 외측으로부터 플라즈마 구획벽(72)을 용접함으로써 형성되어 있다. 이에 따라, 처리 용기(400)의 측벽에는, 오목부 형상의 외측으로 움푹 패여, 처리 용기(400)를 향하여 개구하는 공간이 형성된다. 개구(70)는, 웨이퍼 보트(120)에 보유지지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다.
또한, 플라즈마 구획벽(72)의 외측에 있어서, 양 측벽을 따라서, 상하 방향으로 연장되어 서로 대향하는 가늘고 긴 한 쌍의 플라즈마 전극(74)이 형성되어 있다(도 13 참조). 플라즈마 전극(74)에는 플라즈마 발생용의 고주파 전원(76)이 급전 라인(78)을 통하여 접속되어 있고, 플라즈마 전극(74)에 예를 들면 13.56㎒의 고주파 전압을 인가함으로써, 플라즈마 구획벽(72)의 내부 공간에 플라즈마를 발생시킬 수 있다. 또한, 고주파 전압의 주파수는 13.56㎒로 한정되지 않고, 다른 주파수, 예를 들면 400㎑ 등을 이용해도 좋다.
또한, 플라즈마 구획벽(72)의 외측에는, 이를 덮도록 하여 예를 들면 석영으로 이루어지는 절연 보호 커버(80)가 부착되어 있다. 또한, 이 절연 보호 커버(80)의 내측 부분에는, 도시하지 않는 냉매 통로가 형성되어 있고, 냉각된 질소 가스나 냉각수를 흘림으로써 플라즈마 전극(74)이 냉각될 수 있다.
또한, 질화 가스용의 가스 분산 노즐(38)은, 도중에 처리 용기(400)의 반경 방향 바깥쪽으로 굴곡되어, 플라즈마 구획벽(72)의 웨이퍼 보트(120)에 대향하는 면을 따라서 기립하고 있다. 따라서, 고주파 전원(76)으로부터 플라즈마 전극(74)으로 고주파 전압을 인가하면, 가스 분산 노즐(38)의 가스 분사공(38A)으로부터 분사된 암모니아 가스는, 플라즈마 구획벽(72)의 내부 공간에서 활성화되고, 처리 용기(400) 내의 웨이퍼 보트(120)를 향하여 흐른다.
한편, 도 13에 나타내는 바와 같이, 실란계 가스용의 가스 분산 노즐(40)과 붕소 함유 가스용의 가스 분산 노즐(42)은, 처리 용기(400) 내에 있어서, 플라즈마 구획벽(72)의 개구(70)의 외측에 각각 기립하고 있다. 각 노즐(40, 42)에 형성된 각 가스 분사공(40A, 42A)으로부터 처리 용기(400)의 중심 방향을 향하여 실란계 가스와 BCl3 가스가 각각 분사된다.
또한, 처리 용기(400)에는, 처리 용기(400) 내를 배기하는 가늘고 긴 배기구(68)가, 웨이퍼 보트(120)와 마주하여 활성화부(66)를 가로막도록 형성되어 있다. 또한, 배기구(68)를 덮도록 하여 석영으로 이루어지는 단면 コ자 형상으로 성형된 배기구 커버 부재(82)가 용접에 의해 부착되어 있다. 이 배기구 커버 부재(82)는, 처리 용기(400)의 측벽을 따라서 상방으로 연장되어 있고, 처리 용기(400)의 상방에 가스 출구(84)를 갖고 있다. 가스 출구(84)는, 개폐 밸브(84a), 압력 조정 밸브(84b) 및, 진공 펌프(84p)를 포함하는 배기계(ES)에 접속되고, 이들에 의해 처리 용기(400)는 진공으로 배기된다. 또한, 처리 용기(400)의 외주를 둘러싸도록 하여 이 처리 용기(400) 및 이 내부의 웨이퍼(W)를 가열하는 통체 형상의 가열부(86)가 형성되어 있다.
(제4 실시 형태)
다음으로, 도 12 및 도 13에 더하여 도 14를 참조하면서, 본 발명의 제4 실시 형태에 의한 성막 방법에 대해서, 전술한 성막 장치(200)를 이용하여 전술한 SiBN막을 성막하는 경우를 예로 든다.
우선, 예를 들면 50∼100매의 300㎜ 사이즈의 웨이퍼(W)를 웨이퍼 보트(120)(도 12)에 탑재한다. 이어서, 이 웨이퍼 보트(120)를, 미리 소정의 온도로 설정된 처리 용기(400) 내에 대하여 하방으로부터 삽입하고, 덮개부(18)를 닫음으로써, 처리 용기(400)를 밀폐한다. 처리 용기(400) 내를 배기하여 소정의 압력으로 유지함과 함께, 가열 수단(86)으로의 공급 전력을 증대시킴으로써, 웨이퍼(W)를 가열하여 프로세스 온도로 유지한다.
다음으로, 배기계(ES)의 개폐 밸브(84a)(도 12)를 열고, 압력 조정 밸브(84b)를 완전히 개방하여, 진공 펌프(84p)에 의해 처리 용기(400) 내를 도달 진공도까지 배기한다. 이 후, 개폐 밸브(84a)를 닫아 처리 용기(400) 내를 봉지한다. 이어서, 개폐 밸브(50A)(도 12)를 열고, 실란계 가스 공급 수단(30)으로부터 DCS 가스를 처리 용기(400) 내로 공급하고, 소정의 기간 T1(도 14)의 경과 후, 개폐 밸브(50A)를 닫는다. 이 후, 소정의 기간 T2 동안, 처리 용기(400)는 봉지된 채로 방치된다. 기간 T1 중에 처리 용기(400) 내에 공급된 DCS 가스는, 기간 T1뿐만 아니라 기간 T2에 있어서도 처리 용기(400) 내에 가두어져 있으며, 이에 따라, 웨이퍼 보트(120)에 보유지지되는 웨이퍼(W)의 표면에 DCS 가스가 충분히 흡착된다.
다음으로, 압력 조정 밸브(84b)를 완전히 개방한 채 개폐 밸브(84a)를 열고, 처리 용기(400) 내의 분위기 중의 DCS 가스를 배기한다. 소정의 기간 T3의 경과 후, 개폐 밸브(48A)(도 12)를 열고, 질화 가스 공급 수단(28)으로부터 NH3 가스를 처리 용기(400) 내로 공급함과 함께, 압력 조정 밸브(84b)를 예를 들면 반을 개방함으로써, 처리 용기(400) 내를 소정의 압력으로 유지한다. 소정의 기간 T4가 경과하고, 처리 용기(400) 내의 압력이 안정된 후, 고주파 전원(76)을 온으로 하여 플라즈마 전극(74)에 예를 들면 13.56㎒의 고주파 전압을 인가하면, 플라즈마 구획벽(72)의 내부 공간에 플라즈마가 발생하고, 이에 따라 NH3 가스가 활성화된다. NH3의 활성화에 의해 생성된 활성종이, 웨이퍼(W)의 표면에 흡착된 DCS 가스를 질화 하고, 웨이퍼(W)의 표면에 1 또는 수분자층의 SiN층이 형성된다. 소정의 기간 T5가 경과한 후, 고주파 전원(76)을 오프로 하고, 개폐 밸브(48A)를 닫음과 함께 압력 조정 밸브(84b)를 완전히 개방함으로써, 처리 용기(400) 내의 NH3 가스를 소정의 기간 T6만큼 배기하고, 재차 압력 조정 밸브(84b)를 닫는다. 이어서, 이상의 기간 T1에서 T6까지의 사이클이 소정의 횟수 반복되고, 소정의 분자수를 갖는 SiN막이 웨이퍼(W)의 표면 상에 성막된다.
다음으로, SiN막의 성막 사이클의 최후의 기간 T6 후, 압력 조정 밸브(84b)를 닫은 채, 실란계 가스 공급 수단(30)의 개폐 밸브(50A) 대신에, 붕소 함유 가스 공급 수단(32)의 개폐 밸브(52A)를 열고 BCl3 가스를 처리 용기(400) 내에 공급하고, 소정의 기간 P1의 경과 후, 개폐 밸브(52A)를 닫는다. 이 후, 소정의 기간 P2 동안, 처리 용기(400)는 봉지된 채로 방치된다. 처리 용기(400) 내에 기간 P1 중에 공급된 BCl3 가스는, 기간 P1뿐만 아니라 기간 P2에 있어서도 처리 용기(400) 내에 가두어져 있고, 이에 따라, 웨이퍼 보트(120)에 보유지지되는 웨이퍼(W)의 표면(SiN막 상)에 BCl3 가스가 충분히 흡착된다.
다음으로, 압력 조정 밸브(84b)를 완전히 개방한 채 개폐 밸브(84a)를 열고, 처리 용기(400) 내의 분위기 중의 BCl3 가스를 배기한다. 소정의 기간 P3의 경과 후, 개폐 밸브(48A)(도 12)를 열고, 질화 가스 공급 수단(28)으로부터 NH3 가스를 처리 용기(400) 내로 공급함과 함께, 압력 조정 밸브(84)를 반을 개방함으로써, 처리 용기(400) 내를 소정의 압력으로 유지한다. 소정의 기간 P4가 경과하고, 처리 용기(400) 내의 압력이 안정된 후, 고주파 전원(76)을 온으로 하여 플라즈마 전극(74)에 예를 들면 13.56㎒의 고주파 전압을 인가하면, 플라즈마 구획벽(72)의 내부 공간에 플라즈마가 발생하고, 이에 따라 NH3 가스가 활성화된다. NH3의 활성화에 의해 생성된 활성종이, 웨이퍼(W)의 표면에 흡착된 BCl3 가스를 질화하고, 웨이퍼(W)의 표면에 1 또는 수 분자층의 BN층이 형성된다. 소정의 기간 P5가 경과한 후, 고주파 전원(76)을 오프로 하고, 개폐 밸브(48A)를 닫음과 함께 압력 조정 밸브(84b)를 완전히 개방함으로써, 처리 용기(400) 내의 BCl3 가스를 소정의 기간 P6만큼 배기한다. 이어서, 이상의 기간 P1에서 P6까지의 사이클이 소정의 횟수 반복되고, 소정의 분자수를 갖는 BN막이 웨이퍼(W)의 표면 상에 성막된다.
이 이후, 기간 T1에서 T6까지의 SiN 성막 사이클과, 기간 P1에서 P6까지의 BN성막 사이클이 소정의 횟수씩 반복되고, SiBN막이 성막된다. 또한, SiN 성막 사이클의 횟수와, BN 성막 사이클의 횟수를 바꿈으로써, SixByNz(x+y+z=1)막의 조성 x 및 조성 y를 조정할 수 있다.
상기의 성막 방법에 있어서의 조건을 예시하면 이하와 같다.
·DCS 유량: 50∼2000sccm
·BCl3 유량: 50∼300sccm
·NH3 유량: 500∼5000sccm
·NH3 공급시의 처리 용기(400) 내 압력: 13.3∼133Pa
·기간 T1: 약 3초
·기간 T2: 0∼30초
·기간 T3: 5∼10초
·기간 T4: 약 1초
·기간 T5: 20초
·기간 T6: 5∼10초
·기간 P1: 5∼15초
·기간 P2: 5∼10초
·기간 P3: 5∼10초
·기간 P4: 약 1초
·기간 P5: 20초
·기간 P6: 5∼10초
또한, 처리 용기(400) 내를 배기하는 기간 T3, T6, P3, P6에 있어서는, 예를 들면 퍼지 가스 공급 수단(36)으로부터 불활성 가스를 처리 용기(400) 내로 공급하고, 처리 용기(400) 내에 남는 가스를 퍼지해도 좋다. 또한, NH3 가스의 유량과 처리 용기(400) 내의 압력을 안정시키기 위한 기간 T4 및 P4를 형성하지 않고, NH3 가스의 공급 개시와 함께 고주파 전원(76)을 온으로 해도 좋다.
다음으로, 본 발명의 제4 실시 형태에 의한 성막 방법의 효과·이점에 대해서 도 15에서 도 18까지를 참조하면서 설명한다.
도 15는, 성막한 SiBN막(SiN막을 포함함)에 작용하는 응력과, 그 SiBN막 중의 붕소 농도와의 관계를 나타내는 그래프이다. 도면 중의 붕소 농도(atm.%)는, SixByNz(x+y+z=1)로 나타낸 경우의 조성 y에 대응한다. 여기에서 조성 z는 거의 0.6이고, (x+y)는 거의 0.4이다. 또한, SiBN막 중의 응력은, SiBN막을 성막하는 웨이퍼의 성막 전후에 있어서의 웨이퍼의 휨을 스트레스계에 의해 측정하고, 그 결과에 기초하여 구했다. 또한, SiBN막의 성막 온도는 550℃로 했다.
도 15에 나타내는 바와 같이, 붕소 농도가 0(즉 SiN막)인 경우, 막 중의 인장 응력은 약 1.2㎬에나 도달하고 있다. 그러나, 조성 y가 증가함에 따라서, 응력은 급격하게 감소한다. 특히 조성 y가 0.3인 경우에는, SiBN막 중에 작용하는 응력은 압축 응력(약 0.1㎬)이 되어 있다. 예를 들면, 서포트막(5)(도 11)으로서의 SiBN막의 막 중에 인장 응력이 작용하고 있으면, 예를 들면, 서포트막(5)과 하부 전극(7L)을 나타내는 상면도인 도 16에 나타내는 바와 같이, 하부 전극(7L)(Ru막(11))이, 서포트막(5)에 의해 서로 인장되어 기울어지고, 하부 전극(7L)의 상단부가 접근해 버릴 우려가 있다(도면 중의 화살표 참조). 이 경우에 있어서, 서로 이웃하는 하부 전극(7L)이, 그 상부에서 접촉해 버리면, 이미 커패시터(C)를 형성할 수 없게 된다. 그러나, 본 발명의 실시 형태에 의한 반도체 장치(100)에 있어서는, 예를 들면 SiN막과 비교하여 작은 인장 응력을 갖는 SiBN으로 서포트막을 형성하고 있기 때문에, 하부 전극(7L)이 기울어지는 것을 회피하는 것이 가능해진다. 도 15의 결과로부터, 붕소 농도는, 막 중의 응력이 0.5㎬ 이하가 되는 15atm.%에서 30atm.%까지의 범위가 바람직하고, 21atm.%에서 28atm.%까지의 범위가 더욱 바람직하다.
도 17은, SiBN막의 묽은 불산에 의한 에칭 속도에 대해서 조사한 결과를 나타낸다. 도 10에 나타내는 산화 실리콘막(12), 산화 실리콘막(6) 및, 산화 실리콘막(4)을 묽은 불산에 의해 제거하고, 하부 전극(7L)의 상부를 지지하는 서포트막(5)(SiBN막)을 얻기 위해, 서포트막(5)의 묽은 불산에 의한 에칭 내성을 조사하는 것은 중요하다.
도 17에 있어서, 종축은 에칭량을 나타내고, 횡축은 에칭 시간을 나타내고 있다. 또한, 도 17에는, 붕소 농도가 0atm.%, 12atm.%, 21atm.%, 30atm.%의 경우에 대해서 결과를 나타내고 있다. 도시한 바와 같이, 붕소 농도가 0atm.%(즉 SiN막)인 경우에 있어서, 묽은 불산에 의한 에칭 속도(도 17의 그래프에 있어서의 기울기)가 가장 크고, 붕소 농도가 높아짐에 따라, 에칭 속도가 저하되어 가는 것을 알 수 있다. 특히 붕소 농도가 30atm.%인 경우에는, 에칭 초기에 있어서는(즉 최표면은), SiN막보다도 에칭되기 쉽기는 하지만, SiN막의 에칭 속도에 비해 에칭 속도가 반감하고 있는 것을 알 수 있다. 이 결과로부터, SiBN막은, SiN막에 비해, 묽은 불산에 대한 큰 내성을 갖고 있는 것을 알 수 있다. 묽은 불산에 대한 내성이 높으면, 서포트막(5)의 막을 얇게 할 수 있다. 이 때문에, 하부 전극(7L)에 가해지는 힘도 저감되고, 하부 전극(7L)의 도괴가 한층 회피된다. 도 17에 나타내는 결과로부터는, 붕소 농도는 12atm.%에서 30atm.%까지의 범위에 있으면 바람직하다고 생각할 수 있다.
또한, 도 18은, SiBN막의 에칭량의 경시 변화에 대해서 조사한 결과를 나타내고 있다. 즉, 소정의 붕소 농도를 갖는 SiBN막(SiN막을 포함함)을 성막 2일 후와 7일 후에 동일한 조건으로 묽은 불산에 의해 에칭하고, 그 에칭량을 비교했다. 또한, 성막 2일 후와 7일 후에 있어서의 에칭은, 한 장의 웨이퍼 상에 성막한 동일한 SiBN막의 상이한 부분에 대하여 행했다. 도시한 바와 같이, 붕소 농도가 높아짐에 따라, 2일 후의 에칭량에 대한 7일 후의 에칭량이 커져 감을 알 수 있다. 에칭량이 커지는 원인으로서는, SiBN막이 분위기 중의 수분을 흡수하는 것을 생각할 수 있고, 붕소 농도가 커짐에 따라, 흡습성이 높아지는 것이 추측된다. 묽은 불산에 의한 산화 실리콘막(4) 등의 에칭 후에 남는 서포트막(SiBN막)(5)의 두께의 프로세스 간의 재현성의 관점에서, 에칭량의 경시 변화는 작은 것이 바람직하다. 도 18에 나타내는 결과로부터, 성막 후 2일 후부터 7일 후까지의 5일간에 있어서의 에칭량의 증대가 약 18㎚에서 22㎚ 정도까지인 30atm.% 이하의 붕소 농도가 바람직하다고 생각할 수 있다.
또한, 전술한 범위의 붕소 농도를 갖는 SiBN막을 성막할 때에는, 본 발명의 실시 형태에 의한 성막 방법에 의하면, 조성을 제어하기 쉽다는 이점이 있다. 이 성막 방법에 있어서는, SiN 성막 사이클과 BN 성막 사이클이 독립적으로 행해진다. 즉, SiN막의 성막 중에는, 붕소 원료(예를 들면 BCl3)는 처리 용기(400)(도 12)로는 공급되지 않고, BN막의 성막 중에는, 실리콘 원료(DCS)는 처리 용기(400)로는 공급되지 않는다. 가령, 붕소 원료와 실리콘 원료를 처리 용기(400)로 동시에 공급하는 경우에는, 붕소 원료 분자와 실리콘 원료 분자가 흡착하는 비율(흡착비)은, 양 원료의 공급량비에 의해 제어된다. 그러나, 흡착비와 공급량비와의 관계는, 성막 조건에도 의존하기 때문에, 공급량비에 의해 조성비를 충분히 제어하지 못할 우려가 있다. 본 발명의 실시 형태에 의한 성막 방법에 의하면, 실리콘 원료만이 웨이퍼 표면에 흡착하고, 질화되어 SiN막이 형성되고, 붕소 원료만이 웨이퍼 표면에 흡착하고, 질화되어 BN막이 형성되기 때문에, 흡착비를 제어할 필요가 없다. 그리고, SiN막과 BN막과의 막수의 비에 의해 조성비를 제어할 수 있기 때문에, 제어성이 좋다.
이상의 결과로부터, 본 발명의 실시 형태에 의한 반도체 장치, 반도체 장치의 제조 방법, 성막 장치 및, 성막 방법의 효과·이점이 이해된다.
이상, 몇 개의 실시 형태를 참조하면서 본 발명을 설명했지만, 본 발명은 전술한 실시 형태에 한정되는 일 없이, 여러 가지로 변형 가능하다.
예를 들면, 전술한 성막 장치(200)에는, 처리 용기(400)와 진공 펌프(84p)와의 사이에 개폐 밸브(84a)와 압력 조정 밸브(84b)를 형성했지만, 이들 대신에 압력 조정 기능이 부여된 개폐 밸브(차단 가능한 압력 조정 밸브)를 사용해도 좋다.
또한, MOS형 트랜지스터로서는, 홈형 이외의 게이트 전극을 이용해도 좋다. 예를 들면, 플레이너형이나 종형의 트랜지스터도 사용할 수 있다.
100 : 메모리 셀
C : 커패시터
Tr : 트랜지스터
51e : 게이트 전극
51s : 게이트 산화막
51n : 불순물 확산 영역
2 : 콘택트 플러그
7L : 하부 전극
7K : 유전체층
7L : 상부 전극
200 : 성막 장치
400 : 처리 용기
120 : 웨이퍼 보트
28 : 질화 가스 공급 수단
30 : 실란계 가스 공급 수단
32 : 붕소 함유 가스 공급 수단
60 : 제어부
72 : 플라즈마 구획벽
76 : 고주파 전원
W : 웨이퍼

Claims (10)

  1. 복수의 기판을 보유지지(holding)하는 기판 보유지지부가 수용되는 반응관과,
    실리콘을 포함하는 제1 원료 가스를 상기 반응관으로 공급하는 제1 가스 공급부로서, 상기 제1 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제1 개폐 밸브를 갖는 당해 제1 원료 가스 공급부와,
    붕소를 포함하는 제2 원료 가스를 상기 반응관으로 공급하는 제2 가스 공급부로서, 상기 제2 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제2 개폐 밸브를 갖는 당해 제2 원료 가스 공급부와,
    질소를 포함하는 제3 원료 가스를 상기 반응관으로 공급하는 제3 가스 공급부로서, 상기 제3 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제3 개폐 밸브를 갖는 당해 제3 원료 가스 공급부와,
    상기 반응관과, 당해 반응관과 접속되는 배기부와의 사이에 형성되고, 상기 반응관과 상기 배기부를 연통시키고 차단하는 제4 개폐 밸브를 구비하는 성막 장치에 있어서 행해지는 성막 방법으로서,
    상기 제4 개폐 밸브를 닫은 채, 상기 제1 개폐 밸브를 열어 상기 제1 원료 가스를 상기 반응관으로 공급하고,
    제1 기간의 경과 후에, 상기 제1 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제1 원료 가스를 상기 반응관에 가두어두고,
    제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고,
    제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 실리콘층을 형성하는 질화 실리콘층 퇴적 스텝과,
    상기 제4 개폐 밸브를 닫은 채, 상기 제2 개폐 밸브를 열어 상기 제2 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제2 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제2 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 붕소층을 형성하는 질화 붕소층 퇴적 스텝
    을 소정의 횟수씩 반복함으로써, 붕소 첨가 질화 실리콘막을 성막하는 성막 방법.
  2. 제1항에 있어서,
    상기 제3 원료 가스를 공급할 때에는, 상기 제3 원료 가스가 플라즈마에 의해 활성화되는 성막 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 제3 원료 가스를 공급할 때에, 상기 반응관 내의 압력이 소정의 압력으로 제어되는 성막 방법.
  4. 복수의 기판을 보유지지하는 기판 보유지지부가 수용되는 반응관과,
    실리콘을 포함하는 제1 원료 가스를 상기 반응관으로 공급하는 제1 가스 공급부로서, 상기 제1 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제1 개폐 밸브를 갖는 당해 제1 원료 가스 공급부와,
    붕소를 포함하는 제2 원료 가스를 상기 반응관으로 공급하는 제2 가스 공급부로서, 상기 제2 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제2 개폐 밸브를 갖는 당해 제2 원료 가스 공급부와,
    질소를 포함하는 제3 원료 가스를 상기 반응관으로 공급하는 제3 가스 공급부로서, 상기 제3 원료 가스의 상기 반응관으로의 공급 및 정지를 제어하는 제3 개폐 밸브를 갖는 당해 제3 원료 가스 공급부와,
    상기 반응관과, 당해 반응관과 접속되는 배기부와의 사이에 형성되고, 상기 반응관과 상기 배기부를 연통시키고 차단하는 제4 개폐 밸브와,
    상기 제4 개폐 밸브를 닫은 채, 상기 제1 개폐 밸브를 열어 상기 제1 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제1 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제1 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 실리콘층이 형성되고, 상기 제4 개폐 밸브를 닫은 채, 상기 제2 개폐 밸브를 열어 상기 제2 원료 가스를 상기 반응관으로 공급하고, 제1 기간의 경과 후에, 상기 제2 개폐 밸브를 닫아, 상기 반응관으로 공급된 상기 제2 원료 가스를 상기 반응관에 가두어두고, 제2 기간의 경과 후에, 상기 제4 개폐 밸브를 열어 상기 반응관 내를 배기하고, 제3 기간의 경과 후에, 상기 제3 개폐 밸브를 열어 상기 제3 원료 가스를 공급함으로써 상기 기판 상에 질화 붕소층이 형성되도록, 상기 제1 개폐 밸브, 상기 제2 개폐 밸브, 상기 제3 개폐 밸브 및, 상기 제4 개폐 밸브를 제어하는 제어부
    를 구비하는 성막 장치.
  5. 제4항에 있어서,
    상기 제3 원료 가스를 활성화하는 플라즈마를 생성하는 플라즈마 생성부를 추가로 구비하는 성막 장치.
  6. 제4항 또는 제5항에 있어서,
    상기 반응관과 상기 배기부와의 사이에 형성되고, 상기 반응관 내의 압력을 조정하는 압력 조정 밸브를 추가로 구비하는 성막 장치.
  7. 전계 효과 트랜지스터와, 기둥 형상을 갖는 커패시터를 갖는 반도체 장치를 제조하는 제조 방법으로서,
    상기 전계 효과 트랜지스터가 형성된 기판 상에, 붕소 첨가 질화 실리콘막을 포함하는 다층막을 형성하고,
    상기 다층막을 관통하여, 상기 전계 효과 트랜지스터의 불순물 확산 영역과 전기적으로 접속되고, 기둥 형상을 갖는 제1 전극을 형성하고,
    상기 붕소 첨가 질화 실리콘막이 남도록 상기 다층막을 제거하고,
    상기 제1 전극의 적어도 측면에 유전체막을 형성하고,
    상기 유전체막을 덮는 제2 전극을 형성하는 공정을 포함하며,
    상기 붕소 첨가 질화 실리콘막이, 제1항 또는 제2항에 기재된 성막 방법에 의해 형성되는 제조 방법.
  8. 삭제
  9. 삭제
  10. 삭제
KR1020120082062A 2011-08-11 2012-07-27 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치 KR101520885B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011176138A JP5723243B2 (ja) 2011-08-11 2011-08-11 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
JPJP-P-2011-176138 2011-08-11

Publications (2)

Publication Number Publication Date
KR20130018123A KR20130018123A (ko) 2013-02-20
KR101520885B1 true KR101520885B1 (ko) 2015-05-15

Family

ID=47676988

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120082062A KR101520885B1 (ko) 2011-08-11 2012-07-27 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치

Country Status (5)

Country Link
US (1) US9034718B2 (ko)
JP (1) JP5723243B2 (ko)
KR (1) KR101520885B1 (ko)
CN (1) CN102956447B (ko)
TW (1) TWI521629B (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197281A (ja) * 2012-03-19 2013-09-30 Elpida Memory Inc 半導体デバイス及びその製造方法
WO2014123084A1 (ja) * 2013-02-07 2014-08-14 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法
JP6254848B2 (ja) * 2014-01-10 2017-12-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
EP3380876B1 (en) 2015-11-24 2024-02-07 President and Fellows of Harvard College Atomic layer deposition process for fabricating dielectric metasurfaces for wavelengths in the visible spectrum
KR102394250B1 (ko) 2016-01-06 2022-05-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
GB2578236B (en) 2017-05-24 2022-11-09 Univ Columbia Broadband achromatic flat optical components by dispersion-engineered dielectric metasurfaces
KR20200047612A (ko) 2017-08-31 2020-05-07 메탈렌츠 인코포레이티드 투과성 메타표면 렌즈 통합
KR20200050699A (ko) * 2018-11-02 2020-05-12 삼성전자주식회사 하이브리드 구조의 커패시터를 갖는 반도체 소자
JP2022542172A (ja) 2019-07-26 2022-09-29 メタレンズ,インコーポレイテッド アパーチャメタ表面およびハイブリッド屈折メタ表面イメージングシステム
CN112122727B (zh) * 2020-09-27 2022-03-01 淄博晨启电子有限公司 一种高可靠性高浪涌冲击能力半导体防护器件的成型工艺
WO2022075278A1 (en) * 2020-10-05 2022-04-14 Tokyo Electron Limited Method for fabricating semiconductor device with oxide semiconductor material
TWI795025B (zh) * 2021-10-12 2023-03-01 華邦電子股份有限公司 記憶體元件及其形成方法
US11974424B2 (en) 2021-11-30 2024-04-30 Winbond Electronics Corp. Memory device and method of forming the same
US11927769B2 (en) 2022-03-31 2024-03-12 Metalenz, Inc. Polarization sorting metasurface microlens array device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270016A (ja) 2004-07-28 2006-10-05 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2011044488A (ja) 2009-08-19 2011-03-03 Elpida Memory Inc 半導体装置およびその製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62156822A (ja) 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜とその形成方法及び形成装置
JPS63120429A (ja) 1986-11-10 1988-05-24 Toshiba Corp 半導体装置
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2010153418A (ja) * 2008-12-24 2010-07-08 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
JP2011146428A (ja) * 2010-01-12 2011-07-28 Elpida Memory Inc 半導体装置およびその製造方法
JP5699980B2 (ja) * 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270016A (ja) 2004-07-28 2006-10-05 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2011044488A (ja) 2009-08-19 2011-03-03 Elpida Memory Inc 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US9034718B2 (en) 2015-05-19
JP5723243B2 (ja) 2015-05-27
KR20130018123A (ko) 2013-02-20
TWI521629B (zh) 2016-02-11
CN102956447B (zh) 2016-04-06
CN102956447A (zh) 2013-03-06
JP2013041879A (ja) 2013-02-28
TW201316433A (zh) 2013-04-16
US20130037873A1 (en) 2013-02-14

Similar Documents

Publication Publication Date Title
KR101520885B1 (ko) 성막 방법, 이를 포함하는 반도체 장치의 제조 방법, 성막 장치 및, 반도체 장치
KR100589062B1 (ko) 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US9178031B2 (en) Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics
US20060014384A1 (en) Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7863198B2 (en) Method and device to vary growth rate of thin films over semiconductor structures
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
JP4863296B2 (ja) 半導体装置の製造方法
US20090148625A1 (en) Method for forming thin film
US20070132054A1 (en) Memory cell having stressed layers
US20100035437A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20060110533A1 (en) Methods and apparatus for forming a titanium nitride layer
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
US8735305B2 (en) Methods of forming fluorinated hafnium oxide gate dielectrics by atomic layer deposition
KR20100002301A (ko) 원자층 증착 방법, 유전 물질의 형성 방법, 커패시터의 형성 방법, 및 dram 단위 셀의 형성 방법
KR20110016391A (ko) 반도체 장치의 제조 방법
US7531422B2 (en) Method for fabricating capacitor in semiconductor device using hafnium terbium oxide dielectric layer
KR100578786B1 (ko) 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US20130316546A1 (en) Methods of atomic layer deposition of hafnium oxide as gate dielectrics
KR100829608B1 (ko) 박막 제조 방법 및 이를 이용한 게이트 구조물 및커패시터의 제조 방법
KR20040100766A (ko) 원자층 증착법을 이용한 복합 유전막의 연속 형성방법 및이를 이용한 캐패시터의 제조방법
KR100578824B1 (ko) 박막 제조 방법 및 이를 이용한 게이트 구조물, 커패시터의제조 방법
JP2015010247A (ja) 半導体装置の製造方法、基板処理装置及び基板処理プログラム
JP2015015272A (ja) 半導体装置の製造方法及び基板処理装置
JP2009299101A (ja) 半導体装置の製造方法および基板処理装置
CN107731747B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180502

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 5