KR101046967B1 - 유전체 갭필을 위한 프로세스 챔버 - Google Patents

유전체 갭필을 위한 프로세스 챔버 Download PDF

Info

Publication number
KR101046967B1
KR101046967B1 KR1020087031821A KR20087031821A KR101046967B1 KR 101046967 B1 KR101046967 B1 KR 101046967B1 KR 1020087031821 A KR1020087031821 A KR 1020087031821A KR 20087031821 A KR20087031821 A KR 20087031821A KR 101046967 B1 KR101046967 B1 KR 101046967B1
Authority
KR
South Korea
Prior art keywords
precursor
substrate
dielectric
deposition
deposition chamber
Prior art date
Application number
KR1020087031821A
Other languages
English (en)
Other versions
KR20090019866A (ko
Inventor
드미트리 루보미르스키
퀴웨이 리앙
수남 박
키엔 엔 척
엘리 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090019866A publication Critical patent/KR20090019866A/ko
Application granted granted Critical
Publication of KR101046967B1 publication Critical patent/KR101046967B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하기 위한 시스템이 설명된다. 이 시스템은 증착 챔버, 상기 기판을 유지하기 위한 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되며, 하나 또는 그보다 많은 반응성 라디칼을 갖는 유전체 프리커서를 발생시키는데 사용되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 또한, 이 시스템은 상기 기판 스테이지 상에 위치된 이중 채널 샤워헤드를 구비하는 프리커서 분배 시스템을 포함할 수 있다. 상기 샤워헤드는 상기 반응성 라디칼 프리커서가 상기 증착 챔버로 들어가는 제 1 개구 세트와, 제 2 유전체 프리커서가 상기 증착 챔버로 들어가는 제 2 개구 세트를 구비하는 면판을 포함할 수 있다. 상기 증착 챔버로 공급된 상기 유전체 프리커서로부터 상기 증착 챔버 내에서 플라즈마를 발생시키기 위해 인-시튜 플라즈마 발생 시스템이 포함될 수도 있다.

Description

유전체 갭필을 위한 프로세스 챔버{PROCESS CHAMBER FOR DIELECTRIC GAPFILL}
관련 출원에 대한 상호 참조
본 출원은 2006년 5월 30일자로 제출된 U.S.가출원 제60/803,499호의 이득을 청구한다. 또한, 본 출원은 2006년 5월 30일자로 제출되고 제목이 "A METHOD FOR DEPOSITING AND CURING LOW-K FILMS FOR GAPFILL AND CONFORMAL FILM APPLICATIONS"인 Munro 등의 일반 양도된 U.S.가특허출원 제60/803,489호에 관한 것이다. 또한, 본 출원은 2006년 5월 30일자로 제출되고 제목이 "CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN"인 Ingle 등의 일반 양도된 U.S.가출원 제60/803,493호에 관한 것이다. 또한, 본 출원은 2006년 5월 30일자로 제출되고 제목이 "A NOVEL DEPOSITION-PLASMA CURE CYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE"인 Chen 등의 U.S.가출원 제60/803,481호에 관한 것이다. 우선권인 U.S.가특허출원 및 관련 출원의 전체 내용은 모든 목적을 위해 참조로 본 명세서에 통합된다.
집적 회로 제조업자는 각각의 칩 상의 회로 소자의 밀도를 계속적으로 증가 시켜서, 분리된 이들 소자를 보다 다루기 어렵게 하는 갭을 채운다. 증가된 회로 소자의 밀도는 인접하는 소자들 사이에 더 좁은 폭을 필요로 한다. 이들 갭 수축의 폭이 높이보다 더 빠를 때, (종횡비로 공지된) 폭에 대한 높이의 비율이 비례하여 증가한다. 얕고 넓은 갭(즉, 낮은 종횡비의 갭)보다 유전체 물질로 된 균일한 막을 갖는 높고 좁은 갭(즉, 종횡비가 높은 갭)을 채우는 것이 더 어렵다.
높은 종횡비의 갭을 채우는 데 대한 한가지 공통적으로 직면하는 어려움은 공극의 형성이다. 높은 종횡비의 갭에서, 갭을 채우는 유전체 물질이 갭의 상단부 둘레에서 고속으로 증착되는 경향이 있다. 유전체 물질은 갭이 완전히 채워지기 전에 상부를 폐쇄하여 공극을 남길 것이다. 갭의 상부가 너무 빠르게 폐쇄되지 않을 때에도, 갭의 측벽 아래로의 유전체 막의 불균일한 성장률은 갭필(gapfill)의 가운데에 약한 틈(seam)을 생성할 수 있다. 이들 틈은 후에 균열을 발생시킬 수 있으며, 균열은 장치의 유전체 특성 및 물리적 무결성에 불리한 영향을 미친다.
유전체 갭필 내의 약한 틈 및 공극의 형성을 방지하기 위한 한가지 기술은 낮은 증착 속도로 갭을 채우는 것이다. 낮은 증착 속도는 갭의 내부 표면상에 재분배하도록 유전체 물질에 더 많은 시간을 제공하여 과도한 상부측 성장의 가능성을 감소시킬 수 있다. 또한, 낮은 증착 속도는 유전체 증착과 동시에 발생하는 스퍼터링 또는 증가된 식각의 결과일 수 있다. 예를 들면, HDPCVD에서 갭의 상부 코너의 유전체 물질은 갭의 바닥 부분과 측벽상의 물질보다 더 빨리 부식한다. 이는 갭의 상부측이 개방 상태로 유지되어 측벽 및 바닥이 유전체 물질로 완전히 채워질 수 있는 가능성을 증가시킨다.
그러나 유전체 증착 속도를 감소시키는 것은 또한 증착을 완성까지 더 오래 걸리게 한다. 더 긴 증착 시간은 기판 웨이퍼가 증착 챔버를 통해 처리되는 속도를 감소시켜서 챔버에 대한 효율을 감소시킨다.
약한 틈 및 공극의 형성을 방지하기 위한 다른 기술은 갭을 채우는 유전체 물질의 유동성을 향상시키는 것이다. 유동성 유전체 물질은 측벽 아래로 보다 쉽게 이동할 수 있으며, 갭의 중심의 공극을 채운다(때때로 공극 "힐링(healing)"으로 지칭됨). 일반적으로 이산화규소 유전체는 유전체 내의 수산기(hydroxyl groups)의 농도를 증가시킴으로써 보다 유동 가능하게 된다. 그러나 유전체의 최종 품질에 악영향을 미치지 않고, 산화물로부터 이들 수산기를 제거하고 추가하는 것은 모두 어렵다.
따라서, 폭이 좁고 종횡비가 높은 갭을 공극이 없는 유전체 막으로 채우는 개선된 시스템 및 방법이 요구된다. 여타 문제들이 본 발명의 시스템 및 방법에 의해 다뤄진다.
본 발명의 실시예는 유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하기 위한 시스템을 포함한다. 이 시스템은 증착 챔버, 상기 기판을 유지하기 위한 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되며, 하나 또는 그보다 많은 반응성 라디칼을 갖는 유전체 프리커서를 발생시키는데 사용되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 또한, 이 시스템은 상기 증착 챔버로 유전체 프리커서를 도입하기 위한 복수의 측면 유입구 및 하나 이상의 상부 유입구를 포함하는 프리커서 분배 시스템을 포함할 수 있다. 상기 상부 유입구는 상기 기판 스테이지 상에 위치될 수 있으며, 상기 측면 유입구는 상기 기판 스테이지 둘레에 방사상으로 분포될 수 있다. 상기 상부 유입구를 통해 상기 증착 챔버로 반응성 라디칼 프리커서가 공급될 수 있다. 또한, 상기 증착 챔버로부터 공급된 유전체 프리커서로부터 상기 증착 챔버 내에 플라즈마를 발생시키도록 인-시튜 플라즈마 발생 시스템이 포함될 수 있다.
본 발명의 실시예는 실리콘 기판상에 이산화규소 층을 형성하기 위해 추가의 시스템을 포함할 수도 있다. 이들 시스템은 증착 챔버 및 기판을 유지하기 위한 상기 증착 챔버 내의 기판 스테이지를 포함할 수 있으며, 상기 기판 스테이지는 이산화규소 층의 형성중에 상기 기판을 회전시킨다. 또한, 이 시스템은 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함할 수 있으며, 상기 플라즈마 발생 시스템은 산소 원자 프리커서를 발생시키는데 사용된다. 이 시스템은 프리커서 분배 시스템을 더 포함할 수도 있으며, 프리커서 분배 시스템은: (i) 하나 이상의 상부 유입구로서, 상기 상부 유입구가 상기 기판 스테이지 상에 위치되며, 상기 상부 유입구를 통해 상기 증착 챔버로 산소 원자 프리커서가 공급되는, 하나 이상의 상부 유입구, 및 (II) 복수의 측면 유입구로서, 상기 증착 챔버로 하나 또는 그보다 많은 실리콘 함유 프리커서를 도입시키며, 상기 측면 유입구가 상기 기판 스테이지 둘레에 방사상으로 분포되는, 복수의 측면 유입구를 포함한다.
본 발명의 실시예는 유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하기 위해 추가의 시스템을 더 포함한다. 이들 시스템은 반투명한 물질로 제조된 상부측, 기판을 유지하기 위한, 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함하며, 상기 플라즈마 발생 시스템은 반응성 라디칼을 포함하는 유전체 프리커서를 발생시키는데 사용된다. 이 시스템은 기판을 가열하기 위해 하나 이상의 광원을 포함하는 복사 가열 시스템을 포함할 수도 있으며, 상기 광원으로부터 방출된 빛의 적어도 일부는 기판에 도달하기 전에 상기 증착 챔버의 상부측을 통해 이동한다. 또한, 이 시스템은 프리커서 분배 시스템을 포함할 수 있으며, 프리커서 분배 시스템은 상기 증착 챔버로 상기 유전체 프리커서를 도입시키기 위해 복수의 측면 유입구 및 하나 이상의 상부 유입구를 갖는다. 상기 상부 유입구는 상기 증착 챔버의 상부측에 연결되고 상기 기판 스테이지 상에 위치되며, 상기 측면 유입구는 상기 기판 스테이지 둘레에 방사상으로 분포된다. 반응성 라디칼 프리커서는 상기 상부 유입구를 통해 상기 증착 챔버로 공급될 수 있다.
또한, 본 발명의 실시예는 유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하도록 추가의 시스템을 더 포함할 수도 있다. 이 시스템은 증착 챔버, 기판을 유지하기 위한, 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함하며, 상기 플라즈마 발생 시스템은 하나 또는 그보다 많은 반응성 라디칼을 포함하는 제 1 유전체 프리커서를 발생시키는데 사용된다. 또한, 이 시스템은 프리커서 분배 시스템을 포함할 수 있으며, 프리커서 분배 시스템은 상기 기판 스테이지 상에 위치되는 이중 채널 샤워헤드를 포함한다. 상기 샤워헤드는 상기 반응성 라디칼 프리커서가 상기 증착 챔버로 들어가는 제 1 개구 세트와, 제 2 유전체 프리커서가 상기 증착 챔버로 들어가는 제 2 개구 세트를 구비하는 면판을 포함할 수 있다. 상기 프리커서는 상기 증착 챔버로 들어갈 때까지 혼합되지 않을 수 있다.
본 발명의 실시예는 유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하도록 추가의 시스템을 포함할 수도 있다. 이 시스템은 증착 챔버, 상기 기판을 유지하기 위한, 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함한다. 상기 플라즈마 발생 시스템은 반응성 라디칼을 포함하는 유전체 프리커서를 발생시키는데 사용될 수 있다. 또한, 이 시스템은 프리커서 분배 시스템을 포함할 수 있으며, 프리커서 분배 시스템은 상기 증착 챔버로 상기 유전체 프리커서를 도입시키기 위해 하나 이상의 상부 유입구, 천공된 플레이트, 및 복수의 측면 유입구를 갖는다. 천공된 플레이트는 상기 상부 유입구와 측면 유입구 사이에 위치될 수 있으며, 상기 측면 유입구는 상기 기판 스테이지 둘레에 방사상으로 분포될 수 있다. 상기 반응성 라디칼 프리커서는 상기 천공된 플레이트의 개구를 통해 상기 증착 챔버 내에 분배될 수 있다. 또한, 상기 증착 챔버로 공급되는 상기 유전체 프리커서로부터 상기 증착 챔버 내에 플라즈마를 발생시키도록 인-시튜 플라즈마 발생 시스템이 사용될 수 있다.
본 발명의 실시예는 기판상에 유전체 층을 형성하기 위한 시스템을 더 포함할 수도 있다. 이 시스템은 증착 챔버, 기판을 유지하기 위한, 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 상기 플라즈마 발생 시스템은 반응성 라디칼을 포함하는 제 1 유전체 프리커서를 발생시키는데 사용될 수 있다. 또한, 이 시스템은 상기 증착 챔버로 추가의 유전체 프리커서를 도입시키기 위해 복수의 측면 노즐을 갖는 프리커서 분배 시스템을 포함할 수 있다. 상기 측면 노즐은 상기 기판 스테이지 둘레에 방사상으로 분포될 수 있으며, 각각의 상기 노즐은 복수의 측벽 개구를 가질 수 있으며, 추가의 유전체 프리커서가 상기 측벽 개구를 통과하여 상기 증착 챔버로 들어가 상기 제 1 유전체 프리커서와 혼합된다.
본 발명의 실시예는 기판상에 유전체 층을 형성하도록 추가의 시스템을 더 포함할 수도 있다. 이 시스템은 증착 챔버, 기판을 유지하기 위한, 상기 증착 챔버 내의 기판 스테이지, 및 상기 증착 챔버에 연결되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마 발생 시스템은 반응성 라디칼을 포함하는 제 1 유전체 프리커서를 발생시키는데 사용될 수 있다. 또한, 이 시스템은 프리커서 분배 시스템을 포함할 수 있으며, 상기 프리커서 분배 시스템은 상기 증착 챔버로 추가의 유전체 프리커서를 도입시키기 위한 방사상 프리커서 매니폴드를 가지며, 상기 매니폴드는 상기기판 스테이지 둘레에 축방향으로 정렬되고 상기 기판 스테이지 상에 위치되는 복수의 방사상으로 분포된 도관을 포함할 수 있다. 상기 도관은 복수의 측벽 개구를 포함할 수 있으며, 추가의 유전체 프리커서가 상기 측벽 개구를 통과하여 상기 증착 챔버로 들어가 상기 제 1 유전체 프리커서와 혼합된다.
하기의 상세한 설명에서 추가의 실시예 및 특징이 부분적으로 설명되고, 일부는 명세서를 검토할 때 당업자에게 명확하거나 본 발명의 실시에 의해 알 수 있다. 본 발명의 특징 및 이점은 명세서에서 설명되는 방법 및 조합의 도움 실현되고 달성될 수 있다.
도 1은 본 발명의 실시예에 따른 프로세스 시스템에 대한 간략화된 개략도이고,
도 2a는 본 발명의 실시예에 따른 예시적인 프로세스 시스템의 횡단면도이며,
도 2b는 본 발명의 실시예에 따른 다른 예시적인 프로세스 시스템의 횡단면도이며,
도 2c는 도 2b에 도시된 프로세스 시스템의 다른 횡단면도이며,
도 2d는 본 발명의 실시예에 따른 비대칭 압력 효과를 감소시키기 위해 펌핑 라이너 내에 개구 및 압력 평형 채널을 포함하는 증착 챔버의 일부분의 횡단면도이며,
도 3a 내지 도 3c는 본 발명의 실시예에 따른 프로세스 시스템에서 상부 배플의 구성을 도시하며,
도 3d는 본 발명의 실시예에 따른 프로세스 시스템에서 천공된 플레이트 및 상부 유입구의 구성을 도시하며,
도 3e는 본 발명의 실시예에 따른 천공된 상부 플레이트를 포함하는 프로세스 시스템에서 산소 및 실리콘을 함유하는 프리커서에 대한 프리커서 유동 분배를 도시하며,
도 4a는 본 발명의 실시예에 따른 프로세스 시스템에서 측면 노즐의 구성을 도시하며,
도 4b는 본 발명의 실시예에 따른 노즐 튜브의 길이를 따르는 복수의 개구 및 캐핑된 단부(capped ends)를 갖는 측면 노즐의 다른 구성을 도시하며,
도 4c는 도 4b에 도시된 것과 같은 캐핑된 측면 노즐을 통한 프리커서 유동의 횡단면도이며,
도 4d는 본 발명의 실시예에 따른 단일편 프리커서 분배 매니폴드의 구조를 도시하며,
도 4e는 도 4d에 도시된 프리커서 분배 매니폴드의 확대된 부분을 도시하며,
도 5a 및 도 5b는 본 발명의 실시예에 따른 복사 가열 소자의 방사상으로 동심인 구성을 갖는 프로세스 시스템의 횡단면도이며,
도 5c 및 도 5d는 본 발명의 실시예에 따른 복수의 복사 가열 소자에 대해 평행한 구성을 갖는 프로세스 시스템의 횡단면도이며,
도 5e 및 도 5f는 본 발명의 실시예에 따른 복사 가열 소자의 이중 소켓 구조를 갖는 프로세스 시스템의 횡단면도이며,
도 6은 본 발명의 실시예에 따른 증착 챔버, 베이킹 챔버 및 경화 챔버의 배열을 도시하며,
도 7a는 본 발명의 실시예에 따른 독립 가스 유동 채널을 갖는 샤워헤드의 횡단면도이며,
도 7b는 본 발명의 실시예에 따른 플라즈마 영역 및 독립 가스 유동을 갖는 샤워헤드의 횡단면도이며,
도 8a는 면판에 동심 구멍을 포함하는 독립 채널을 통해 프로세스 가스가 제공되는 샤워헤드의 일부의 횡단면도이며,
도 8b는 본 발명의 실시예에 따른 동심 구멍 구조를 갖는 면판의 표면의 도면이며,
도 8c는 면판에 형성된 독립 평행 채널을 통해 프로세스 가스가 공급되는 샤워헤드의 일부의 다른 횡단면도이며,
도 8d는 본 발명의 실시예에 따른 샤워헤드의 에지로부터 중심까지 프로세스 가스를 유동시키는 샤워헤드의 일부의 횡단면도이다.
기판상에 유동성 CVD 유전체 막을 증착하는 시스템이 설명된다. 이들 유전체 막은 STI, IMD, ILD, OCS 및 다른 응용법에 사용될 수 있다. 이 시스템은 증착 챔버에 반응성 라디칼 종을 공급하는 반응종 발생 시스템을 포함할 수 있으며, 이때 반응종은 다른 증착 프리커서와 화학적으로 반응하며 기판의 증착 표면상에 유동성 유전체 막을 형성한다. 예를 들면, 이 시스템은 유기-실란(organo-silane)형의 프리커서 및 원격 플라즈마 소스에 의해 여기된 산소로부터 기판상에 층을 형성할 수 있다. 또한, 이 시스템은 증착중에 기판을 가열뿐 아니라 냉각도 시킬 수 있는 기판 온도 제어 시스템을 포함할 수 있다. 예를 들면, 유동성 산화막이 낮은 온도(예를 들면, 100℃ 미만)에서 기판 표면상에 증착될 수 있으며, 이러한 온도는 증착중에 기판을 냉각시킴으로써 유지된다. 막 증착 후에, 온도 제어 시스템은 어닐링을 실행하도록 기판을 가열할 수 있다.
전술한 시스템은 증착중에 기판을 회전시키고 이를 프리커서 분배 시스템을 향하여 또는 프리커서 분배 시스템(예를 들면, 증착 챔버 내에 프리커서를 분배하는 노즐 및/또는 샤워헤드)으로부터 병진이동시키기 위해 기판 동작 및 위치 시스템을 더 포함할 수 있다. 기판의 회전은 스핀-온 기술(spin-on technique)과 유사하게, 유동성 산화막을 기판 표면에 걸쳐서 보다 고르게 분배하는데 사용될 수 있다. 기판의 병진이동은 증착 챔버 내부로의 프리커서 입구와 기판 증착 표면 사이의 거리를 변화시킴으로써 막 증착 속도를 변화시키는데 사용될 수 있다.
이 시스템은 증착 막에 빛을 조사할 수 있는 기판 조사(irradiation) 시스템을 더 포함할 수 있다. 실시예는 증착된 막을 경화시키도록 표면에 UV 광선을 조사하는 단계, 및 예를 들면, 급속 열적 어니일 유형의 프로세스에서, 기판의 온도를 상승시키도록 조사하는 단계를 포함한다.
도 1은 시스템(100)의 구성요소들이 본 발명의 실시예에 통합되는 방법의 간략화된 개략도를 제공한다. 이 시스템(100)은 증착 시스템(102)을 포함하며, 증착 시스템에서 프리커서는 화학적으로 반응하여 증착 챔버 내의 기판 웨이퍼상에 막(예를 들면, 실리콘 산화막)을 형성할 수 있다. 증착 시스템(102)은 플라즈마를 생성하도록 증착 챔버 내부에 고주파 동력을 발생시키는 코일 및/또는 전극을 포함할 수 있다. 플라즈마는 프리커서의 반응 속도를 향상시킬 수 있으며, 기판상에서 유동성 유전체 물질의 증착 속도를 또한 증가시킬 수 있다.
유동성 산화물이 증착될 때, 기판 동작 및 위치 시스템(104)이 기판을 회전시키도록 사용될 수 있어서, 기판의 상이한 부분들을 보다 균일하게 프리커서의 유 동에 노출시킬 수 있다. 이로 인해 프리커서 내의 종의 질량 전달(mass transfer)가 보다 균일해질 수 있다. 이는 또한 저점도 막을 기판의 증착 표면에 걸쳐서 보다 광범위하게 전개시킬 수 있다. 위치 시스템(104)은 회전 가능하고 수직으로 병진이동 가능한 기판 페디스털을 포함하거나 이에 연결될 수 있다.
또한, 이 시스템(100)은 기판의 온도를 상승 및 하강시키도록 작동 가능한 기판 온도 제어 시스템(106)을 포함할 수 있다. 온도 제어 시스템(106)은 기판 페디스털에 연결되며, 기판 페디스털에 대한 기판의 직접 접촉 또는 다른 열적 결합을 통해 기판으로 및 기판으로부터 열을 전달할 수 있다. 온도 제어 시스템(106)은 기판 온도를 조절하기 위해 순환하는 유체(예를 들면, 물) 및/또는 전기 재료(예를 들면, 저항 가열 필라멘트)를 사용할 수 있으며, 전기 재료는 이 재료를 통해 전류를 통과시킴으로써 열 에너지를 공급한다.
유동성 유전체 막을 형성하는데 사용되는 프리커서는 프리커서 분배 시스템(108)에 의해 공급될 수 있다. 분배 시스템(108)의 예시는 증착 시스템(102)에서 증착 챔버의 상부 및 측면으로부터 프리커서를 유동시키기 위해 배플 및 노즐 시스템을 포함한다. 또한, 예시는 복수의 개구를 갖는 샤워헤드를 포함하며, 샤워헤드의 개구를 통해 프리커서 가스가 증착 챔버 내부로 분배된다. 추가의 예시에서, 시스템(108)은 노즐이 없는 가스 링을 포함할 수 있으며, 이 가스 링은 증착 챔버 내부로 프리커서를 유동시키는 복수의 개구를 갖는다.
분배 시스템(108)은 둘 또는 그보다 많은 프리커서를 증착 챔버 내부로 독립적으로 유동시키도록 구성될 수 있다. 이러한 구성에서, 하나 이상의 프리커서 쌍 은 이들이 증착 챔버 내에서 혼합 및 반응하도록 분배 시스템을 빠져나올 때까지 서로 접촉하지 않는다. 예를 들면, 반응종 발생 시스템(110)은 산소 원자와 같은 고 반응성 종을 발생시킬 수 있으며, 고 반응성 종은 프리커서 분배 시스템(108)의 외부로 및 증착 시스템(102)의 내부로 유동할 때까지, 실리콘 함유 프리커서와 같은 다른 프리커서와 혼합 또는 반응하지 않는다.
시스템(100)에 사용되는 프리커서는 유동성 유전체 산화막을 형성하기 위한 프리커서를 포함할 수 있다. 산화막 프리커서는 라디칼 산소 원자(radical atomic oxygen)와 같은 반응종 프리커서, 및 그 중에서도 산소 분자(O2), 오존(O3), 수증기, 과산화수소(H2O2), 및 산화 질소(예를 들면, N2O, NO2 등)와 같은 다른 산화 프리커서(oxidizing precursors)를 포함할 수 있다. 또한, 산화막 프리커서는 특히 TMOS, TriMOS, TEOS OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS, 및 HMDSO를 포함하는 유기 실란 화합물과 같은 실리콘 함유 프리커서를 포함할 수 있다. 또한, 실리콘 함유 프리커서는 실란(SiH4)과 같이 탄소를 갖지 않는 실리콘 화합물을 포함할 수 있다. 증착된 산화막이 도핑된 산화막인 경우, 다른 보론 중에서도 TEB, TMB, B2H6, TEPO, PH3, P2H6 및 TMP 및 인을 함유한 도펀트와 같은 도펀트 프리커서가 사용될 수도 있다. 막이 유전체 실리콘 질화물(dielectric silicon nitride) 또는 실리콘 옥시나이트라이드(silicon oxynitride)이면, 특히 암모니아, BTBAS, TDMAT, DBEAS, 및 DADES와 같은 질소 함유 프리커서가 사용될 수도 있다. 일부 막 증착에 대해, 예를 들면 촉매와 같은 할로겐이 사용될 수도 있다. 이들 할로겐 프리커서 는 클로로에틸실란(chloroethylsilane)과 같은 클로로 실란 및 염화 수소(HCl)를 포함할 수 있다. 유기산(예를 들면, 포름산)과 같은 다른 산 화합물이 사용될 수도 있다. 이들 모든 증착 프리커서는 다른 가스 중에서도 헬륨, 아르곤, 질소(N2) 및 수소(H2)를 포함할 수 있는 캐리어 가스에 의해 증착 시스템(102) 및 분배 시스템(108)을 통해 운반될 수 있다.
또한, 시스템(100)은 기판 조사 시스템(112)을 포함할 수 있으며, 기판 조사 시스템은 기판 표면상에 증착된 유동성 유전체 물질을 베이크(bake) 또는 경화시킬 수 있다. 조사 시스템(112)은 UV 광선을 방출할 수 있는 하나 또는 그보다 많은 램프를 포함할 수 있으며, UV 광선은 예를 들면 유전체 물질에서 실라놀기(silanol group)를 실리콘 산화물 및 물로 분해함으로써 막을 경화시키는데 사용될 수 있다. 또한, 조사 시스템은 막으로부터 수증기 및 다른 휘발성 종을 제거하여 막을 보다 조밀하게 하도록 유동성 막을 베이크(즉, 어닐링)하기 위한 열 램프를 포함할 수 있다.
이제, 도 2a를 참조하면, 본 발명의 실시예에 따른 예시적인 처리 시스템(200)의 횡단면이 도시된다. 이 시스템(200)은 증착 챔버(201)를 포함하며, 증착 챔버(201)에서 프리커서는 화학적으로 반응하여 기판 웨이퍼(202) 상에 유동성 유전체 막을 증착한다. 웨이퍼(202)(예를 들면, 200 ㎜, 300 ㎜, 400 ㎜ 등의 직경의 반도체 기판 웨이퍼)는 회전 가능한 기판 페디스털(204)에 연결될 수 있으며, 기판 페디스털(204)은 또한 상부에 놓인 프리커서 분배 시스템(206)으로부터 더 가 까이 또는 더 멀리 기판(202)을 위치시키도록 수직으로 병진이동한다. 페디스털은 약 1 rpm 내지 약 2000 rpm(예를 들면, 약 10 rpm 내지 약 120 rpm)의 회전 속도로 기판 웨이퍼를 회전시킬 수 있다. 페디스털은 프리커서 분배 시스템의 측면 노즐(208)로부터 약 0.5 ㎜ 내지 약 100 ㎜ 이격되어 기판을 수직으로 병진이동시킬 수 있다.
프리커서 분배 시스템(206)은, 각각이 2개의 상이한 길이 중 하나를 갖는 방사상으로 분포된 복수의 측면 노즐(208)을 포함한다. 추가의 실시예(미도시)에서 측면 노즐은 증착 챔버의 벽 둘레에 분포된 개구로 된 링을 남기도록 제거될 수 있다. 프리커서는 이들 개구를 통해 챔버 내부로 유동한다.
또한, 분배 시스템(206)은 기판 페디스털(204)의 중심과 동축일 수 있는 원뿔형 배플(210)을 포함할 수 있다. 외부로 향하는 배플의 표면 아래로 유동하는 프리커서와 상이한 성분을 갖는 캐리어 가스 또는 프리커서를 공급하기 위해 유체 채널(212)이 배플(210)의 중심을 통과할 수 있다.
배플(210)의 외부 표면은 도관(214)에 의해 둘러싸일 수 있으며, 도관은 증착 챔버(201) 상에 위치되는 반응종 발생 시스템(미도시)으로부터 반응성 프리커서를 지향시킨다. 도관(214)은 곧은 원형 관일 수 있으며, 이 관의 일단부는 배플(210)의 외부 표면 상에서 개방되고 타단부는 반응종 발생 시스템에 연결된다.
반응종 발생 시스템은, 보다 안정한 시작 물질을 플라즈마에 노출시킴으로써 반응종을 발생시키는, 원격 플라즈마 발생 시스템(RPS)일 수 있다. 예를 들면, 시작 물질은 산소 분자(또는 오존)를 포함하는 혼합물일 수 있다. 이러한 시작 물질 을 원격 플라즈마 발생 시스템(RPS)으로부터의 플라즈마에 노출시키는 것은 산소 분자의 일부가 산소 원자로 분리되게 하며, 훨씬 낮은 온도(예를 들면, 100℃ 미만)에서 유기 실리콘 프리커서(예를 들면, OMCTS)와 화학적으로 반응할 것인 고 반응성 라디칼 종이 기판 표면상에 유동성 유전체를 형성하게 한다. 반응종 발생 시스템에서 발생된 반응종은 균일한 실온에서 다른 증착 프리커서와 종종 격렬하게 반응하기 때문에, 이들 반응종은 다른 증착 프리커서와 혼합되기 전에 배플(210)에 의해 격리된 가스 혼합물 내에서 도관(214) 아내로 운반되어 반응 챔버(201) 내부로 분산될 수 있다.
또한, 시스템(200)은 증착 챔버(201)의 돔(216) 둘레에 감긴 rf 코일(미도시)을 포함할 수 있다. 이들 코일은 반응종 프리커서 및 다른 프리커서의 반응성을 더 향상시키도록 증착 챔버(201) 내에 유도 결합된 플라즈마를 생성하여 기판상에 유체 유전체 막을 증착시킬 수 있다. 예를 들면, 배플(210)에 의해 챔버 내부로 분산된 반응성 산소 원자를 함유하는 가스 유동과, 채널(212) 및/또는 하나 또는 그보다 많은 측면 노즐(208)로부터의 유기 실리콘 프리커서는 rf 코일에 의해 기판(202) 상에 형성된 플라즈마로 지향될 수 있다. 산소 원자 및 유기 실리콘 프리커서는 낮은 온도에서도 플라즈마 내에서 급속하게 반응하여 기판 표면상에 고유동성 유전체 막을 형성한다.
기판 표면 자체는 증착된 필름의 균일성을 향상시키도록 페디스털(204)에 의해 회전될 수 있다. 회전 평면은 웨이퍼 증착 표면의 평면에 평행할 수 있거나, 이 두 평면은 부분적으로 정렬(alignment)되지 않을 수 있다. 평면이 정렬되지 않 을 때, 기판(202)의 회전은 증착 표면상의 공간에 유체 교란(fluid turbulence)을 발생시킬 수 있는 요동(wobble)을 생성할 수 있다. 어떤 상황에서는 이러한 교란은 기판 표면상에 증착된 유전체 막의 균일성을 향상시킬 수도 있다. 또한, 페디스털(204)은, 리세스 및/또는 페디스털이 이동할 때 진공 척이 페디스털 상의 적소에 웨이퍼를 유지시키는 다른 구조를 포함할 수 있다. 챔버내의 통상적인 증착 압력은, 진공 척이 웨이퍼를 적소에 유지시키게 할 수 있는, 약 0.05 Torr 내지 약 200 Torr의 전체 챔버 압력(예를 들면, 1 Torr) 범위이다.
페디스털 회전은 증착 챔버(201) 아래에 위치되며 페디스털(204)을 지지하는 샤프트(220)에 회전 가능하게 연결되는 모터(218)에 의해 작동될 수 있다. 또한, 샤프트(220)는 내부 채널(미도시)을 포함할 수 있으며, 내부 채널은 증착 챔버(미도시) 아래의 냉각/가열 시스템으로부터 페디스털(204)로 냉각 유체 및/또는 전기 와이어를 이송한다. 이들 채널은 페디스털의 중심으로부터 원주로 연장되어 상부에 놓인 기판 웨이퍼(202)에 균일한 냉각 및/또는 가열을 제공한다. 또한, 이들 채널은 샤프트(220) 및 기판 페디스털(204)이 회전 및/또는 병진이동할 때 작동하도록 설계될 수 있다. 예를 들면, 냉각 시스템은 페디스털이 회전중이면서 유동성 산화막을 증착하는 동안 기판 웨이퍼(202) 온도를 100℃ 미만으로 유지하도록 작동할 수 있다.
이 시스템(200)은 돔(216) 상에 위치된 조사 시스템(222)을 더 포함할 수 있다. 조사 시스템(222)으로부터의 램프(미도시)는 기판상에 증착된 막을 베이크 또는 어닐링하기 위해 하부에 놓인 기판(202)을 조사할 수 있다. 또한, 이 램프는 증착중에 작동되어서 증착된 막 또는 막 프리커서에서의 반응을 향상시킬 수 있다. 돔(216)의 적어도 상부는 램프로부터 방출된 빛의 일부를 전송할 수 있는 반투명한 물질로 제조된다.
도 2b는 예시적인 처리 시스템(250)의 다른 실시예를 도시하며, 이 시스템에서 측면 노즐(253) 상에 위치된 천공된 플레이트(252)는 상부 입구(254)로부터 프리커서를 분배한다. 천공된 플레이트(252)는 플레이트의 두께를 관통하는 복수의 개구(260)를 통해 프리커서를 분배한다. 플레이트(252)는 예를 들면 약 10 내지 2000 개의 개구(예를 들면, 200 개의 개구를 가질 수 있다. 도시된 실시예에서, 천공된 플레이트는 산소 원자와 같은 산화 가스 및/또는 TMOS 또는 OMCTS와 같은 다른 산소 함유 가스를 분배할 수 있다. 도시된 구성에서, 산화 가스는 실리콘 함유 프리커서 상에서 증착 챔버 내부로 도입되며, 또한 실리콘 함유 프리커서는 증착 기판 상에 도입된다.
상부 입구(254)는 둘 또는 그보다 많은 독립적인 프리커서(예를 들면, 가스) 유동 채널(256, 258)을 구비할 수 있으며, 이들 채널은 둘 또는 그보다 많은 프리커서가 천공된 플레이트(252) 상의 공간으로 들어갈 때까지 혼합 및 반응하지 않도록 한다. 제 1 채널(256)은 입구(254)의 중심을 둘러싸는 고리 형상을 가질 수 있다. 이 채널은 상부에 놓인 반응종 발생 유닛(미도시)에 연결될 수 있으며, 반응종 발생 유닛은 채널(256)을 내려가 천공된 플레이트(252) 상의 공간 내부로 유동하는 반응종 프리커서를 발생시킨다. 제 2 유동 채널(258)은 원통 형상일 수 있으며, 제 2 프리커서를 플레이트(252) 상의 공간으로 유동시키는데 사용될 수 있다. 이 유동 채널은 반응종 발생 유닛을 우회(bypass)하는 프리커서 및/또는 캐리어 가스 소오스로부터 시작할 수 있다. 그 후, 제 1 및 제 2 프리커서는 혼합되어 플레이트(252)의 개구(260)를 통해 하부에 놓인 증착 챔버로 유동한다.
천공된 플레이트(252) 및 상부 입구(254)는 증착 챔버(270) 내의 하부에 놓인 공간으로 산화 프리커서를 전달(deliver)하는데 사용될 수 있다. 예를 들면, 제 1 유동 채널(256)은 (기저 상태 또는 전자적으로 여기된 상태인) 하나 또는 그보다 많은 산소 원자, 산소 분자(O2), N2O, NO, NO2 및/또는 오존(O3)을 포함하는 산화 프리커서를 전달할 수 있다. 또한, 산화 프리커서는 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 또한, 제 2 채널(258)은 산화 프리커서, 캐리어 가스, 및/또는 암모니아(NH3)와 같은 추가의 가스를 전달할 수 있다.
이 시스템(250)은 증착 챔버의 상이한 부분들을 상이한 온도로 가열하도록 구성될 수 있다. 예를 들면, 제 1 히터 영역은 상부 덮개(262) 및 천공된 플레이트(252)를 약 70℃ 내지 약 300℃(예를 들면, 약 160℃) 범위의 온도로 가열할 수 있다. 제 2 히터 영역은 기판 웨이퍼(264) 및 페디스털(266) 상의 측벽을 제 1 히터 영역과 동일하거나 상이한 온도(예를 들면, 약 300℃ 까지)로 가열할 수 있다. 또한, 이 시스템(250)은 제 1 및/또는 제 2 히터 영역과 동일하거나 상이한 온도(예를 들면, 약 70℃ 내지 약 120℃)에 대해 기판 웨이퍼(264) 및 페디스털(266) 아래의 제 3 히터 영역을 가질 수 있다. 또한, 페디스털(266)은 페디스털 샤프트(272) 내에 가열 및/또는 냉각 도관(미도시)을 포함할 수 있으며, 이들 도관은 페디스털 및 기판의 온도를 약 -40℃ 내지 약 200℃(예를 들면, 약 100℃ 내지 약 160℃, 약 100℃ 미만, 약 40℃ 등)로 정한다. 처리중에, 웨이퍼(264)는 리프트 핀(276)을 이용해 페디스털(266)로부터 상승될 수 있으며, 슬릿 밸브 도어(278) 주위에 위치될 수 있다.
이 시스템(250)은 펌핑 라이너(274)(즉, 펌핑 포트의 비대칭적인 위치를 보상하기 위한 압력 평형 채널)를 추가로 포함할 수 있으며, 펌핑 라이너는 웨이퍼 에지의 플레넘 내의 및/또는 웨이퍼 에지 둘레의 원통형 표면 및/또는 웨이퍼 에지 둘레에 위치된 원뿔형 표면 상에 위치된 복수의 개구를 포함한다. 개구 자체는 라이너(274)에 도시된 바와 같은 원형일 수 있거나, 슬롯(미도시)과 같이 상이한 형상일 수 있다. 개구는, 예를 들면 약 0.125 인치 내지 약 0.5 인치의 직경을 가질 수 있다. 펌핑 라이너(274)는 웨이퍼가 처리될 때 기판 웨이퍼(264) 상에 또는 하부에 놓일 수 있다. 또한, 펌핑 라이너는 슬릿 밸브 도어(278) 상에 위치될 수 있다.
도 2c는 도 2b에 도시된 프로세스 시스템(250)의 다른 횡단면도이다. 도 2c는 약 10 인치 내지 약 18 인치(예를 들면, 약 15 인치) 범위인 주 챔버의 내벽 직경을 포함하여, 시스템(250)에 대한 일부 치수를 도시한다. 또한, 도 2c는 약 0.5 인치 내지 약 8 인치(예를 들면, 약 5.1 인치)의 측면 노즐과 기판 웨이퍼(264) 사이의 거리를 도시한다. 또한, 기판 웨이퍼(264)와 천공된 플레이트(252) 사이의 거리는 약 0.75 인치 내지 약 12 인치(예를 들면, 약 6.2 인치) 범위일 수 있다. 또한, 돔(216)의 상부 내측 표면과 기판 웨이퍼 사이의 거리는 약 1 인치 내지 약 16 인치(예를 들면, 약 7.8 인치)일 수 있다.
도 2d는 펌핑 라이너(274) 내에 압력 평형 채널(282) 및 개구를 포함하는 증착 챔버(280)의 일부의 횡단면도를 도시한다. 도시된 구성에서, 채널(282) 및 개구(284)는 상부에 놓인 샤워헤드, 상부 배플 및/또는 측면 노즐 아래에 위치될 수 있으며, 기판 페디스털(286) 및 웨이퍼(288)와 수평하게 또는 그 위에 놓일 수 있다.
채널(282) 및 개구(284)는 챔버내에서의 비대칭적인 압력 영향을 감소시킬 수 있다. 이러한 영향은 증착 챔버(280) 내에 압력 구배를 생성할 수 있는 펌핑 포트의 비대칭적인 위치에 의해 야기될 수 있다. 예를 들면, 기판 페디스털(286) 및 기판 웨이퍼(288) 아래의 압력 구배는 페디스털 및 웨이퍼를 기울어지게 할 수 있으며 이로 인해 유전체 막의 증착시 불균형을 야기할 수 있다. 채널(282) 및 펌핑 라이너 개구(284)는 챔버(280) 내의 압력 구배를 감소시키며 증착중에 페디스털(286) 및 웨이퍼(288)의 위치를 안정시키도록 돕는다.
도 3a는 상부 부분이 도관(214)에 의해 둘러싸이는 배플(210)의 중심 아래로 형성되는 채널(212)을 포함하는, 도 2a의 프리커서 분배 시스템(206)의 상부 부분(302)의 실시예의 도면을 도시한다. 도 3a는 도관(214) 아래로 및 배플(210)의 외부 표면 상에서 유동하는 반응종 프리커서(304)를 도시한다. 반응종 프리커서(304)가 증착 챔버에 가장 가까운 배플(210)의 원뿔형 단부에 도달할 때, 반응종 프리커서는 챔버 내부로 방사상으로 분배되며, 이 챔버 내에서 반응종(304)은 제 2 프리커서(306)와 먼저 접촉하게 된다.
제 2 프리커서(306)는 유기 실란 프리커서일 수 있으며, 또한 캐리어 가스를 포함할 수 있다. 유기 실란 프리커서는 다른 프리커서 중에서도 TMOS, TriMOS, TEOS OMCTS, HMDS, TMCTR, OMTS, TMS, 및 HMDSO와 같은 하나 또는 그보다 많은 화합물(compounds)을 포함할 수 있다. 캐리어 가스는 다른 캐리어 가스 중에서도 질소(N2), 수소(H2), 헬륨, 및 아르곤을 포함할 수 있다. 프리커서는 채널(212)에 또한 연결되는 프리커서 급송 라인(308)에 이어지는 소오스(미도시)로부터 급송된다. 제 2 프리커서(306)는 배플(210)의 외부 표면상에서 유동하는 반응종(304)에 노출되지 않고 중심 채널(212) 아래로 유동할 수 있다. 제 2 프리커서(306)는 증착 챔버 내부로 배플(210)의 바닥을 빠져나갈 때, 처음으로 반응종(304) 및 측면 노즐(208)에 의해 공급되는 추가의 프리커서 물질과 혼합될 수 있다.
도관(214) 아래로 유동하는 반응성 프리커서(304)는 플라즈마 발생(RPS) 유닛과 같은 반응종 발생 유닛(미도시)에서 발생될 수 있다. 플라즈마 발생(RPS) 유닛은, 예를 들면 반응종을 형성하기에 적절한 플라즈마 조건을 만들 수 있다. 플라즈마 발생(RPS) 유닛내의 플라즈마는 증착 챔버내에서 발생된 플라즈마로부터 떨어져 있기 때문에, 각각의 성분에 대해 상이한 플라즈마 조건이 사용될 수 있다. 예를 들면, O2, O3, N2O 등과 같은 산소 프리커서로부터 산소 원자 라디칼을 형성하기 위해 플라즈마 발생(RPS) 유닛에서의 플라즈마 조건(예를 들면, rf 전력, rf 주파수, 압력, 온도, 캐리어 가스 부분압 등)은 산소 원자가 하나 또는 그보다 많은 실리콘 함유 프리커서(예를 들면, TMOS, TriMOS, OMCTS 등)와 반응하고 하부에 놓 인 기판상에 유동성 유전체 막을 형성하는 증착 챔버내에서의 플라즈마 조건과 상이할 수 있다.
도 3a는 제 1 및 제 2 프리커서가 증착 챔버에 도달할 때까지 서로 독립적으로 유지되도록 설계된 이중 채널 상부 배플을 도시한다. 또한, 본 발명의 실시예는 챔버 내부로의 셋 또는 그보다 많은 독립적인 유동을 위한 구성을 포함한다. 예를 들면, 이러한 구성은 배플(210)의 내부에서 배플을 통과하는 채널(212)과 같이 둘 또는 그보다 많은 독립적인 채널을 포함할 수 있다. 이들 채널은 각각 증착 챔버에 도달할 때까지 서로 독립적으로 유동하는 프리커서를 이송할 수 있다. 추가의 예시는 중심을 통과하는 채널을 갖지 않는 단일 채널 배플(210)을 포함할 수 있다. 이 실시예에서, 제 2 프리커서(306)는 측면 노즐(208)로부터 증착 챔버로 들어가 배플(210)에 의해 챔버 내부로 방사상으로 분배되는 반응성 프리커서(304)와 반응한다.
도 3b 및 도 3c는 배플(210)의 추가의 실시예를 도시한다. 도 3b 및 도 3c 모두에서, 채널(212)은 천공된 플레이트(310a-b)에 의해 그 바닥 측면(즉, 증착 챔버에 가장 인접한 측면)상에 형성되는 원뿔형 체적 내부로 개방된다. 프리커서는 천공될 플레이트의 개구(312)를 통해 이 체적을 빠져나간다. 도 3b 및 도 3c는 측벽과 바닥 플레이트(310a-b) 사이의 각도가 어떻게 변화할 수 있는지를 도시한다. 또한, 이 도면들은 프리커서가 증착 챔버에 들어갈 때 프리커서가 유동하는 외부 원뿔형 표면의 형상 변화를 도시한다.
도 3d는 증착 챔버의 상부로부터 프리커서를 분배하기 위해 상부 배플 대신 사용되는 천공된 플레이트(316) 및 상부 입구(314)의 구조를 도시한다. 도시된 실시예에서, 상부 입구(314)는 둘 또는 그보다 많은 독립적인 프리커서 유동 채널(318, 320)을 가질 수 있으며, 이 유동 채널은 둘 또는 그보다 많은 프리커서가 천공된 플레이트(316) 상의 공간으로 들어갈 때까지 이들을 혼합 및 회전하지 않게 한다. 제 1 유동 채널(318)은 입구(314)의 중심을 둘러싸는 고리 형상을 가질 수 있다. 이 채널은 상부에 놓인 반응종 발생 유닛(322)에 연결될 수 있으며, 반응종 발생 유닛은 채널(318)을 내려가 천공된 플레이트(316) 상의 공간 내부로 유동하는 반응종 프리커서를 발생시킨다. 제 2 유동 채널(320)은 원통형 형상일 수 있으며, 제 2 프리커서를 플레이트(316) 상의 공간으로 유동시키는데 사용될 수 있다. 이 유동 채널은 반응종 발생 유닛을 우회하는 프리커서 및/또는 캐리어 가스 소오스로부터 시작할 수 있다. 제 1 및 제 2 프리커서는 혼합되어 플레이트(316)의 개구(324)를 통해 하부에 놓인 증착 챔버로 유동한다.
도 3e는 본 발명의 실시예에 따른 천공된 상부 플레이트(356)를 포함하는 프로세스 시스템(350)에서 산소 함유(352) 및 실리콘 함유 프리커서(354)에 대한 프리커서 유동 분배를 도시한다. 도 3d와 같이, 라디칼 산소 원자와 같은 산소 함유 가스는 원격 플라즈마 시스템(미도시)에 의해 발생되어 증착 챔버의 상부를 통해 천공된 플레이트(356) 상의 공간으로 도입된다. 그 후 반응성 산소 종은 실리콘 함유 프리커서(354)(예를 들면, 유기 실란 및/또는 실라놀 프리커서)가 측면 노즐(360)에 의해 챔버로 도입되는 챔버의 영역으로 천공된 플레이트(356)의 개구(358)를 통해 유동한다.
도 3e에 도시된 측면 노즐(360)은 증착 챔버 내부로 연장하는 원위 단부에서 캐핑된다. 실리콘 함유 프리커서는 노즐 도관의 측벽에 형성된 복수의 개구(362)를 통해 측면 노즐(360)을 빠져나간다. 이들 개구(362)는 기판 웨이퍼(364)를 향하는 노즐 측벽의 일부에 형성되어 웨이퍼를 향하여 실리콘 함유 프리커서(354)의 유동을 지향시킬 수 있다. 개구(362)는 서로 선형으로 정렬되어 프리커서(354)의 유동을 동일한 방향으로 지향시킬 수 있거나, 측벽을 따라 상이한 방사상 위치에 형성되어 프리커서의 유동을 하부에 놓인 기판에 대해 상이한 각도로 지향시킬 수 있다. 캐핑된 측면 노즐(360)의 실시예는 약 8 mils 내지 약 200 mils(예를 들면, 약 20 mils 내지 약 80 mils)의 직경을 갖는 개구(362) 및 약 40 mils 내지 약 2 인치(예를 들면, 약 0.25 인치 내지 약 1 인치)의 개구 사이의 간격을 포함한다. 개구(262)의 개수는 측면 노즐의 길이 및/또는 개구 사이의 간격에 대해 변화할 수 있다.
도 4a는 본 발명의 실시예에 따른 프로세스 시스템에서 측면 노즐의 구성의 평면도를 도시한다. 도시된 실시예에서, 측면 노즐은 3개의 노즐 그룹으로 증착 챔버 둘레에 방사상으로 분포되며, 중심 노즐(402)은 2개의 인접한 노즐(404)에 비해 챔버 내부로 더 연장된다. 16개로 이루어진 이들 3개의 노즐 그룹은 총 48개의 측면 노즐로 증착 챔버 둘레에 고르게 분포된다. 추가의 실시예는 약 12개 내지 약 80개의 노즐 범위의 전체 노즐 개수를 포함한다.
노즐(402, 404)은 기판 웨이퍼의 증착 표면상에 이격되어 있을 수 있다. 기판과 노즐 사이의 간격은, 예를 들면 약 1 ㎜ 내지 약 80 ㎜(예를 들면, 약 10 ㎜ 내지 약 30 ㎜의 범위)의 범위일 수 있다. 노즐(402, 404)과 기판 사이의 거리는 증착 중에 변화할 수 있다(예를 들면, 웨이퍼는 증착중에 회전 및/또는 동요될 뿐 아니라 수직으로 병진이동될 수 있다).
노즐(402, 404)은 모두 동일한 평면에 배치될 수 있거나 상이한 노즐 세트가 상이한 평면에 위치될 수 있다. 노즐(402, 404)은 웨이퍼의 증착 표면에 평행한 중심선에 따라 배향될 수 있거나, 기판 표면에 대해 상부로 또는 하부로 기울어질 수 있다. 상이한 노즐(402, 404) 세트는 웨이퍼에 대해 상이한 각도로 배향될 수 있다.
노즐(402, 404)은 증착 챔버 내부로 연장되는 원위 팁 및 프리커서를 노즐에 공급하는 고리형 가스 링(406)의 내경 표면에 결합되는 근위 단부를 갖는다. 가스 링은, 예를 들면 약 10 인치 내지 약 22 인치(예를 들면, 약 14" 내지 약 18", 약 15" 등) 범위의 내경을 가질 수 있다. 일부 구성에서, 더 긴 노즐(402)의 원위 단부는 하부에 놓인 기판의 원주를 지나 기판의 내부 상의 공간으로 연장될 수 있는 반면, 더 짧은 노즐(404)의 단부는 기판 원주에 도달하지 않는다. 도 4에 도시된 실시예에서, 더 짧은 노즐(404)의 원위 팁은 12" 직경(즉, 300 ㎜)의 기판 웨이퍼의 원주까지 연장되는 반면, 더 긴 노즐(402)의 원위 팁은 증착 표면의 내부보다 멀리 4 인치 더 연장된다.
가스 링(406)은 노즐(402, 404)에 프리커서를 제공하는 하나 또는 그보다 많은 내부 채널(예를 들면, 2 내지 4 인치)을 가질 수 있다. 단일 채널 가스 링에 있어서, 내부 채널은 모든 측면 노즐(402, 404)에 프리커서를 제공할 수 있다. 이 중 채널 가스 링에 있어서, 하나의 채널은 더 긴 노즐(402)에 프리커서를 제공할 수 있는 반면, 제 2 채널은 더 짧은 노즐(404)에 프리커서를 제공한다. 각각의 채널에 있어서, 반응성 증착 프리커서(예를 들면, 유기 실란 프리커서의 유형)의 종류 및/또는 캐리어 가스의 부분압, 유속은 증착 처리법에 따라 동일하거나 상이할 수 있다.
도 4b는 본 발명의 실시예에 따른 프로세스 시스템에서 캐핑된 측면 노즐(410)의 구조를 도시한다. 전술한 도 3e에 도시된 측면 노즐(360)과 유사하게, 노즐(410)은 증착 챔버 내부로 연장하는 그 원위 단부가 캐핑된다. 노즐을 통해 유동하는 프리커서는 노즐 도관의 측벽에 형성된 복수의 개구(412)를 통해 빠져나간다. 이들 개구(412)는 기판 웨이퍼(미도시)를 향하는 노즐 측벽의 일부에 형성되어 웨이퍼를 향해 프리커서의 유동을 지향시킬 수 있다. 개구(412)는 서로 선형으로 정렬되어 프리커서의 유동을 동일한 방향으로 지향시킬 수 있거나, 측벽을 따라 상이한 방사상 위치에 형성되어 프리커서의 유동을 하부에 놓인 기판에 대해 상이한 각도로 지향시킬 수 있다.
노즐(410)은 노즐(410)의 원위 단부가 결합되는 고리형 가스 링(414)에 의해 급송될 수 있다. 가스 링(414)은 단일 가스 유동 채널(미도시)을 가져서 모든 노즐(410)에 프리커서를 공급할 수 있거나, 링은 복수의 가스 유동 채널을 가져서 둘 또는 그보다 많은 노즐(410)에 프리커서를 공급할 수 있다. 예를 들면, 이중 채널 가스 링 구조에서, 제 1 채널은 제 1 노즐(410) 세트(예를 들면, 도 4b에 도시된 노즐 세트 중 더 긴 것)에 제 1 프리커서(예를 들면, 제 1 유기실란 프리커서)를 공급할 수 있고, 제 2 채널은 제 2 노즐(410) 세트(예를 들면, 도 4b에 도시된 노즐 세트 중 더 짧은 것)에 제 2 프리커서(예를 들면, 제 2 유기 실란 프리커서)를 공급할 수 있다.
도 4c는 도 4b에 도시된 것과 유사한 측면 노즐(420)을 통과하는 프리커서 유동의 횡단면도를 도시한다. 프리커서(418)(예를 들면, 증기 전달 시스템으로부터의 캐리어 가스 내의 유기 실란 증기 프리커서)는 측면 노즐(420)의 근위 단부에 결합되는 프리커서 유동 채널(416)에 의해 공급된다. 프리커서(418)는 노즐 도관의 중심을 통해 유동하며 측벽의 개구(422)를 통해 빠져나간다. 도시된 노즐 구조에서, 개구(422)는 아래쪽으로 정렬되어 프리커서(418)의 유동을 하부에 놓인 웨이퍼 기판(미도시)을 향해 지향시킨다. 개구(422)는 약 8 mils 내지 약 200 mils(예를 들면, 약 20 mils 내지 약 80 mils)의 직경 및 약 40 mils 내지 약 2 인치(예를 들면, 약 0.25 인치 내지 약 1 인치)의 개구들 사이의 간격을 가질 수 있다. 개구(422)의 개수는 측면 노즐(420)의 개구 및/또는 길이 사이의 간격에 대해 변화할 수 있다.
또한, 본 발명의 실시예는 도 4b에 도시된 것과 같은 방사상 측면 노즐 세트 대신 사용되는 단일편 방사상 프리커서 매니폴드를 포함할 수 있다. 이러한 프리커서 매니폴드(450)(샤워헤드로도 지칭될 수 있음)의 실시예의 도면이 도 4d에 도시된다. 매니폴드(450)는 외부 프리커서 링(454) 둘레에 방사상으로 분포되는 복수의 직사각형 도관(452)을 포함한다. 도관(452)의 근위 단부는 외부 링(454)에 연결될 수 있는 반면, 도관(452)의 원위 단부는 내측의 고리형 링(456)에 연결된 다. 또한, 내측의 고리형 링(456)은 복수의 내측 도관(458)의 근위 단부에 연결될 수 있으며, 내측 도관의 원위 단부는 중앙의 고리형 링(460)에 연결될 수 있다.
직사각형 도관(452)에는 외부 프리커서 링(454) 내의 하나 또는 그보다 많은 프리커서 채널(미도시)에 의해 프리커서(예를 들면, 하나 또는 그보다 많은 유기 실란 프리커서)가 공급될 수 있다. 프리커서는 도관의 측면상에 형성된 복수의 개구(462)를 통해 도관(452)을 빠져나간다. 개구(462)는 약 8 mils 내지 약 200 mils(예를 들면, 약 20 mils 내지 약 80 mils)의 직경, 및 약 40 mils 내지 약 2 인치(예를 들면, 약 0.25 인치 내지 약 1 인치)의 개구들 사이의 간격을 가질 수 있다. 개구(462)의 개수는 도관(452)의 길이 및/또는 개구들 사이의 간격에 대해 변화할 수 있다.
도 4e는 도 4d에 도시된 프리커서 분배 매니폴드의 확대된 부분을 도시한다. 도시된 실시예에서, 방사상으로 분포된 도관(452a-b)은 그 길이가 내측의 고리형 링(456)으로 연장하는 제 1 도관(452a) 세트, 및 그 길이가 중앙의 고리형 링(460)을 지나 연장하는 제 2 도관(452b) 세트를 포함할 수 있다. 제 1 및 제 2 도관(452) 세트에는 상이한 프리커서 혼합물이 공급될 수 있다.
전술한 바와 같이, 증착 시스템의 실시예는 기판 상에 증착된 유동성 유전체 막을 경화 및/또는 가열하기 위한 조사 시스템을 포함할 수도 있다. 도 5a 및 도 5b는 한가지 이러한 조사 시스템(500)의 실시예를 도시하며, 이 조사 시스템은 반투명한 돔(504) 상에 위치되고 하부에 놓인 기판(506)을 조사하도록 작동 가능한 일련의 동심인 고리형 램프(502)를 포함한다. 램프(502)는 반사 소켓(508) 내부로 오목해질 수 있고, 반사 소켓의 램프측 표면은 반사 코팅을 구비하며, 반사 코팅은 램프에 의해 방출된 더 많은 빛을 지향시킨다. 램프(502)의 전체 개수는 단일 램프로부터, 예를 들면 10개까지의 램프로 다양할 수 있다.
램프(502)는 경화 프로세스를 위한 UV를 방출하는 램프 및/또는 어니일 프로세스를 위해 IR을 방출하는 램프를 포함할 수 있다. 예를 들면, 램프(502)는 수평한 필라멘트(즉, 램프의 전구의 대칭 축선에 수직으로 배향된 필라멘트), 수직한 필라멘트(즉, 전구의 대칭 축선에 평행하게 배향된 필라멘트), 및/또는 원형 필라멘트를 가질 수 있는 텅스텐 할로겐 램프일 수 있다. 반사 소켓(508)의 상이한 램프(502)는 상이한 필라멘트 구조를 가질 수 있다.
램프(502)로부터의 빛은 돔(504)을 통해 기판 증착 표면상으로 전달된다. 돔(504)의 적어도 일부는 UV 및/또는 열 복사가 증착 챔버로 가도록 하는 광학적으로 투명한 윈도우(510)를 포함할 수 있다. 윈도우(510)는, 예를 들면 석영, 용융 실리카(fused silica), 알루미늄 옥시나이트라이드(aluminum oxy-nitride), 또는 어떠한 다른 적합한 반투명 물질로 제조될 수 있다. 도 5a 내지 도 5f에 도시된 바와 같이, 윈도우(510)는 고리형 형상이고 돔(504)의 상부를 덮으며, 예를 들면 약 8" 내지 약 22"(예를 들면, 약 14")의 직경을 가질 수 있다. 윈도우(510)의 중심은 내측 개구를 포함하여 도관이 증착 챔버의 상부로 빠져나가게 한다. 내측 개구는, 예를 들면 약 0.5" 내지 약 4"(예를 들면, 약 1"의 직경)의 직경을 가질 수 있다.
도 5c 및 도 5d는 고리 형상 대신 직선형인 관형 전구를 갖는 램프(512)에 대한 다른 구성을 도시한다. 직선형 램프(512)는 평행하게 정렬될 수 있으며, 돔(504)의 투명한 윈도우(510) 상에 위치된 반사 소켓(514)의 오목한 곳에 놓일 수 있다. 반사 소켓(514)은 고리 형상을 가질 수 있으며, 하부에 놓인 윈도우(510)의 직경과 매치할 수 있다. 램프(512)의 단부는 소켓(514)의 주위를 지나 연장할 수 있다. 윈도우(510)의 중심에 대한 양쪽 측면상의 램프(512)의 개수는 동일하며, 약 4개 또는 그보다 많은 램프(예를 들면, 약 4개 내지 약 10 개의 램프)가 사용될 수 있다.
도 5e 및 도 5f는 윈도우(510)의 중심 둘레의 대향 측면상에 위치된 2개의 대형 램프(516)를 갖는 조사 시스템에 대한 다른 구성을 도시한다. 대형 램프는 서로 평행하게 또는 평행하기보다는 각도를 이루어 정렬될 수 있다. 또한, 램프(516)는 반사 소켓(518) 내의 오목한 곳에 놓일 수 있으며, 반사 소켓은 램프 빛의 일부를 증착 챔버내의 기판을 향해 지향시키는 것을 돕는다.
도 5a 내지 도 5f에 도시된 조사 시스템의 실시예는 유동성 유전체 막을 기판 표면상에 증착시키는 동안 및/또는 증착시킨 후에 유동성 유전체 막을 조사하는데 사용될 수 있다. 또한, 증착 단계들 사이에 기판을 조사(예를 들면, 펄스 어니일)하는데 사용될 수 있다. 막 증착중에, 웨이퍼는 온도 제어된 기판 페디스털 상에 위치된다. 웨이퍼의 온도는, 예를 들면 약 -40℃ 내지 약 200℃(예를 들면, 약 40℃)로 정해질 수 있다. 기판이 베이킹(예를 들면, 어닐링) 프로세스시 조사될 때, 웨이퍼의 온도는 약 1000℃ 까지 증가할 수 있다. 이러한 고온 어닐링 중에, 기판 페디스털 상의 리프트 핀은 기판을 페디스털로부터 들어올릴 수 있다. 이로 인해 페디스털이 흡열원(heat sink)으로 작용하는 것이 방지될 수 있으며, 웨이퍼 온도가 빠른 속도(예를 들면, 약 100℃/초까지)로 증가되게 할 수 있다.
증착 시스템의 실시예는 집적 회로 칩을 생산하기 위한 대형 제조 시스템으로 통합될 수 있다. 도 6은 본 발명의 실시예에 따른 증착 챔버, 베이킹 챔버 및 경화 챔버로 된 한가지 이러한 시스템(600)을 도시한다. 이 도면에서, 한 쌍의 FOOPs(602)가 기판 웨이퍼(예를 들면, 300 ㎜ 직경의 웨이퍼)를 공급하며, 이러한 기판 웨이퍼는 로봇 아암(604)에 의해 수용되어 웨이퍼 처리 챔버(608a-f) 중 하나의 내부에 놓이기 전에 저압 유지 영역(606) 내부에 놓인다. 제 2 로봇 아암(610)은 유지 영역(606)으로부터 처리 챔버(608a-f)로 및 그 반대로 기판 웨이퍼를 운반하는데 사용될 수 있다.
처리 챔버(608a-f)는 기판 웨이퍼 상에 유동성 유전체 막을 증착, 어닐링, 경화 및/또는 식각하기 위한 하나 또는 그보다 많은 시스템 구성요소를 포함할 수 있다. 한가지 구성에서, 두 쌍의 처리 챔버(예를 들면, 608c-d 및 608e-f)가 사용되어 기판상에 유동성 유전체 물질을 증착할 수 있으며, 제 3의 처리 챔버 쌍(608a-d)이 사용되어 증착된 유전체를 어닐링한다. 다른 구성에서, 동일한 두 쌍의 처리 챔버(예를 들면, 608c-d 및 608e-f)는 기판상에 유동성 유전체 막을 증착뿐 아니라 어닐링하도록 형성될 수 있는 반면, 제 3의 처리 챔버 쌍(예를 들면, 608a-b)은 증착된 막의 UV 또는 E-비임 경화를 위해 사용될 수 있다. 또 다른 구성에서, 세 쌍의 모든 챔버(예를 들면, 608a-f)는 기판상에 경화된 유동성 유전체 막을 증착하도록 구성될 수 있다. 또 다른 구성에서, 두 쌍의 처리 챔버(예를 들 면, 608c-d 및 608e-f)는 유동성 유전체의 UV 또는 E-비임 경화 및 증착 모두를 위해 사용될 수 있는 반면, 제 3의 처리 챔버 쌍(예를 들면, 608a-b)은 유전체 막을 어닐링하는데 사용될 수 있다. 유동성 유전체 막에 대한 증착, 어닐링, 및 경화 챔버의 추가의 구성은 시스템(600)에 의해 예상되는 것으로 이해될 것이다.
또한, 하나 또는 그보다 많은 프로세스 챔버(608a-f)는 습식 처리 챔버로 형성될 수 있다. 이들 프로세스 챔버는 수분을 포함하는 대기에서 유동성 유전체 막을 가열하는 것을 포함한다. 따라서, 시스템(600)의 실시예는 습식 처리 챔버(608a-b)를 포함하고 처리 챔버(608c-d)를 어닐링할 수 있어서 증착된 유전체 막 상에 습식 및 건식 어닐링을 실행할 수 있다.
샤워헤드 구조
본 발명에 따른 플라즈마 발생 시스템 및 가스 전달에 대한 실시예는 증착 챔버 내부로 프리커서를 분배하기 위해 샤워헤드를 포함할 수 있다. 이들 샤워헤드는 둘 또는 그보다 많은 프리커서가 증착 챔버 내에서 혼합되기 전에 접촉하지 않고 샤워헤드를 통해 독립적으로 유동할 수 있도록 구성될 수 있다. 또한, 샤워헤드는 플라즈마가 증착 챔버 내부에서뿐만 아니라 면판의 뒤에서 독립적으로 발생될 수 있도록 구성될 수 있다. 샤워헤드의 차단기 플레이트와 면판 사이에서 발생된 독립적인 플라즈마가 사용되어 반응성 프리커서 종을 형성할 뿐 아니라, 면판에 인접한 세척 종(cleaning species)을 활성화시킴으로써 샤워헤드 세척 프로세스의 효율을 향상시킬 수 있다. 증착 영역 내부로 둘 또는 그보다 많은 프리커서를 독립적으로 유동시키도록 구성된 샤워헤드에 대한 추가의 세부사항은 2005년 1월 22 일자로 제출되고, 제목이 "MIXING ENERGIZED AND NON-ENERGIZED GASES FOR SILICON NITRIDE DEPOSITION"이며 전체 내용이 본 명세서에서 모든 목적을 위해 참조로 통합된 Jung 등의 U.S.특허출원번호 제11/040,712호에서 얻을 수 있다.
이제, 도 7a를 참조하면, 샤워헤드 시스템(700)의 단순화된 개략적 횡단면도가 도시된다. 샤워헤드(700)는 2개의 프리커서 입구 포트(702, 704)를 갖도록 구성된다. 제 1 프리커서 입구 포트(702)는 샤워헤드의 중심과 동축이며, 샤워헤드의 중심 아래로 및 그 후 면판(706) 뒤에서 측방향으로 제 1 프리커서에 대한 유동 경로를 형성한다. 제 1 프리커서는 면판의 선택된 개구 뒤의 증착 챔버 내부로 샤워헤드를 빠져나간다.
제 2 프리커서 입구 포트(704)는 제 1 포트(702) 주위에서 가스 박스(710)와 면판(706) 사이의 영역(708) 내부로 제 2 프리커서를 유동시키도록 구성될 수 있다. 제 2 프리커서는 증착 영역(712)에 도달하기 전에 면판(706)내의 선택된 개구를 통해 영역(708)으로부터 유동할 수 있다. 도 7a에 도시된 바와 같이, 면판(706)은 두 세트의 개구: 영역(708)과 증착 영역 사이에 유체 소통을 제공하는 제 1 세트의 개구(714) 및 제 1 입구 포트(702), 면판 갭(718) 및 증착 영역(712) 사이에 유체 소통을 제공하는 제 2 세트의 개구(716)를 갖는다.
면판(706)은 제 1 및 제 2 프리커서가 증착 영역에 대한 샤워헤드를 떠날 때까지 이들을 독립적으로 유지시키는 이중 채널 면판일 수 있다. 예를 들면, 제 1 프리커서는 개구(716)를 통해 샤워헤드를 빠져나가기 전에 면판의 갭(718) 내의 개구(714) 둘레에서 이동할 수 있다. 원통형 포트와 같은 배리어는 제 1 프리커서가 이들 개구를 통해 빠져나가는 것을 방지하도록 개구(714)를 둘러싼다. 마찬가지로, 개구(714)를 통해 이동하는 제 2 프리커서는 면판 갭(718)을 지나 증착 영역 내부로 제 2 개구(716) 외부에서 유동할 수 없다.
프리커서가 각각의 개구 세트를 빠져나갈 때, 프리커서는 기판 웨이퍼(722) 및 기판 페디스털(724) 상의 증착 영역(712) 내에서 혼합될 수 있다. 면판(706)과 페디스털(724)은 기판(722) 사의 증착 영역에 용량적으로 결합된 플라즈마(726)를 발생시키도록 전극을 형성할 수 있다.
또한, 시스템(700)은 면판 뒤에서 영역(708)의 뒤에 제 2 플라즈마(728)를 발생시키도록 형성될 수 있다. 도 7b가 도시하는 바와 같이, 플라즈마(728)는 플라즈마용 전극을 형성하는 rf 전기장을 가스 박스(710)와 면판(706) 사이에 인가함으로써 발생될 수 있다. 이 플라즈마는 제 2 프리커서 입구 포트(704)로부터 영역(708)으로 유동하는 제 2 프리커서로 제조될 수 있다. 제 2 플라즈마(728)는 제 2 프리커서 혼합물 내의 하나 또는 그보다 많은 프리커서로부터 반응종을 발생시키는데 사용될 수 있다. 예를 들면, 제 2 프리커서는 산소 함유 소오스를 포함할 수 있으며, 산소 함유 소오스는 플라즈마(728) 내에 라디칼 산소 원자 종을 형성한다. 반응성 산소 원자는 그 후 면판의 개구(714)를 통해 제 1 프리커서 물질(예를 들면, 유기 실란 프리커서)과 혼합 및 반응할 수 있는 증착 영역 내부로 유동할 수 있다.
도 7b에서, 면판(706)은 증착 영역내의 제 1 플라즈마(726) 및 제 2 플라즈마(728) 모두에 대한 전극으로 작용할 수 있다. 이중 영역 플라즈마 시스템은 동시 플라즈마를 사용하여 면판(706) 뒤에 프리커서 반응종을 발생시킬 수 있으며, 플라즈마(726) 내의 다른 프리커서와 이 반응종의 반응성을 향상시킬 수 있다. 또한, 플라즈마(728)는 세척 프리커서를 활성화 시키는데 사용되어 샤워헤드 개구 내에 증강된 물질과 보다 반응적이 되도록 할 수 있다. 또한, 증착 영역 대신 샤워헤드 내에 반응종을 발생시키면 증착 챔버의 벽과 활동성 세척 종 사이의 원치 않는 반응의 개수를 감소시킬 수 있다. 예를 들면, 면판(706) 뒤에서 발생된 보다 반응적인 플루오르 종은 증착 영역으로 빠져나가기 전에 반응할 것이며, 이 증착 영역에서 플루오르 종은 증착 챔버의 알루미늄 성분으로 이동하여 원치 않는 AlF3를 형성할 수 있다.
도 8a 및 도 8c는 면판(802) 내의 제 1 및 제 2 개구(804, 806) 세트에 대한 2가지 구성을 도시하며, 이러한 개구를 통해 두 가지 프리커서 혼합물이 증착 영역에 도달하기 전에 독립적으로 유동할 수 있다. 도 8a는 동심적인 개구 구조에 대한 횡단면도를 도시하며, 이 도면에서 제 1 개구(804) 세트는 직선형 도관을 통해 제 1 프리커서를 통과하는 반면, 제 2 개구(806) 세트는 제 1 개구를 둘러싸는 동심적인 환형 링 개구를 통해 제 2 프리커서를 통과한다. 제 1 및 제 2 프리커서는 면판 뒤에서 서로 격리되며, 증착 영역의 개구(804, 806)로부터 빠져나올 때 먼저 혼합되어 반응한다.
도 8b는 면판 표면에 형성된 제 1 및 제 2 개구(804, 806)의 배열을 도시하는 면판(802)의 일부에 대한 도면이다. 제 2 고리형 개구(806)는 제 1 개구(804) 를 형성하는 관형 벽과 최외부 면판 층 사이의 갭에 의해 형성된다. 도면에 도시된 실시예에서, 고리형 갭 개구(806)는 중심 개구(804)의 벽 둘레에서 약 0.003"이며, 중심 개구는 직경이 약 0.028"이다. 물론, 다른 크기의 제 1 및 제 2 개구가 사용될 수도 있다. 제 2 프리커서는 이들 고리형 개구(806)를 통과하며 중심 개구(804)로부터 빠져나오는 프리커서를 둘러싼다.
도 8c는 평행한 개구 구조에 대한 횡단면도를 도시하며, 이 도면에서 제 1 개구(808) 세트는 또한 제 1 프리커서를 위한 직선형 도관을 만들지만, 평행하게 인접한 제 2 개구(810) 세트는 제 2 프리커서를 위한 독립적인 유동 채널을 제공한다. 두 세트의 개구는 서로로부터 격리되어 있어서, 제 1 및 제 2 프리커서는 반응 영역 내부로 샤워헤드를 빠져나올 때까지 혼합 및 반응하지 않는다.
개구(810)를 빠져나오는 제 2 프리커서는 도 8d에 도시된 바와 같이 샤워헤드의 에지 영역으로부터 중심으로 유동할 수 있다. 제 2 프리커서 소오스와 개구(810) 사이에 형성된 채널은 영역(812)으로부터 개구(808)를 통해 증착 영역으로 유동하는 제 1 프리커서로부터 유동적으로 격리된다. 제 2 프리커서는 샤워헤드의 원주에 및/또는 원주 둘레에 형성된 하나 또는 그보다 많은 유체 채널에 의해 제공될 수 있다.
값의 범위가 제공되었지만, 이 범위의 상한과 하한 사이의 각각의 매개값(intervening value), 그 조건이 분명히 달리 지시하지 않는 한 하한의 단위의 1/10까지 본질적으로 또한 공개됨이 이해된다. 정해진 범위 내의 임의의 정해진 값 또는 매개 값과 정해진 범위 내의 임의의 다른 정해진 값 또는 매개 값 사이의 각각의 작은 범위는 본 발명 내에 포함된다. 이들 작은 범위의 상한과 하한은 범위 내에서 자유롭게 포함되거나 배제될 수 있으며, 이 작은 범위 내에 이들 범위 중 하나가 포함되거나, 이들 범위 중 하나도 포함되지 않거나, 이들 범위 모두가 포함되는 각각의 범위는 본 발명 내에 또한 포함되며 정해진 범위 내에서 임의의 특별히 배제된 범위를 필요로 한다. 정해진 범위가 한계 중 하나 또는 모두를 포함할 때, 이들 포함된 한계 중 하나 또는 모두를 제외한 범위가 본 발명에 또한 포함된다.
본 명세서 및 첨부된 특허청구범위에서 사용되는 바와 같은, 단수형("a", "and" 및 "the")은 문맥이 명확하게 달리 지시하지 않는 한 복수의 대상을 포함한다. 따라서, 예를 들면 "프로세스"를 가리키는 것은 복수의 이러한 프로세스를 포함할 수 있으며, "노즐"을 가리키는 것은 하나 또는 그보다 많은 노즐 및 당업자에게 공지된 그 상당물에 대한 지시 등을 포함할 수 있다.
또한, 용어 "포함하다(comprise)", "포함하는(comprising)", "포함하다(include)", "포함하는(including)", 및 "포함하다(includes)"는 본 명세서 및 하기의 특허청구범위에서 사용될 때, 정해진 특징, 완전체(integers), 구성요소, 또는 단계의 존재를 기재하기 위한 것이지만, 이들은 하나 또는 그보다 많은 다른 특징, 완전체, 구성요소, 단계 또는 그룹의 존재 또는 추가를 배제하지 않는다.

Claims (25)

  1. 기판상에 유전체 층을 형성하기 위한 시스템으로서:
    증착 영역 및 상부 영역을 포함하는 증착 챔버;
    상기 기판을 유지하기 위한 상기 증착 영역 내의 기판 스테이지;
    상기 증착 챔버에 연결되며, 반응성 라디칼을 포함하는 제 1 유전체 프리커서를 발생시키도록 구성된 원격 플라즈마 발생 시스템; 및
    프리커서 분배 시스템을 포함하고,
    상기 프리커서 분배 시스템은
    상기 증착 영역 위에 그리고 상기 상부 영역 아래에 위치되며 상기 기판의 표면에 걸쳐 상기 제 1 유전체 프리커서, 및 제 2 유전체 프리커서 각각을 균일하게 분배하도록 구성된 이중 채널 샤워헤드,
    상기 제 1 유전체 프리커서를 상기 상부 영역 내로 지향하도록 구성된 제 1 프리커서 입구 포트,
    상기 제 2 유전체 프리커서를 상기 이중 채널 샤워헤드에 있는 면판 갭 내로 지향하도록 구성된 제 2 프리커서 입구 포트를 포함하며,
    상기 이중 채널 샤워헤드는 상기 면판 갭 아래에 면판을 포함하고, 상기 면판은 상기 제 1 유전체 프리커서가 상기 증착 영역으로 들어가는 제 1 개구 세트와, 상기 제 2 유전체 프리커서가 상기 증착 영역으로 들어가는 제 2 개구 세트를 구비하며,
    상기 프리커서들이 상기 증착 영역으로 들어갈 때까지 혼합되지 않는
    시스템.
  2. 제 1 항에 있어서,
    상기 제 1 개구 세트가 원 형상을 갖고, 상기 제 2 개구 세트가 고리 형상을 갖는
    시스템.
  3. 제 1 항에 있어서,
    각각의 상기 제 2 개구가 상기 제 1 개구 중 하나의 둘레에 동심으로 정렬되는
    시스템.
  4. 제 1 항에 있어서,
    상기 프리커서 분배 시스템이 하나 또는 그보다 많은 추가의 유전체 프리커서를 상기 증착 챔버로 도입시키기 위한 복수의 측면 노즐을 더 포함하는
    시스템.
  5. 제 4 항에 있어서,
    상기 추가의 유전체 프리커서가 상기 제 2 유전체 프리커서를 포함하는
    시스템.
  6. 제 4 항에 있어서,
    상기 추가의 유전체 프리커서가 상기 제 1 및 제 2 유전체 프리커서와 상이한 제 3 유전체 프리커서를 포함하는
    시스템.
  7. 제 4 항에 있어서,
    상기 노즐 중 2개 이상이 상이한 길이를 갖는
    시스템.
  8. 제 1 항에 있어서,
    상기 기판 스테이지가 상기 유전체 층의 형성중에 상기 기판을 회전시키는
    시스템.
  9. 제 1 항에 있어서,
    상기 기판 스테이지가 상기 유전체 층의 형성중에 상승 또는 하강될 수 있는
    시스템.
  10. 제 1 항에 있어서,
    상기 시스템이 상기 기판 스테이지에 대한 온도를 제어하기 위해 기판 스테이지 온도 제어 시스템을 포함하는
    시스템.
  11. 제 1 항에 있어서,
    상기 시스템이 증착 챔버 내에 플라즈마를 발생시키기 위해 인-시튜 플라즈마 발생 시스템을 포함하는
    시스템.
  12. 제 1 항에 있어서,
    상기 시스템이 복사 가열 시스템을 포함하는
    시스템.
  13. 제 1 항에 있어서,
    상기 제 1 유전체 프리커서가 라디칼 산소 원자를 포함하는
    시스템.
  14. 제 1 항에 있어서,
    상기 제 2 유전체 프리커서가 실리콘 함유 프리커서인
    시스템.
  15. 제 14 항에 있어서,
    상기 실리콘 함유 프리커서가 실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오소실리케이트(TMOS), 테트라에틸오소실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸사이클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸 트리에톡시실란(MTES), 페닐디메틸실란, 및 페닐실란으로 이루어진 그룹으로부터 선택되는
    시스템.
  16. 유전체 프리커서의 플라즈마로부터 기판상에 유전체 층을 형성하기 위한 시스템으로서:
    증착 영역 및 상부 영역을 포함하는 증착 챔버;
    상기 유전체 층의 증착 중에 회전하도록 작동 가능하며 상기 기판을 유지하기 위한 상기 증착 영역 내의 기판 스테이지 ;
    상기 증착 챔버에 연결되며, 반응성 라디칼을 포함하는 제 1 유전체 프리커서를 발생시키도록 구성된 원격 플라즈마 발생 시스템; 및
    프리커서 분배 시스템을 포함하고,
    상기 프리커서 분배 시스템은
    상기 증착 영역 위에 그리고 상기 상부 영역 아래에 위치되며 상기 기판의 표면에 걸쳐 상기 제 1 유전체 프리커서, 및 제 2 유전체 프리커서 각각을 균일하게 분배하도록 구성된 이중 채널 샤워헤드,
    상기 제 1 유전체 프리커서를 상기 상부 영역 내로 지향하도록 구성된 제 1 프리커서 입구 포트,
    상기 제 2 유전체 프리커서를 상기 이중 채널 샤워헤드에 있는 면판 갭 내로 지향하도록 구성된 제 2 프리커서 입구 포트를 포함하며,
    상기 이중 채널 샤워헤드는 상기 면판 갭 아래에 면판을 포함하고, 상기 면판은 상기 제 1 유전체 프리커서가 상기 증착 영역으로 들어가는 제 1 개구 세트와, 상기 제 2 유전체 프리커서가 상기 증착 영역으로 들어가는 제 2 개구 세트를 구비하며,
    상기 프리커서들이 상기 증착 영역으로 들어갈 때까지 혼합되지 않는
    시스템.
  17. 제 16 항에 있어서,
    상기 기판이 200 ㎜ 또는 300 ㎜ 웨이퍼인
    시스템.
  18. 제 16 항에 있어서,
    상기 기판이 실리콘, 게르마늄, 또는 갈륨 비소(gallium arsenide)를 포함하는
    시스템.
  19. 제 16 항에 있어서,
    상기 기판 스테이지가 상기 유전체 층의 형성중에 상기 샤워헤드에 대해 상기 기판의 위치를 조정하도록 상승 및 하강될 수 있는
    시스템.
  20. 제 16 항에 있어서,
    상기 기판 스테이지는 상기 유전체 층의 형성중에 회전하는 동시에 상승 및 하강될 수 있는
    시스템.
  21. 제 16 항에 있어서,
    상기 시스템이 상기 기판 스테이지에 대한 온도를 제어하기 위해 기판 스테이지 온도 제어 시스템을 포함하는
    시스템.
  22. 제 21 항에 있어서,
    상기 온도 제어 시스템이 -40℃ 내지 200℃의 온도로 상기 기판 스테이지를 유지하는
    시스템.
  23. 제 16 항에 있어서,
    상기 제 2 유전체 프리커서가 실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오소실리케이트(TMOS), 테트라에틸오소실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸사이클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸 트리에톡시실란(MTES), 페닐디메틸실란, 및 페닐실란으로 이루어진 그룹으로부터 선택되는 실리콘 함유 프리커서를 포함하는
    시스템.
  24. 제 16 항에 있어서,
    상기 반응성 라디칼 프리커서가 라디칼 산소 원자를 포함하는
    시스템.
  25. 제 16 항에 있어서,
    상기 시스템이 증착 챔버 내에 플라즈마를 발생시키기 위해 인-시튜 플라즈마 발생 시스템을 더 포함하는
    시스템.
KR1020087031821A 2006-05-30 2007-05-30 유전체 갭필을 위한 프로세스 챔버 KR101046967B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80349906P 2006-05-30 2006-05-30
US60/803,499 2006-05-30
US11/754,916 2007-05-29
US11/754,916 US20070277734A1 (en) 2006-05-30 2007-05-29 Process chamber for dielectric gapfill
PCT/US2007/070001 WO2007140426A2 (en) 2006-05-30 2007-05-30 Process chamber for dielectric gapfill

Publications (2)

Publication Number Publication Date
KR20090019866A KR20090019866A (ko) 2009-02-25
KR101046967B1 true KR101046967B1 (ko) 2011-07-06

Family

ID=38779454

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031821A KR101046967B1 (ko) 2006-05-30 2007-05-30 유전체 갭필을 위한 프로세스 챔버

Country Status (6)

Country Link
US (1) US20070277734A1 (ko)
EP (1) EP2041334A4 (ko)
JP (1) JP5300714B2 (ko)
KR (1) KR101046967B1 (ko)
TW (1) TWI391995B (ko)
WO (1) WO2007140426A2 (ko)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
DE102009010497A1 (de) * 2008-12-19 2010-08-05 J-Fiber Gmbh Mehrdüsiger rohrförmiger Plasma-Abscheidebrenner zur Herstellung von Vorformen als Halbzeuge für optische Fasern
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (zh) * 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102892922A (zh) * 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
KR102273744B1 (ko) * 2010-05-12 2021-07-06 에스아이오2 메디컬 프로덕츠, 인크. 용기 가스 제거 검사 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8974632B2 (en) 2011-11-30 2015-03-10 Lam Research Ag Device and method for treating wafer-shaped articles
US9548223B2 (en) 2011-12-23 2017-01-17 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140272684A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) * 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143841A1 (en) * 2002-01-26 2003-07-31 Yang Michael X. Integration of titanium and titanium nitride layers
US20050110407A1 (en) * 2003-11-26 2005-05-26 Chun-Soo Kim Plasma display device
KR20050094183A (ko) * 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
KR20060044039A (ko) * 2004-11-11 2006-05-16 삼성전자주식회사 반도체 제조장치

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH03197684A (ja) * 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH10163183A (ja) * 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) * 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
AU2001246832A1 (en) * 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) * 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143841A1 (en) * 2002-01-26 2003-07-31 Yang Michael X. Integration of titanium and titanium nitride layers
US20050110407A1 (en) * 2003-11-26 2005-05-26 Chun-Soo Kim Plasma display device
KR20050094183A (ko) * 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
KR20060044039A (ko) * 2004-11-11 2006-05-16 삼성전자주식회사 반도체 제조장치

Also Published As

Publication number Publication date
EP2041334A2 (en) 2009-04-01
JP5300714B2 (ja) 2013-09-25
WO2007140426A2 (en) 2007-12-06
WO2007140426A3 (en) 2008-12-11
EP2041334A4 (en) 2012-08-22
TWI391995B (zh) 2013-04-01
KR20090019866A (ko) 2009-02-25
US20070277734A1 (en) 2007-12-06
WO2007140426A9 (en) 2008-10-23
JP2009539269A (ja) 2009-11-12
TW200807510A (en) 2008-02-01

Similar Documents

Publication Publication Date Title
KR101046967B1 (ko) 유전체 갭필을 위한 프로세스 챔버
KR101207525B1 (ko) 유전체 갭필용 공정 챔버
US20070289534A1 (en) Process chamber for dielectric gapfill
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US7989365B2 (en) Remote plasma source seasoning
US7790634B2 (en) Method for depositing and curing low-k films for gapfill and conformal film applications
KR100432704B1 (ko) 수소화된 SiOC 박막 제조방법
CN101326629B (zh) 填充介电质间隙的制程室
US20190214228A1 (en) Radical assisted cure of dielectric films
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
TWI670756B (zh) 藉由沉積調整來解決fcvd的線條彎曲
WO2011068652A2 (en) Oxygen-doping for non-carbon radical-component cvd films
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US20150167160A1 (en) Enabling radical-based deposition of dielectric films
KR20180053242A (ko) 성막 장치 및 성막 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7