TWI391995B - 用於填充介電質間隙的製程室 - Google Patents

用於填充介電質間隙的製程室 Download PDF

Info

Publication number
TWI391995B
TWI391995B TW096119408A TW96119408A TWI391995B TW I391995 B TWI391995 B TW I391995B TW 096119408 A TW096119408 A TW 096119408A TW 96119408 A TW96119408 A TW 96119408A TW I391995 B TWI391995 B TW I391995B
Authority
TW
Taiwan
Prior art keywords
precursor
deposition chamber
substrate
dielectric
plasma
Prior art date
Application number
TW096119408A
Other languages
English (en)
Other versions
TW200807510A (en
Inventor
Dmitry Lubomirsky
Qiwei Liang
Soonam Park
Kien N Chuc
Ellie Yieh
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200807510A publication Critical patent/TW200807510A/zh
Application granted granted Critical
Publication of TWI391995B publication Critical patent/TWI391995B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用於填充介電質間隙的製程室
本發明涉及一種用於填充介電質間隙的製程室。
積體電路之晶片製造商係持續增加各個晶片上之電路元件的密度,因此填充用以分隔該些元件之間隙變得更具挑戰性。電路元件密度的增加係使得相鄰元件之間的寬度必要性地變短。當該些間隙之寬度的縮減較其高度來得快速時,高度相對於寬度之比例(已知為深寬比;aspect ratio)係成比例地增加。相對於淺及寬的間隙(即,低深寬比間隙),較不易在高且窄之間隙(即,高深寬比間隙)中填充均一之介電材料膜層。
填充高深寬比間隙常見之難處在於空隙(void)之形成。在高深寬比間隙中,填充間隙之介電材料係傾向於以較快之速率沉積在間隙之頂端附近,因此,通常在完全填充間隙之前,介電材料會封閉住間隙之頂端而產生空隙。即使間隙之頂端並未提早被封閉,在間隙之側壁上的介電膜層之不均勻生長速率會造成在間隙填充之中間處產生脆弱的接縫,而這些接縫接著會造成不利於元件之實質完整性及介電特性的裂痕。
用於避免在間隙填充介電層中形成空隙及脆弱接縫的一技術係於較低之沉積速率下填充間隙。較低之沉積速率提供介電物質更多的時間來重新分佈於間隙之內表面,以降低過度之頂部生長機會。較低之沉積速率亦可能是與介電層沉積同時進行之增強的蝕刻或濺鍍操作之結果。舉例來說,在間隙之頂端角落的HDPCVD介電材料之蝕刻速率大於在間隙側壁及底部部分之材料的蝕刻速率。此會增加間隙頂端仍然為開啟狀態之機會,因此間隙之側壁及底部可完全填充有介電材料。
然而,降低介電材料之沉積速率亦會造成完成沉積之時間較長。較長之沉積時間則會使得透過沉積室處理基材晶圓的速率,進而導致製程室之效率降低。
另一個用於避免形成空隙及脆弱接縫的一技術係為增進用於填充間隙的介電材料之可流動性。具可流動性之介電材料可輕易地隨著側壁往下移動,並且填充位於間隙中央處的空隙(通常稱之為使空隙「癒合」)。氧化矽介電材料通常藉由增加介電材料中的羥基基團濃度而變得更具流動性。然而,對於在將該些基團加入氧化物並自其移除而不會對介電材料之最終品質造成不良影響上仍具有挑戰。
因此,需要一種以無空隙介電膜層填充短寬度及高深寬比之間隙的改良系統及方法。該些及其他問題係由本發明之系統及方法而可解決之。
本發明之實施例係包括一種用於自介電前驅物之電漿而在基材上形成介電層之系統。該系統包括:一沉積室;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係用以產生包括一或多個反應性自由基之一介電前驅物。該系統更包括一前驅物分配系統,其包括至少一頂端入口以及複數個側邊入口,用以將介電前驅物導入沉積室中。頂端入口可設置於基材座上方,側邊入口係徑向分佈於基材座之周圍。反應性自由基前驅物係透過頂端入口而供應至沉積室。亦可包括一原位(in-situ)電漿產生系統,以在沉積室中由供應至沉積室的介電前驅物而產生電漿。
本發明之實施例亦包括一種用以在基材上形成二氧化矽層之額外系統。該系統包括一沉積室以及一位於沉積室中以支托基材之基材座,其中基材座在氧化矽層形成之過程中會使基材旋轉。該系統更包括一遠端電漿產生系統,其係耦接至沉積室,其中該電漿產生系統係用以產生原子氧前驅物。該系統又要包括一前驅物分配系統,其具有:(i)至少一頂端入口,其係設置於基材座上方,且原子氧前驅物係透過頂端入口而供應至沉積室;以及(ii)複數個側邊入口,用以將一或多個含矽前驅物供應至沉積室,其中側邊入口係徑向分佈於基材座之周圍。
本發明之實施例更包括一種用於自介電前驅物之電漿而在基材上形成介電層之系統。該系統包括:一沉積室,包括由一半透明材料製成之頂側;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係耦合至沉積室,其中電漿產生系統係用以產生包括一反應性自由基之一介電前驅物。該系統更包括一照射加熱系統,係用以加熱基材,加熱系統包括至少一光源,其中由光源所發射出之至少部分光線在到達基材之前,係行經沉積室之頂側。另外,該系統可包括一前驅物分配系統,其具有至少一頂端入口以及複數個側邊入口,用以將介電前驅物導入沉積室中。頂端入口係耦接至沉積室之頂側並位於基材座之上方。側邊入口係徑向分佈於基材座之周圍。反應性自由基前驅物係透過頂端入口而供應至沉積室。
本發明之實施例又更包括一種用於自介電前驅物之電漿而在基材上形成介電層之額外系統。該系統包括:一沉積室;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係耦合至沉積室,其中電漿產生系統係用以產生包括一或多個反應性自由基之第一介電前驅物。該系統更包括一前驅物分配系統,其包括一設置於基材座上方之雙通道噴灑頭,該噴灑頭包括一面板,且面板係具有一第一組開孔及一第二組開孔,反應性自由基前驅物係通過第一組開孔而進入沉積室中,第二介電前驅物則通過第二組開孔而進入沉積室中,且該些前驅物在進入沉積室之前並未混合。
本發明之實施例亦可包括一種用於自介電前驅物之電漿而在基材上形成介電層之額外系統。該系統包括:一沉積室;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係耦合至沉積室。電漿產生系統係用以產生包括一反應性自由基之介電前驅物。該系統可更包括一前驅物分配系統,其包括至少一頂端入口、一穿孔板及複數個側邊入口,而用以將介電前驅物導入沉積室。穿孔板係設置於頂端入口及側邊入口之間,而側邊入口係徑向分佈於基材座之周圍。反應性自由基前驅物係穿過穿孔板中之複數個開孔而分佈於沉積室中。另外,亦可利用一原位電漿產生系統,以在沉積室中由供應至沉積室的介電前驅物而產生電漿。
本發明之實施例可再包括一種用於在基材上形成介電層之系統。該系統包括:一沉積室;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係耦合至沉積室。電漿產生系統係用以產生包括一反應性自由基之第一介電前驅物。該系統可更包括一前驅物分配系統,其包括複數個側邊噴嘴,以將額外的介電前驅物導入沉積室中。側邊噴嘴可徑向設置於基材座之周圍,且各個噴嘴可具有複數個側壁開孔,則額外的介電前驅物可透過該些開孔而進入沉積室中並與第一介電前驅物混合。
本發明之實施例可另包括一種用於在基材上形成介電層之額外系統。該系統包括:一沉積室;一基材座,係位於沉積室中以支托基材;以及一遠端電漿產生系統,係耦合至沉積室。電漿產生系統係用以產生包括一反應性自由基之第一介電前驅物。該系統亦包括一前驅物分配系統,其具有一徑向前驅物歧管,係用以將額外之介電前驅物導入沉積室中。該歧管可包括複數個徑向分佈之導管,其係設置於基材座上方並沿著基材座周圍而軸向對齊。該些導管可包括複數個側壁開孔,額外的介電前驅物則透過該些開孔而進入沉積室中以與第一介電前驅物混合。
其他之實施例及特徵係部分在下方的說明中提出,且部分係對於熟悉此項技藝人士在閱讀本發明之後係為明顯的,或是可藉由實施本發明而習得。本發明之特徵及優點係藉由本說明書中所述之手段、組合及方法而了解並獲得。
所述之系統係用以將可流動之CVD介電膜層沉積在基材上,且該些膜層可用於STI、IMD、ILD、OCS及其他應用上。系統係包括一反應性物種產生系統,其提供反應性自由基物種至沉積室,而該些物種則與其他沉積前驅物產生化學反應,以在基材之沉積表面上形成可流動之介電膜層。舉例來說,系統可藉由遠端電漿源之激發態氧及有機矽烷型前驅物而在基材上形成一層。此系統亦可包括基材溫度控制系統,其可在沉積過程中加熱及冷卻基材。舉例來說,可流動之氧化物膜層可在低溫下(例如小於100℃)沉積於基材表面,且上述之低溫係藉由在沉積過程中冷卻基材而維持之。在膜層沉積之後,溫度控制系統可加熱基材以進行退火。
所述之系統可更包括一基材移動及定位系統,以在沉積過程中旋轉基材,並且使基材朝向或遠離前驅物分配系統(例如:用於在沉積室中分配前驅物之噴嘴及/或噴灑頭)移動。基材之旋轉係用於使可流動之氧化物膜層在基材表面上更均勻地分佈,其係類似旋轉塗覆(spin-on)技術。基材之移動係用以改變膜層之沉積速率,其係藉由改變基材沉積表面與前驅物進入沉積室之入口之間的距離。
系統可更包括一基材照射系統,其可利用光來照射沉積膜層。實施例包括以UV光來照射表面以使沉積之膜層硬化,以及照射基材而使其溫度升高(例如在快速熱退火型製程中)。
「第1圖」係提供系統100之組件如何整合於本發明之實施例中的簡要示意圖。系統100包括一沉積系統102,而前驅物係在該沉積系統102中進行化學反應,並於沉積室之基材晶圓上形成可流動的介電膜層。沉積系統102可包括線圈及/或電極,其係於沉積室內提供射頻功率以產生電漿。電漿可增進前驅物之反應速率,並進而可增加可流動之介電材料在基材上的沉積速率。
當可流動之氧化物沉積之後,基材移動及定位系統104係可用於旋轉基材,以將基材之不同部分以更均一之方式暴露於前驅物流中,此使得前驅物中之物種的質傳更為均一,亦使得低黏性之膜層在基材之沉積表面上散佈的更廣。定位系統104可包括或可耦合至一可旋轉及可垂直移動的基材座。
系統100可包括一基材溫度控制系統106,其係操作以使基材之溫度升高及降低。溫度控制系統106可耦合至基材座,並透過直接接觸或是基材與基材座之間的其他熱耦合方式而將熱傳送至基材或是自基材傳送出。溫度控制系統106可利用循環流體(例如水)及/或電性材料(例如電阻加熱絲)以控制基材溫度,其中電性材料係藉由使電流通過該材料而提供熱能。
用於形成可流動介電膜層之前驅物係由一前驅物分配系統108提供。分配系統108之實例包括隔板及噴嘴系統,其係使來自沉積系統102中的沉積室之頂部及側邊的前驅物流出。實例亦包括具有複數個開孔之噴灑頭,前驅物氣體係透過該些開孔而分配進入沉積室中。於另一實例中,系統108可包括一氣體環(不具有噴嘴),其具有複數個開孔,而前驅物氣體則透過該些開孔流入沉積室中。
分配系統108可設置以使二或多種前驅物獨立流入沉積室中。在上述配置中,至少一對前驅物並不彼此接觸,直到該些前驅物離開分配系統時才進行混合,並在沉積室中反應。舉例來說,反應性物種產生系統110可產生高反應性之物種(例如原子氧),其在流出分配系統108並進入沉積系統102之前並不與其他前驅物(例如含矽前驅物)反應。
用於系統100中之前驅物可包括用以形成可流動之介電氧化物膜層的前驅物。氧化物膜層前驅物可包括一反應性物種前驅物(例如自由基原子氧),以及其他氧化前驅物,例如分子氧(O2 )、臭氧(O3 )、水蒸氣、過氧化氫(H2 O2 )以及氮的氧化物(例如N2 O、NO2 等)等。氧化物膜層前驅物亦包括含矽前驅物,例如有機矽烷化合物,包括TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS及HMDSO等。含矽前驅物亦包括不含有碳之矽化合物,例如矽烷(SiH4 )。若沉積之氧化物膜層係為一摻雜之氧化物膜層,則亦可使用之摻質(dopant)前驅物例如為TEB、TMB、B2 H6 、TEPO、PH3 、P2 H6 及TMP,以及其他硼及磷摻質。若膜層為氮化矽或氮氧化矽介電層,則可使用含氮前驅物,例如氨、BTBAS、TDMAT、DBEAS及DADBS等。針對部分之膜層沉積,則可使用鹵素以例如做為催化劑。該些鹵素前驅物可包括鹵素氯化物(HCl)及氯矽烷(例如乙基氯矽烷;chloroethylsilane)。亦可使用其他的酸化合物,例如有機酸(例如甲酸)。所有的該些前驅物可藉由載氣而傳輸通過分配系統108及沉積系統102,其中載氣包括氦氣、氬氣、氮氣(N2 )及氫氣(H2 )等。
系統100亦可包括基材照射系統112,其可烘烤沉積於基材表面之可流動介電材料及/或使其硬化。照射系統112包括一或多個燈,其可發射出UV光並藉由使介電材料中的矽烷醇(silanol)分解成氧化矽及水而(例如)使膜層硬化。照射系統112亦可包括加熱燈,其係用以烘烤(即,退火)可流動膜層,而自膜層中移除水蒸氣及揮發性物種,並使其變得更稠密。
現請參照「第2A圖」,係顯示根據本發明之實施例的示範性處理系統200之剖面圖。系統200包括沉積室201,前驅物係於沉積室201中產生化學反應並使可流動介電膜層沉積在基材晶圓202上。晶圓202(例如直徑為200 mm、300 mm、400 mm的半導體基材晶圓)係耦合至可旋轉的基材座204,該基材座204亦可垂直移動而使晶圓202靠近或更加遠離上方之前驅物分配系統206。基材座204亦可使晶圓202在約1 rpm~2000 rpm之轉速下旋轉(例如約10 rpm~120 rpm)。基材座204亦可使晶圓202垂直移動而與前驅物分配系統206之側邊噴嘴208相距約0.5 mm~100 mm。
前驅物分配系統206包括複數個徑向分佈之側邊噴嘴208,且各噴嘴208具有二種不同長度之一。在另一實施例中(圖中未示),係不具有噴嘴,而使一開孔環分佈在沉積室之壁上,前驅物則流經該些開孔而進入腔室。
分配系統206亦可包括一圓錐形的頂板210,其可以與基材座204之中央為共軸設置。流體通道212可行經頂板210的中央,並與來自頂板210之外部導引表面提供之前驅物或載氣的成分不同。
頂板210之外部表面係圍繞有導管214,導管214係導引設置於沉積室201上方之反應性物種產生系統(圖中未示)所提供之反應性前驅物。導管214可以為圓形直管,並在頂板210之外部表面具有一端開孔,而另一端則耦合至反應性物種產生系統。
反應性物種產生系統可以為一遠端電漿產生系統(RPS),其藉由將較穩定之起始物質暴露於電漿而產生反應性物種。舉例來說,起始物質可以為包括分子氧(或臭氧)的混合物。將起始物質暴露於來自RPS的電漿會造成一部分的分子氧解離成原子氧,此高反應性之自由基物種會在較低溫下(例如低於100℃)與有機矽前驅物(例如OMCTS)產生化學反應,以在基材表面上形成可流動介電物質。由於反應性物種產生系統所產生之反應性物種即使在室溫下也會與其他沉積前驅物之間具有高反應性,因此反應性物種在與其他沉積前驅物混合之前,必須在分離之氣體混合物導管214中(往下)傳送,並藉由頂板210而分散進入沉積室201中。
系統200亦可包括RF線圈(圖中未示),其係纏繞於沉積室201的圓蓋216周圍。該些線圈可以在沉積室201中產生感應耦合電漿,以進一步增加反應性物種前驅物與其他前驅物之間的反應性,而將流體介電膜層沉積在基材上。舉例來說,含有反應性原子氧的氣流係透過頂板210而散佈至腔室中,來自通道212及/或一或多個側邊噴嘴208的有機矽前驅物則可導入由RF線圈於基材202上方所形成之電漿中。即使在低溫下,原子氧與有機矽前驅物會快速反應,以在基材表面形成高度可流動之介電膜層。
基材表面本身可以藉由基材座204而旋轉,以增進沉積膜層之均一性。旋轉平面係平行於晶圓沉積表面之平面,或上述二平面為部分未對準。若該些平面並未對準,基材座204之旋轉會產生搖擺現象,因而在沉積表面上方之空間產生流體擾流。在部分情況下,此擾流亦可增進沉積於基材表面之介電膜層的均一性。基材座204亦可包括凹槽及/或其他結構,以提供一靜電吸座而在基材座204移動時,使晶圓保持定位。腔室中的典型沉積壓力係介於0.05托(Torr)~約200托(總腔室壓力)(例如1托),而使得真空吸座能夠將晶圓維持定位。
基材座204之旋轉可由馬達218所致動,馬達218係位於沉積室201之下方,並旋轉耦合至用以支撐基材座204的軸桿220。軸桿220亦可包括內部通道(圖中未示),其係將來自沉積室下方之冷卻/加熱系統(圖中未示)的冷卻流體及/或電線輸送至基材座204。該些通道係由基材座204之中央延伸至周圍,以提供上方之基材晶圓202均一之冷卻及/或加熱。該些通道亦可經過設計,而使得在軸桿220及基材座204旋轉及/或移動時仍可操作。舉例來說,可操作冷卻系統而使基材晶圓202在基材座204旋轉且沉積可流動氧化物膜層之過程中維持在低於100℃之溫度下。
系統200可更包括一照射系統222,其係設置於圓蓋216上方。照射系統222的燈可照射下方之基材202,以對基材202上之沉積膜層進行烘烤或退火。亦可以在沉積過程中啟動燈,以增進膜層前驅物或是沉積膜層中的反應。至少圓蓋216之頂端係由半透明的材料製成,以傳送來自燈的部分光線。
「第2B圖」係顯示示範性處理系統250之另一實施例,其中穿孔板252係設置於側邊噴嘴253上方,並分散來自頂端入口254的前驅物。穿孔板252係透過複數個穿設於板厚度之開孔260而分散前驅物。板252可例如具有約10~2000個開孔260(例如200個開孔)。在所示之實施例中,穿孔板252可分散氧化氣體,例如原子氧及/或其他含氧氣體,例如TMOS或OMCTS。在所示配置中,氧化氣體係導入沉積室中而位於含矽前驅物上方,而該些含矽前驅物係導入而位於沉積基材上方。
頂端入口254可具有二或多個獨立前驅物(例如氣體)流動通道256、258,藉以確保二或多個前驅物在進入穿孔板252上方之空間之前不會進行混合及反應。第一流動通道256係為環形並圍繞於入口254之中央,此通道256可耦合至上方的反應性物種產生單元(圖中未示),且此單元會產生反應性物種前驅物,該前驅物則往下流經通道256而進入穿孔板252上方之空間。第二流動通道258可為圓柱形,其係用以使第二前驅物流至穿孔板252上方之空間,而此流動通道258係起始於使前驅物及/或載氣繞過反應性物種產生單元。第一及第二前驅物接著進行混合,並流經板252中的開孔260而至下方的沉積室。
穿孔板252及頂端入口254可用於將氧化前驅物傳送至沉積室270內的下方空間。舉例來說,第一流動通道256可傳送氧化前驅物,其包括原子氧(於基態或是激發態)、分子氧(O2 )、N2 O、NO、NO2 及/或臭氧(O3 )之一或多者。氧化前驅物亦可包括載氣,例如氦氣、氬氣、氮氣(N2 )等。第二通道258亦可傳送氧化前驅物、載氣及/或額外氣體(例如氨氣;NH3 )。
系統250可設置以將沉積室之不同部位加熱至不同溫度。舉例來說,一第一加熱器區域可使頂蓋262及穿孔板252加熱至約70℃~約300℃(例如約160℃),第二加熱器區域則可將基材晶圓264及基材座266上方之沉積室側壁加熱至與第一加熱器區域相同或不同(例如高於300℃)之溫度。系統250亦可包括位於基材晶圓264及基材座266下方之第三加熱器區域,而使其溫度與第一及/或第二加熱器區域為相同或不同之溫度(例如約70℃~約120℃)。另外,基材座266可包括設置於基材座軸桿272內的加熱及/冷卻導管(圖中未示),以將基材座266及基材264之溫度設定在約-40℃~約200℃之下(例如約100℃~約160℃、小於約100℃、約40℃等)。在處理過程中,晶圓264可藉由升舉銷276而升舉離開基材座266,並位於狹縫閥門278之周圍。
系統250可額外包括一抽氣襯墊274(即,壓力均等通道,其係用以補償抽氣埠之非對稱位置),其在晶圓邊緣及/或晶圓邊緣周圍之圓柱形表面及/或晶圓邊緣周圍之圓錐形表面的充氣部(plenum)中包括多個開孔。該些開孔可如同襯墊274所示而為圓形,或可以為不同之形狀,例如狹縫(圖中未示)。該些開孔可例如具有約0.125英吋~0.5英吋之直徑。當基材進行處理時,抽氣襯墊274可位於基材晶圓264之上方或下方,且亦可位於狹縫閥門278之上方。
「第2C圖」係顯示「第2B圖」之處理系統250之另一剖面視圖。「第2C圖」係繪示系統250之部分尺寸,包括主腔室內壁直徑係介於約10英吋~約18英吋(例如約15英吋)。其亦顯示基材晶圓264與側邊噴嘴之間的距離係介於約0.5英吋~約8英吋(例如約5.1英吋)。另外,基材晶圓264與穿孔板252之間的距離係介於約0.75英吋~約12英吋(例如約6.2英吋)。再者,基材晶圓264與圓蓋268之頂端內表面之間的距離係介於約1英吋~約16英吋(例如約7.8英吋)。
「第2D圖」係顯示部分沉積室280之剖面視圖,其係包括一壓力均等通道282以及位於抽氣襯墊中的開孔284。在所示之配置中,通道282及開孔284可位於上方噴灑頭、頂板及/或側邊噴嘴之下方,並與基材座286及晶圓288位於同高度,或是在其上方。
通道282及開孔284可降低腔室中之非對稱壓力效應,而該效應係由抽氣埠之不對稱位置所致,其會在沉積室280中產生一壓力梯度。舉例來說,在基材座286及/或基材晶圓288下方之壓力梯度可造成基材座286及晶圓288傾斜,並導致介電膜層沉積之不規則性。通道282及抽氣襯墊開孔284可降低沉積室280中的壓力梯度,並協助穩定基材座286及晶圓288於沉積過程中之位置。
「第3A圖」顯示「第2A圖」中之前驅物分配系統206的頂端部分302之實施例視圖,其係包括通道212,通道212係往下形成於頂板210之中央處,且頂板210之上部係圍繞有導管214。「第3A圖」顯示反應性物種前驅物304係往下流經導管214並位於頂板210之外表面上方。當反應性物種前驅物304到達最接近沉積室之頂板210的圓錐形端時,其會徑向分散進入腔室,並在腔室中與第二前驅物306進行第一次的接觸。
第二前驅物306可以為一有機矽烷前驅物,並且亦可包括一載氣。有機矽烷前驅物可包括一或多種化合物,例如:TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS及HMDSO等。載氣可包括一或多種氣體,例如:氮氣(N2 )、氫氣(H2 )、氦氣及氬氣等。前驅物係由連接至前驅物供應管線308之來源(圖中未示)所供應,而該供應管線308亦連接至通道212。第二前驅物306係往下流經中央通道212,而不會暴露於在頂板210之外部表面流動的反應性物種前驅物304。當第二前驅物離開頂板210之底部而進入沉積室時,其首次與反應性物種前驅物304以及由側邊噴嘴208所供應之額外前驅物物質反應。
往下流經導管214之反應性物種前驅物304係於一反應性物種產生單元(圖中未示)中產生,例如RPS單元。RPS單元可產生適合於形成反應性物種的電漿狀態。由於RPS單元中的電漿係位於沉積室中的電漿之遠端,因此可針對各成分而使用不同之電漿狀態。舉例來說,在RPS單元中用於自氧前驅物(例如O2 、O3 、N2 O等)形成原子氧自由基的電漿狀態(例如RF功率、RF頻率、壓力、溫度、載氣分壓等)可不同於原子氧與一或多個含矽前驅物(例如TMOS、TriMOS、OMCTS)進行反應且在下方基材上形成可流動介電膜層之沉積室中的電漿狀態。
「第3A圖」顯示雙通道之頂板,其係設計以使第一及第二前驅物在到達沉積室之前皆為彼此獨立流動。本發明之實施例亦包括三或多種前驅物可獨立流至腔室之配置。舉例來說,該配置可包括行經頂板210之二或多個獨立通道(如同通道212),各個通道可運送前驅物,並且使其在到達沉積室之前為彼此獨立流動。另一實例可包括一單一通道頂板210,其不具有穿過其中心之通道。在該些實施例中,第二前驅物306由側邊噴嘴208進入沉積室,並且與藉由頂板210所徑向分配至腔室中之反應性前驅物304進行反應。
「第3B及3C圖」係顯示頂板210之其他實施例。在「第3B及3C圖」中,通道212係開啟而進入由穿孔板310a~b界定在其底側的圓錐形空間中。前驅物則透過穿孔板310a~b之開孔312而離開此空間。「第3B及3C圖」係顯示側壁及底部穿孔板310a~b之間的角度如何改變,且該些圖式亦說明外部圓錐狀表面(當前驅物在進入沉積室時係流動於其上)之形狀的變化。
「第3D圖」係顯示頂端入口314及穿孔板316之配置,而穿孔板316係用以替代頂板以自沉積室之頂端分配前驅物。在所示之實施例中,頂端入口314係具有二或多個獨立之前驅物流動通道318、320,其係用以防止二或多個前驅物在進入穿孔板316上方之空間之前發生混合。第一流動通道318可為環狀,並圍繞於入口314中心之周圍,此通道318亦可耦合至上方的反應性物種產生單元322,該單元322係產生反應性物種前驅物,並使其往下流經通道318而進入穿孔板316上方之空間。第二流動通道320可為圓柱狀,並用以使第二前驅物流至穿孔板316上方之空間,此流動通道320係起始於使前驅物及/或載氣繞過反應性物種產生單元322。第一及第二前驅物接著進行混合,並流經穿孔板316中的開孔324而至下方的沉積室。
「第3E圖」係顯示含氧前驅物352及含矽前驅物354在製程系統350中之前驅物流動分配情形,而製程系統350根據本發明之實施例而包括有一穿孔(頂)板356。如同「第3D圖」,遠端電漿系統(圖中未示)係產生一含氧氣體(例如自由基原子氧),其係導引穿過沉積室之頂端而進入穿孔板356上方之空間。反應性氧物種接著流經穿孔板356之開孔358而往下進入腔室之一區域,另外,含矽前驅物354(例如有機矽烷及/或矽烷醇前驅物)則藉由側邊噴嘴360而進入腔室中。
「第3E圖」所示之側邊噴嘴360在其延伸進入沉積室之末端係被覆蓋住(capped)。含矽前驅物354透過形成在噴嘴導管之側壁的複數個開孔362而離開側邊噴嘴360。該些開孔362係形成於面向基材晶圓364之部分噴嘴側壁,以將含矽前驅物354導向晶圓。該些開孔362可為共直線對齊(co-linearly aligned),以在同一方向導引前驅物354之流動,或者是,該些開孔362可沿著側壁而形成在不同的徑向位置,以在相對於下方晶圓之不同角度下導引前驅物之流動。覆蓋之側邊噴嘴360的實施例包括直徑為約8密爾(mils)~約200密爾(例如約20密爾~約80密爾)的開孔362,且開孔362之間的間隔係介於約40密爾~約2英吋(例如約0.25英吋~約1英吋)。開孔362之數目可相對於開孔362之間的間隔及/或側邊噴嘴之長度而有所不同。
「第4A圖」係顯示根據本發明之實施例而在製程系統中之側邊噴嘴的配置之上視圖。在所示之實施例中,側邊噴嘴係以三個噴嘴為一組而徑向分佈於沉積室之周圍,其中中央噴嘴402係較相鄰之二噴嘴404而更進一步延伸至腔室中。十六組噴嘴(三個為一組)係均勻分佈於沉積室之周圍,故總共為四十八個側邊噴嘴。其他實施例係包括介於約十二~八十個的噴嘴總數。
噴嘴402、404係位於基材晶圓之沉積表面上方而與其間隔設置。基材與噴嘴之間的間隔係例如介於約1 mm~約80 mm(例如介於約10 mm~30 mm之間)。噴嘴402、404與基材之間的距離在沉積過程中係可改變(例如在沉積過程中,晶圓可垂直移動、旋轉及/或搖動)。
噴嘴402、404可設置在相同平面,或是不同之噴嘴組可位於不同的平面。噴嘴402、404可使其中線(centerline)定位而平行於晶圓之沉積表面,或其可相對於基材表面而向上或向下傾斜。不同組之噴嘴402、404可相對於晶圓而定位在不同的角度。
噴嘴402、404係具有一延伸進入腔室之末端以及耦合至環狀氣體環406之內徑表面的一近端,其中氣體環406係供應前驅物至噴嘴。氣體環406之內徑係例如介於約10英吋~約22英吋(例如約14”~約18”、約15”等)。在部分配置中,較長噴嘴402之末端可延伸超過下方基材之周圍,並進入基材內部上方之空間,但較短噴嘴404之末端則並未到達基材之周圍。在「第4A圖」所示之實施例中,較短噴嘴404之末端係延伸至直徑12”(即,300 mm)的基材晶圓之周圍,而較長噴嘴402之末端則在沉積表面之內部上方延伸額外的4英吋。
氣體環406係具有一或多個內部通道(例如2~4個通道),其係提供前驅物至噴嘴402、404。針對單一通道之氣體環,內部通道可提供前驅物至所有的側邊噴嘴402、404。針對雙通道之氣體環,第一通道可提供前驅物至較長噴嘴402,而第二通道則提供前驅物至較短噴嘴404。各個通道中之反應性沉積前驅物(例如有機矽烷前驅物之種類)及/或載氣之分壓與流速可視沉積配方(deposition recipe)而為相同或不同。
「第4B圖」係顯示根據本發明之實施例而在製程系統中之被覆蓋住的側邊噴嘴410。相似於「第3E圖」中的側邊噴嘴360,噴嘴410係在其延伸進入沉積室之末端而被覆蓋住。流經噴嘴410之前驅物係透過形成於噴嘴導管之側壁的複數個開孔412而離開。該些開孔412係形成於面向基材晶圓(圖中未示)之部分噴嘴側壁,以將前驅物導向晶圓。該些開孔412可為共直線對齊(co-linearly aligned),以在同一方向導引前驅物之流動,或者是,該些開孔412可沿著側壁而形成在不同的徑向位置,以在相對於下方晶圓之不同角度下導引前驅物之流動。
噴嘴410可由環狀氣體環414供應,而噴嘴410之近端係耦接至氣體環414。氣體環414可具有單一氣流通道(圖中未示),以將前驅物供應至所有噴嘴410,或是氣體環414具有複數個氣流通道,以供應二或多組噴嘴410。舉例來說,在雙通道氣體環設計中,第一通道係供應第一前驅物(例如:第一有機矽烷前驅物)至第一組噴嘴410(例如「第4B圖」中的較長噴嘴組),以及第二通道係供應第二前驅物(例如:第二有機矽烷前驅物)至第二組噴嘴410(例如「第4B圖」中的較短噴嘴組)。
「第4C圖」係顯示流經側邊噴嘴420(如同「第4B圖」中所示之噴嘴)之前驅物的剖面視圖。前驅物418(例如來自蒸氣輸送系統之載氣中的有機矽烷蒸氣前驅物)係由耦接至側邊噴嘴420之近端的前驅物流動通道416供應。前驅物418流經噴嘴導管之中央,並透過側壁之開孔422而離開。在所示之噴嘴配置中,開孔422係往下對齊而將前驅物418導向下方之晶圓基材(圖中未示)。開孔422之直徑介於約8密爾~約200密爾(例如約20密爾~約80密爾),且開孔422之間的間隔係介於約40密爾~約2英吋(例如約0.25英吋~約1英吋)。開孔422之數目係可相對於開孔之間的間隔及/或側邊噴嘴420之長度而改變。
本發明之實施例亦可包括單一部件之徑向前驅物歧管,其係用於取代如「第4B圖」所示之徑向側邊噴嘴組。前驅物歧管450(亦可稱之為噴灑頭)之實施例係顯示於「第4D圖」。歧管450包括複數個矩形導管452,其係徑向分佈於外部前驅物環454之周圍。導管452之近端可耦接至外部環454,而導管452之末端則耦接至內部環456。內部環456亦可耦接至複數個內部導管458之近端,而導管458之末端則耦接至中央環460。
外部前驅物環454中之一或多個前驅物通道(圖中未示)係供應前驅物(例如一或多個有機矽前驅物)至矩形導管452。前驅物經過形成於導管側邊之複數個開孔462而離開導管452。開孔462之直徑介於約8密爾~約200密爾(例如約20密爾~約80密爾),且開孔462之間的間隔係介於約40密爾~約2英吋(例如約0.25英吋~約1英吋)。開孔462之數目係可相對於開孔462之間的間隔及/或導管452之長度而改變。
「第4E圖」係顯示「第4D圖」中前驅物分配歧管之放大部分。在所示實施例中,徑向分佈之導管452a~b係包括其長度延伸至內部環456的第一組導管452a,以及其長度延伸超過內部環456而至中央環460的第二組導管452b。第一及第二組導管452可提供有不同的前驅物混合物。
如上所述,沉積系統之實施例亦可包括照射系統,而使沉積於基材上之可流動介電膜層硬化及/或加熱之。「第5A及5B圖」係顯示此種照射系統500之實施例,其包括設置於半透明圓蓋504上方之同中心環狀燈502組。燈502係凹設於反射槽508中,而其位於燈側之表面具有一反射性塗層,其可將燈所發射之光線導向基材506。燈502的總數可為單一個燈至例如高達10個燈。
燈502可包括用於硬化製程之UV發射燈及/或用於退火製程之IR發射燈。舉例來說,燈502可以為鹵素鎢絲燈,其可具有水平燈絲(即,定位而垂直於燈泡之對稱軸的燈絲)、垂直燈絲(即,定位而平行於燈泡之對稱軸的燈絲)及/或圓形燈絲。在反射槽508中的不同燈502可具有不同的燈絲配置。
來自燈502的光線係傳送穿過圓蓋504而至基材沉積表面上。至少一部分的圓蓋504包括一可穿透光之窗510,其係允許UV及/或熱照射進入沉積室。窗510可例如由石英、熔融二氧化矽、氮氧化鋁或其他適合之半透明物質製成。如「第5A~5F圖」所示,窗510可以為環形並覆蓋圓蓋504之頂部,且其直徑係例如為約8”~約22”(例如約14”)。窗510的中央可包括一內部開孔,其允許導管穿過其中而進入沉積室之頂端。內部開孔之直徑係例如為約0.5”~約4”(例如直徑為約1”)。
「第5C及5D圖」係顯示具有管狀燈泡之燈512的另一配置,其係以平直形狀取代環狀。平直燈512係平行對齊,並凹設於反射槽514中,而反射槽514係設置於圓蓋504之透明窗510上方。反射槽514可為環狀且可符合上方窗510之直徑。燈512之一端係可延伸超過槽514的周圍。在窗510中央之各側的燈512數目可相同,並可使用約4或更多個燈(例如約4~10個燈)。
「第5E及5F圖」係顯示照射系統之另一配置,其係具有設置於窗510周圍之相對側的二大型燈516。大型燈516可彼此平行對齊,或以小於平行之角度對齊。燈516亦可凹設於反射槽518中,該反射槽518係有助於將一部分的燈光線導向沉積室中的基材。
「第5A~5F圖」中所示之照射系統的實施例可在可流動之介電膜層沉積於基材表面上之過程中或之後,用於照射可流動之介電膜層。其亦可在沉積步驟之間(例如脈衝退火)照射基材。在膜層沉積之過程中,晶圓係設置於溫控基材座上。晶圓溫度可例如設定於約-40℃~約200℃(例如約40℃)。當基材於一烘烤製程(即,退火)中被照射,晶圓之溫度可升高至高達約1000℃。在此高溫退火之過程中,基材座上的升舉銷可將基材升舉離開基材座。此可預防基材座變成散熱片(hot sink),而允許基材溫度以高速升高(例如高達約100℃/秒)。
沉積系統之實施例可合併入大型製造系統中以生產積體電路晶片。「第6圖」係顯示根據本發明之實施例的沉積、烘烤及硬化腔室之系統600。在此圖中,一對FOOPs 602係供應基材晶圓(例如直徑300 mm之晶圓),而晶圓係由機械手臂604所接收,並在將其置入晶圓處理系統608a~f之一之前,先將其置入低壓容設區606。第二機械手臂610可用於將基材晶圓由容設區606傳送至處理室608a~f中,並再傳送回來。
處理室608a~f可包括一或多個可對於在基材晶圓上之可流動介電膜層進行沉積、退火、硬化及/或蝕刻處理的一或多個系統組件。此一配置中,二對處理室(例如608c~d及608e~f)係用於在基材上沉積可流動介電材料,而第三對的處理室(例如608a~b)則用於使沉積之介電材料進行退火。在另一配置中,相同的二對處理室(例如608c~d及608e~f)可用於在基材上沉積可流動介電膜層,並對其進行退火,而第三對之處理室(例如608a~b)則可用於使沉積膜層進行UV或電子束(E-beam)硬化。在另一配置中,三對處理室(例如608a~f)可設置以在基材上沉積可流動介電膜層並使其硬化。又另一配置中,二對處理室(例如608c~d及608e~f)可用於沉積可流動介電材料並使其進行UV或電子束硬化,而第三對之處理室(例如608a~b)則可用於對介電膜層進行退火。亦可了解,針對可流動介電膜層之沉積、退火及硬化腔室之其他配置亦為可預期的(根據系統600)。
另外,一或多個處理室608a~f可設置以作為濕式處理室。該些處理室包括在一包含水分之空氣中加熱可流動之介電膜層。因此,系統600之實施例可包括濕式處理室608a~b以及退火處理室608c~d,以在沉積之介電膜層上進行濕式及乾式退火處理。
噴灑頭設計
根據本發明之氣體輸送及電漿產生系統之實施例包括噴灑頭,以將前驅物分配至沉積室中。該些噴灑頭係經設計而使得二或多個前驅物可獨立流經噴灑頭,以在沉積室內混合之前不會彼此接觸。噴灑頭可經設計而使得電漿可獨立產生於面板後方以及沉積室中。獨立產生於噴灑頭之隔板與面板之間的電漿可用於形成反應性前驅物物種,並且可藉由在接近面板處激發清潔物種而增進噴灑頭清潔處理之效率。關於設計以將二或多個前驅物獨立流入沉積區域之噴灑頭的額外細節係描述於美國專利申請序號第11/040,712號之申請案中,其發明人為Jung等人,申請日為2005年1月22日,發明名稱為「MIXING ENERGIZED AND NON-ENERGIZED GASES FOR SILICON NITRIDE DEPOSITION(用於氮化矽沉積之激發態及非激發態氣體之混合)」,係將其整體併入以做為參考。
現請參閱「第7A圖」,係顯示噴灑頭系統700之簡要剖面視圖。噴灑頭700係設置而具有二前驅物入口702、704。第一前驅物入口702係與噴灑頭700之中心為共軸設置,且往下通過噴灑頭700中央並接著橫向通過面板706後側而界定出第一前驅物的流動通道。第一前驅物係通過面板之所選開孔而離開噴灑頭並進入沉積室中。
第二前驅物入口704係設置以使第二前驅物流動於第一前驅物入口702之周圍,並進入氣體室(gasbox)710與面板706之間的區域708。第二前驅物則在到達沉積室712之前,接著由區域708流經面板706之所選開口。如「第7A圖」所示,面板706具有二組開孔:第一組開孔714係提供區域708與沉積區域712之間的流體連通;第二組開孔716則提供第一入口702、面板間隙718及沉積區域712之間的流體連通。
面板706可以為雙通道面板,並用以使第一及第二前驅物在離開噴灑頭並進入沉積室之前保持分開。舉例來說,第一前驅物在經過開孔716離開噴灑頭之前,會在面板間隙718之開孔714周圍移動,而例如圓柱狀口之阻障物係包圍住開孔714,以防止第一前驅物經過該些開孔而離開。同樣的,流動穿過開孔714的第二前驅物則無法跨越面板間隙718而自第二開孔716進入沉積區域。
當前驅物離開其各自的開孔組時,其可在基材晶圓722及基材座724上方的沉積區域712進行混合。面板706及基材座724可形成電極,以在基材722上方的沉積區域712中產生電容耦合電漿726。
系統700亦可設置在面板706後方之區域708的後方以產生第二電漿728。如「第7B圖」所示,電漿係可藉由在氣體室710及面板706之間施加一RF電場而產生,而氣體室710及面板706係形成電漿之電極。此電漿可由來自第二前驅物入口704而流入區域708的第二前驅物所形成。第二電漿728可用以由第二前驅物混合物中的一或多個前驅物來產生反應性物種。舉例來說,第二前驅物包括含氧來源,其在電漿728中形成自由基原子氧物種。反應性原子氧接著流經面板開孔714而進入沉積區域,且在此處與第一前驅物物質(例如有機矽烷前驅物)混合並產生反應。
於「第7B圖」中,面板706可作為第二電漿728及在沉積區域中的第一電漿726之電極。雙區域電漿系統可利用同步電漿以在面板706後面產生前驅物反應性物種,並且以該電漿726中的其他前驅物增進該物種的反應性。另外,電漿728可用於激發清潔前驅物,而使其與存在於噴灑頭開孔中之物質之間的反應性更高。另外,在噴灑頭而非沉積區域中產生反應性物種可降低活化之清潔物種與沉積室壁之間不期望存在之反應數。舉例來說,在面板706後方產生之較活化的氟物種在其離開並進入沉積室之前會先進行反應,而該氟物種會移動至沉積室之鋁組件並形成不欲其存在之AlF3
「第8A及8C圖」係顯示在面板802中之第一及第二組開孔804、806的二種配置,二前驅物混合物係透過該些開孔804、806而在到達沉積區域之前為獨立流動。「第8A圖」係顯示同中心開孔設計之剖面視圖,其中第一組開孔804係使第一前驅物通過平直導管,而第二組開孔806則使第二前驅物通過圍繞第一開孔之同中心環開口。第一及第二前驅物在面板後方係彼此分隔開來,並在離開開孔804、806之後而在沉積區域中首次進行混合及反應。
「第8B圖」係為面板802之部分視圖,其顯示形成於面板表面之第一及第二開孔804、806的陣列。第二環狀開孔806係由最外側面板層及界定第一開孔804之管狀壁之間的間隙所形成。在「第8B圖」所示之實施例中,環狀間隙開孔806係在中央開孔804壁之周圍約0.003”之處,而中央開孔804之直徑為約0.028”。當然,亦可採用其他的第一及第二開孔之尺寸。第二前驅物通過該些環狀開孔806並圍繞在由中央開孔804離開的前驅物之周圍。
「第8C圖」係顯示平行開孔設計之剖面視圖,其中第一組開孔808仍產生一第一前驅物之平直導管,而平行且鄰近設置之第二組開孔810則提供第二前驅物之獨立流動通道。兩組開孔係彼此分隔開,故第一及第二前驅物在其離開噴灑頭而進入反應區域之前不會進行混合及反應。
離開開孔810之第二前驅物可由噴灑頭之邊緣區域流至中央,如「第8D圖」所示。形成於第二前驅物來源及開孔810之間的通道係與由區域812流經開孔808而進入沉積區域之第一前驅物係為流體分隔。第二前驅物可由形成於噴灑頭內及/或周圍的一或多個流體通道提供。
當說明書中提供有一數值範圍時,應了解此範圍中之最高及最低限值之間的各個(介於其間之)數值(除非文中特別指出,數值係至最低限值單位的十分之一)亦被揭露。在所述範圍中的各個較小範圍,或是介於所述範圍中的數值以及在所述範圍中的其他述及或界於其中之數值亦包含在本發明之範圍中。該些較小範圍之較高或較低限值可獨立地包括在該範圍內或排除至該範圍外,且較小範圍內包括二限值或其中之一限值或不包括該些限值之各範圍亦包含在本發明之範圍中,其條件係為所述範圍之任何特定排除限值。所述之範圍包括限值之一者或兩者、將一或二個該些限值排除之範圍皆包括在本發明中。
在所附之申請專利範圍中,除非內文有清楚指出,則單數形式「一個(a、an及the)」亦包括複數個指示對象。因此,舉例來說,「一個製程」包括複數個此種製程,而「這個噴嘴」包括一或多個噴嘴,或是熟習此技藝之人士所知之等效物。
另外,說明及所附申請專利範圍中所使用之「包括」或「包含」一詞係用以說明所述特徵、事物、組件或步驟之存在,但並非用以排除一或多個其他特徵、事物、組件或步驟之存在及附加。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇。
100,102,104,106,108,110,112,200,206,250...系統
201...沉積室
202...晶圓/基材
204...基材座
208...噴嘴
210...頂板
212...通道
214...導管
216...圓蓋
218...馬達
220...軸桿
222...照射系統
252...板
253...噴嘴
254...入口
256,258...通道
260...開孔
262...頂蓋
264...晶圓/基材
266...基材座
268...圓蓋
270...沉積室
272...軸桿
274...襯墊
276...升舉銷
278...閥門
280...沉積室
282...通道
284...開孔
286...基材座
288...晶圓
302...頂端部分
304...前驅物
306...前驅物
308...管線
310a~b...(穿孔)板
312...開孔
314...入口
316...穿孔板
318,320...通道
322...單元
324...開孔
350...系統
352,354...前驅物
356...穿孔(頂)板
358...開孔
360...噴嘴
362...開孔
364...晶圓/基材
404,404...噴嘴
406...氣體環
410...噴嘴
412...開孔
414...氣體環
416...通道
418...前驅物
420...噴嘴
422...開孔
450...歧管
452,452a~b,458...導管
454,456,460...環
462...開孔
500...照射系統
502...燈
504...圓蓋
506...基材
508...槽
510...窗
512...燈
514...槽
516...燈
518...槽
600...系統
602...FOOPs
604,610...機械手臂
606...容設區
608a~f...處理系統/處理室
700...噴灑頭(系統)
702,704...入口
706...面板
708...區域
710...氣體室
712...沉積室/沉積區域
714,716...開孔
718...面板間隙
722...晶圓/基材
724...基材座
726,728...電漿
802...面板
804,806...開孔
808,810...開孔
812...區域
第1圖,繪示根據本發明之實施例的製程系統之簡要示意圖;第2A圖,繪示根據本發明之實施例的示範性製程系統之剖面視圖;第2B圖,繪示根據本發明之另一實施例的示範性製程系統之剖面視圖;第2C圖,繪示第2B圖所示之製程系統的另一剖面視圖;第2D圖,繪示沉積室之一部分的剖面視圖,其根據本發明之實施例而包括在抽氣襯墊中的壓力均等通道及開孔,以降低不對稱之壓力效應;第3A~C圖,繪示根據本發明之實施例的製程系統中之頂板的配置;第3D圖,繪示根據本發明之實施例的製程系統中之頂端入口及穿孔板之配置;第3E圖,繪示根據本發明之實施例的含氧前驅物及含矽前驅物在製程系統中的前驅物流動分佈,該製程系統包括穿孔頂板;第4A圖,繪示根據本發明之實施例的製程系統中之側邊噴嘴之配置;第4B圖,繪示根據本發明之實施例的具有覆蓋端及沿著噴嘴管之長度的複數個開孔之側邊噴嘴的另一配置;第4C圖,繪示流經覆蓋住之側邊噴嘴的前驅物之剖面視圖,該噴嘴如同第4B圖所示之噴嘴;第4D圖,繪示根據本發明之實施例的單部件前驅物分配歧管之設計;第4E圖,繪示第4D圖中所示之前驅物分配歧管的部分放大視圖;第5A~B圖,繪示根據本發明之實施例的製程系統之剖面視圖,其具有徑向同中心配置之照射加熱元件;第5C~D圖,繪示根據本發明之實施例的製程系統之剖面視圖,其具有平行配置之複數個照射加熱元件;第5E~F圖,繪示根據本發明之實施例的製程系統之剖面視圖,其具有雙槽配置之照射加熱元件;第6圖,繪示根據本發明之實施例的沉積、烘烤及硬化腔室之配置;第7A圖,繪示根據本發明之實施例的噴灑頭之剖面視圖,其具有獨立之氣流通道;第7B圖,繪示根據本發明之實施例的噴灑頭之剖面視圖,其具有獨立之氣流通道及電漿區域;第8A圖,繪示噴灑頭之部分剖面視圖,其中製程氣體係透過獨立通道而提供,噴灑頭並包括在面板中的同中心孔洞;第8B圖,繪示根據本發明之實施例的具有同中心孔洞之面板表面;第8C圖,繪示噴灑頭之另一部分剖面視圖,其中製程氣體係透過形成於面板中的獨立且平行之通道而提供;以及第8D圖,繪示根據本發明之實施例的部分噴灑頭之剖面視圖,其使氣體由噴灑頭之邊緣流向中央處。
100,102,104,106,108,110,112...系統

Claims (24)

  1. 一種用於以介電前驅物之一電漿形成一介電層於一基材上之系統,該系統包括:一沉積室;一基材座,係位於該沉積室中以支托該基材;一遠端電漿產生系統,該遠端電漿產生系統係位於該沉積室外部且耦合至該沉積室,其中該遠端電漿產生系統係用以產生包括一反應性自由基之一第一介電前驅物;以及一前驅物分配系統,該前驅物分配系統包括一頂端部分與一雙通道噴灑頭,該遠端電漿產生系統透過該頂端部分與該沉積室耦合,該雙通道噴灑頭設置於該基材座上方,其中該頂端部分包含一雙通道,該雙通道與該雙通道噴灑頭耦合,用以使該第一介電前驅物以及一第二介電前驅物能獨自地與該沉積室相連通,且該雙通道噴灑頭包括一面板,該面板具有一第一開孔組及一第二開孔組,該反應性自由基前驅物係通過該第一開孔組而進入該沉積室中,該第二介電前驅物則通過該第二開孔組而進入該沉積室中,且其中該些前驅物在進入該沉積室之前並未混合。
  2. 如申請專利範圍第1項所述之系統,其中該第一開孔組係為圓形,該第二開孔組係為環形。
  3. 如申請專利範圍第1項所述之系統,其中該些第二開孔的每一個係沿著該些第一開孔其中之一者的周圍而同中心對齊。
  4. 如申請專利範圍第1項所述之系統,其中該前驅物分配系統更包括複數個側邊噴嘴,係用以將一或更多個額外的介電前驅物導引至該沉積室。
  5. 如申請專利範圍第4項所述之系統,其中該些額外的介電前驅物係包括該第二介電前驅物。
  6. 如申請專利範圍第4項所述之系統,其中該些額外的介電前驅物係包括不同於該第一及第二介電前驅物的一第三介電前驅物。
  7. 如申請專利範圍第4項所述之系統,其中該些噴嘴之至少二者係具有不同長度。
  8. 如申請專利範圍第1項所述之系統,其中在形成該介電層之過程中,該基材座係旋轉該基材。
  9. 如申請專利範圍第1項所述之系統,其中在形成該介電層之過程中,該基材座可升高或降低。
  10. 如申請專利範圍第1項所述之系統,其中該系統包括一基材座溫度控制系統,以控制該基材座之溫度。
  11. 如申請專利範圍第1項所述之系統,其中該系統包括一原位電漿產生系統,該產生系統在該沉積室中由供應至該沉積室的該些介電前驅物而產生一電漿。
  12. 如申請專利範圍第1項所述之系統,其中該系統包括一照射加熱系統。
  13. 如申請專利範圍第1項所述之系統,其中該第一介電前驅物包括一自由基原子氧。
  14. 如申請專利範圍第1項所述之系統,其中該第二介電前驅物包括一含矽前驅物。
  15. 如申請專利範圍第14項所述之系統,其中該含矽前驅物係選自由矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、四甲基正矽酸鹽(TMOS)、四乙基正矽酸鹽(TEOS)、八甲基三矽氧(OMTS)、八甲基環四矽氧(OMCTS)、四甲基環四矽氧(TOMCATS)、二甲基二甲氧基矽烷(DMDMOS)、二乙基甲基矽烷(DEMS)、甲基三 乙氧基矽烷(MTES)、苯基二甲基矽烷及苯基矽烷所組成之群組。
  16. 一種利用同步電漿以介電前驅物之一電漿形成一介電層在一基材之雙區域電漿系統,該雙區域電漿系統包括:一沉積室;一基材座,係位於該沉積室中以支托該基材,其中在沉積該介電層之過程中,該基材座係操作以進行旋轉;一電漿產生系統,係耦合至該沉積室,其中該電漿產生系統係用以產生一前驅物反應性物種;一前驅物分配系統,包括一設置於該基材座上方之雙通道噴灑頭,其中該前驅物反應性物種被產生於該噴灑頭上方,該噴灑頭包括一面板,該面板具有一第一開孔組及一第二開孔組,該前驅物反應性物種係通過該第一開孔組而進入該沉積室中,一第二介電前驅物則通過該第二開孔組而進入該沉積室中,且其中該前驅物反應性物種與該第二介電前驅物直到進入該沉積室之後才混合;以及一原位電漿產生系統,該產生系統在該沉積室中以供應至該沉積室的該第二介電前驅物而產生一原位電漿,藉此增進該前驅物反應性物種與該第二介電前驅物的反應性。
  17. 如申請專利範圍第16項所述之雙區域電漿系統,其中 該基材係為一200 mm或300 mm之晶圓。
  18. 如申請專利範圍第16項所述之雙區域電漿系統,其中該基材包括矽、鍺或砷化鎵。
  19. 如申請專利範圍第16項所述之雙區域電漿系統,其中在形成該介電層之過程中,該基材座可升高及降低以調整該基材相對於該噴灑頭之位置。
  20. 如申請專利範圍第16項所述之雙區域電漿系統,其中在形成該介電層之過程中,該基材座可同時旋轉並升高及降低。
  21. 如申請專利範圍第16項所述之雙區域電漿系統,其中該雙區域電漿系統包括一基材座溫度控制系統,以控制該基材座之溫度。
  22. 如申請專利範圍第21項所述之雙區域電漿系統,其中該溫度控制系統係將該基材座之溫度維持在約-40℃~約200℃。
  23. 如申請專利範圍第16項所述之雙區域電漿系統,其中該第二介電前驅物包括一含矽前驅物,該含矽前驅物係選 自由矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、四甲基正矽酸鹽(TMOS)、四乙基正矽酸鹽(TEOS)、八甲基三矽氧(OMTS)、八甲基環四矽氧(OMCTS)、四甲基環四矽氧(TOMCATS)、二甲基二甲氧基矽烷(DMDMOS)、二乙基甲基矽烷(DEMS)、甲基三乙氧基矽烷(MTES)、苯基二甲基矽烷及苯基矽烷所組成之群組。
  24. 如申請專利範圍第16項所述之雙區域電漿系統,其中該前驅物反應性物種包括一自由基原子氧。
TW096119408A 2006-05-30 2007-05-30 用於填充介電質間隙的製程室 TWI391995B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US80349906P 2006-05-30 2006-05-30
US11/754,916 US20070277734A1 (en) 2006-05-30 2007-05-29 Process chamber for dielectric gapfill

Publications (2)

Publication Number Publication Date
TW200807510A TW200807510A (en) 2008-02-01
TWI391995B true TWI391995B (zh) 2013-04-01

Family

ID=38779454

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096119408A TWI391995B (zh) 2006-05-30 2007-05-30 用於填充介電質間隙的製程室

Country Status (6)

Country Link
US (1) US20070277734A1 (zh)
EP (1) EP2041334A4 (zh)
JP (1) JP5300714B2 (zh)
KR (1) KR101046967B1 (zh)
TW (1) TWI391995B (zh)
WO (1) WO2007140426A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI826843B (zh) * 2020-10-16 2023-12-21 美商應用材料股份有限公司 電弧緩解面板

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
DE102009010497A1 (de) * 2008-12-19 2010-08-05 J-Fiber Gmbh Mehrdüsiger rohrförmiger Plasma-Abscheidebrenner zur Herstellung von Vorformen als Halbzeuge für optische Fasern
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (zh) * 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20130012030A1 (en) * 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
KR102157254B1 (ko) * 2010-05-12 2020-09-21 에스아이오2메디컬 프로덕츠, 인크. 혈액 수집 용기
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8974632B2 (en) 2011-11-30 2015-03-10 Lam Research Ag Device and method for treating wafer-shaped articles
US9548223B2 (en) * 2011-12-23 2017-01-17 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) * 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110407A (en) * 1990-03-07 1992-05-05 Hitachi, Ltd. Surface fabricating device
US20030143841A1 (en) * 2002-01-26 2003-07-31 Yang Michael X. Integration of titanium and titanium nitride layers

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH03197684A (ja) * 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH10163183A (ja) * 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) * 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
AU2001246832A1 (en) * 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
KR100589370B1 (ko) * 2003-11-26 2006-06-14 삼성에스디아이 주식회사 플라즈마 디스플레이 장치
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) * 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) * 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5110407A (en) * 1990-03-07 1992-05-05 Hitachi, Ltd. Surface fabricating device
US20030143841A1 (en) * 2002-01-26 2003-07-31 Yang Michael X. Integration of titanium and titanium nitride layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI826843B (zh) * 2020-10-16 2023-12-21 美商應用材料股份有限公司 電弧緩解面板

Also Published As

Publication number Publication date
WO2007140426A9 (en) 2008-10-23
JP5300714B2 (ja) 2013-09-25
TW200807510A (en) 2008-02-01
EP2041334A4 (en) 2012-08-22
KR20090019866A (ko) 2009-02-25
JP2009539269A (ja) 2009-11-12
KR101046967B1 (ko) 2011-07-06
EP2041334A2 (en) 2009-04-01
WO2007140426A3 (en) 2008-12-11
US20070277734A1 (en) 2007-12-06
WO2007140426A2 (en) 2007-12-06

Similar Documents

Publication Publication Date Title
TWI391995B (zh) 用於填充介電質間隙的製程室
TWI397122B (zh) 用於填充介電質間隙的製程室
TWI382457B (zh) 用於填充介電質間隙的製程室
KR101407112B1 (ko) 반도체 처리용 성막 장치
CN101326629B (zh) 填充介电质间隙的制程室
KR101381066B1 (ko) 성막 장치
TWI441942B (zh) 成膜裝置、成膜方法及記憶媒體
TWI513850B (zh) 成膜裝置及成膜方法
CN101660138B (zh) 活化气体注入装置、成膜装置和成膜方法
US8992685B2 (en) Substrate processing apparatus, substrate processing method, and computer-readable storage medium
JP5434484B2 (ja) 成膜装置、成膜方法及び記憶媒体
JPH0613367A (ja) Teos熱cvd法
JP6767844B2 (ja) 成膜装置及び成膜方法
JP2013118411A (ja) 成膜装置