KR101207525B1 - 유전체 갭필용 공정 챔버 - Google Patents

유전체 갭필용 공정 챔버 Download PDF

Info

Publication number
KR101207525B1
KR101207525B1 KR1020077029895A KR20077029895A KR101207525B1 KR 101207525 B1 KR101207525 B1 KR 101207525B1 KR 1020077029895 A KR1020077029895 A KR 1020077029895A KR 20077029895 A KR20077029895 A KR 20077029895A KR 101207525 B1 KR101207525 B1 KR 101207525B1
Authority
KR
South Korea
Prior art keywords
substrate
precursor
deposition chamber
forming
dielectric layer
Prior art date
Application number
KR1020077029895A
Other languages
English (en)
Other versions
KR20080014059A (ko
Inventor
디미트리 루보미르스키
퀴웨이 리앙
박수남
키엔 엔. 척
엘리 이예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080014059A publication Critical patent/KR20080014059A/ko
Application granted granted Critical
Publication of KR101207525B1 publication Critical patent/KR101207525B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유전체 전구체의 플라즈마로부터 기판상에 유전체 층을 형성하기 위한 시스템이 개시된다. 시스템은 증착 챔버, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버로 결합되는 원격 플라즈마 발생 시스템을 포함하며, 원격 플라즈마 발생 시스템은 하나 또는 그 이상의 반응 래디컬을 가지는 유전체 전구체를 발생하기 위해 이용된다. 시스템은 또한 하나 이상의 상부 입구 및 다수의 측부 입구를 포함하는 전구체 분배 시스템을 포함할 수 있다. 반응 래디컬 전구체는 상부 입구를 통하여 증착 챔버로 공급될 수 있다. 인-시츄 플라즈마 발생 시스템은 또한 증착 챔버로 공급되는 유전체 전구체로부터 증착 챔버 내에 플라즈마를 발생시키기 위해 포함될 수 있다.

Description

유전체 갭필용 공정 챔버 {PROCESS CHAMBER FOR DIELECTRIC GAPFILL}
관련 출원의 교차 참조
본 출원은 2006년 5월 30일에 출원된 미국 가 출원 제 60/803,499호의 이익을 청구한다. 본 출원은 또한 발명의 명칭이 "갭필 및 공형 필름 적용을 위한 저 K-필름을 증착 및 큐어링하기 위한 방법(A METHOD FOR DEPOSITING AND CURING LOW-K FILMS FOR GAPFILL AND CONFORMAL FILM APPLICATIONS)"이고 2006년 5월 30일에 출원되고 문로(Munro) 등에 의해 공동 양도된 미국 가출원 제 60/803,489호에 관한 것이다. 본 출원은 또한 발명의 명칭이 "실리콘 함유 전구체 및 원자 산소를 이용하여 높은 품질의 유동형 실리콘 일산화물의 화학 증착(CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINMENT PRECURSOR AND ATOMIC OXYGEN)"이고 2006년 5월 30일에 출원되고 인겔(Ingel) 등에 의해 공동 양도된 미국 가출원 제 60/803,493호에 관련된다. 본 출원은 또한 발명의 명칭이 "실리콘 이산화물의 필름 품질을 강화하기 위한 신규한 증착 플라즈마 큐어링 사이클 공정(A NOVEL DEPOSITION-PLASMA CURE CYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE)"이고 2006년 5월 3O일에 출원되고 첸(Chen) 등에 의한 미국 가출원 제 60/803,481호에 관한 것이다. 상술된 미국 가특허 출원 및 관련 출원이 본 명세서에서 전체적으로 참조되었다.
집적 회로 칩메이커는 각각의 칩 상에 회로 요소의 밀도를 계속적으로 증가시키고, 이러한 요소들을 분리하는 갭을 채우는 것에 더 많은 노력을 하였다. 증가된 회로 요소 밀도는 인접한 요소들 사이의 더 짧은 폭이 필요하게 되었다. 이러한 갭의 폭이 이들의 높이 보다 더 빨리 수축되기 때문에, 폭에 대한 높이의 비율(종횡비(aspect ratio)로서 공지됨)이 비례적으로 증가하였다. 얕고 넓은 갭(즉, 작은 종횡비의 갭) 보다 유전체 재료의 균일한 필름으로 크고 좁은 갭(즉, 고 종횡비)을 채우는 것이 더 어렵다.
고 종횡비 갭을 채우는데 통상적으로 마주치는 어려움은 보이드의 형성이다. 고 종횡비 갭에서, 갭의 상단부 주위에 더 빠른 속도(faster rate)로 증착하도록 갭을 충전하는 유전체 재료의 경향이 있다. 종종 갭이 완전히 채워지기 전에 유전체 재료는 상부를 폐쇄하여 보이드를 남긴다. 갭의 상부가 영구적으로 폐쇄되지 않은 경우 조차, 갭의 측벽 아래로 유전체 재료의 불균등한 성장률은 갭필의 중간에 약한 시임(seam)을 형성하도록 한다. 이러한 시임은 장치의 유전체 특성 및 물리적 통합을 역으로 발생시키는 크랙을 초래할 수 있다.
유전체 갭필에서 약한 시임 및 보이드의 형성을 회피하는 하나의 기술은 낮은 증착율로 갭을 채우는 것이다. 저 증착율은 과잉 상측부 성장의 기회를 감소시키기 위하여 갭의 내부 상에 재분배하기에 더 많이 시간이 주어질 수 있다. 저 증착율은 또한 유전체 증착과 동일한 시간에 발생되는 증가되는 에칭 또는 스퍼터링의 결과일 수도 있다. 예를 들면, 갭의 상부 코너에서 HDPCVD 유전체 재료는 갭의 바닥 부분 및 측벽 상의 재료 보다 더 빨리 에칭될 수 있다. 이는 갭의 상측부가 개방되어 있어 측벽 및 바닥이 유전체 재료로 완전히 채워질 수 있도록 하는 기회를 증가시킨다.
그러나, 유전체 증착율을 감소시킴으로써 증착을 완료하는데 더 긴 시간이 걸린다. 긴 증착 시간은 기판 웨이퍼가 증착 챔버를 통하여 처리되는 비율을 감소시켜, 챔버에 대한 감소된 효율을 초래한다.
약한 시임 및 보이드의 형성을 회피하기 위한 또 다른 기술은 갭을 충전하는 유전체 재료의 유동가능성을 강화하는 것이다. 유동가능한 유전체 재료는 측벽 아래로 더욱 용이하게 이동할 수 있어 갭의 중앙의 보이드를 채운다(때때로 보이드의 "힐링(healing)"으로서 지칭된다). 실리콘 산화물 유전체는 보통 유전체에서 하이드록실 그룹의 농도를 증가시킴으로써 더욱 유동가능하게 된다. 그러나, 유전체의 최종 품질에 역효과를 일으키지 않고 산화물로부터 이러한 그룹을 부가하고 제거하는 시도가 있었다.
따라서, 보이드가 없는 유전체 필름을 구비한 짧은 폭, 고 종횡비 갭을 충전하기 위해 개선된 시스템 및 방법에 대한 요구가 있었다. 이러한 및 다른 문제는 본 발명의 시스템 및 방법에 의해 해결된다.
본 발명의 실시예는 유전체 전구체의 플라즈마로부터 기판 상에 유전체 층을 형성하기 위한 시스템을 포함한다. 시스템은 증착 챔버, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버에 결합하기 위한 원격 플라즈마 발생 시스템을 포함하며, 플라즈마 발생 시스템은 하나 또는 그 이상의 반응 래디컬(reactive radical)을 가지는 유전체 전구체를 발생하기 위해 이용된다. 시스템은 또한 증착 챔버로 유전체 전구체를 도입하기 위한 다수의 측부 입구 및 하나 이상의 상부 입구를 포함하는 전구체 분배 시스템을 포함할 수 있다. 상부 입구는 기판 스테이지 위에 위치설정될 수 있고 측부 입구는 기판 스테이지 주위에 방사형으로 분포될 수 있다. 반응 래디컬 전구체는 상부 입구를 통하여 증착 챔버로 공급될 수 있다. 인-시츄 플라즈마 발생 시스템은 또한 증착 챔버로 공급되는 유전체 전구체로부터 증착 챔버 내에 플라즈마를 발생하도록 포함될 수 있다.
본 발명의 실시예는 또한 실리콘 기판 상에 실리콘 일산화물 층을 형성하기 위해 부가 시스템을 포함한다. 이러한 시스템은 증착 챔버, 및 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지를 포함할 수 있으며, 기판 스테이지는 실리콘 산화물 층의 형성 동안 기판을 회전시킨다. 시스템은 또한 증착 챔버로 결합되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 이들은 여전히 전구체 분배 시스템을 더 포함할 수 있으며, 전구체 분배 시스템은 (ⅰ) 기판 스테이지 위에 위치설정되는 하나 이상의 상부 입구로서, 상부 입구를 통하여 원자 산소 전구체가 증착 챔버로 공급되는, 상부 입구, 및 (ⅱ) 증착 챔버로 하나 또는 그 이상의 실리콘 함유 전구체를 도입하기 위한 다수의 측부 입구로서, 기판 스테이지 주위에 방사형으로 분포되는, 측부 입구를 포함한다.
본 발명의 실시예는 유전체 전구체의 플라즈마로부터 기판 상에 유전체 층을 형성하기 위해 추가의 시스템을 더 포함한다. 이러한 시스템은 반투명 재료로 제조되는 상 측부, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버에 결합되는 원격 플라즈마 발생 시스템을 포함하며, 플라즈마 발생 시스템은 반응 래디컬을 포함하는 유전체 전구체를 발생하기 위해 이용된다. 시스템은 또한 기판을 가열하기 위해 하나 이상의 광원을 포함하는 방열 가열 시스템을 포함하며, 광원으로부터 방사되는 광 중 적어도 일부가 기판에 도달하기전에 증착 챔버의 상 측부를 통하여 이동한다. 또한, 시스템은 유전체 전구체를 증착 챔버로 도입하기 위한 다수의 측부 입구 및 하나 이상의 상부 입구를 가지는 전구체 분배 시스템을 포함할 수 있다. 상부 입구는 기판 스테이지 위에 위치설정되어 증착 챔버의 상부 측부에 결합되며, 측부 입구는 기판 스테이지 주위로 방사형으로 분배된다. 반응 래디컬 전구체는 상부 입구를 통하여 증착 챔버로 공급될 수 있다.
본 발명의 실시예는 유전체 전구체의 플라즈마로부터 기판 상에 유전체 층을 형성하도록 부가 시스템을 더 포함할 수 있다. 시스템은 증착 챔버, 기판을 홀딩하기 위해 증착 챔버 내에 기판 스테이지, 및 증착 챔버로 결합되는 원격 플라즈마 발생 시스템을 포함하며, 플라즈마 발생 시스템은 하나 또는 그 이상의 반응 래디컬을 포함하는 제 1 유전체 전구체를 발생시키기 위해 이용된다. 시스템은 또한 기판 스테이지 위에 위치하는 이중 채널 샤워헤드를 포함하는 전구체 분배 시스템을 포함할 수 있다. 샤워헤드는 반응 래디컬 전구체가 증착 챔버로 들어가는 제 1 개구 세트, 및 제 2 유전체 전구체가 증착 챔버로 들어가는 제 2 개구 세트를 구비하는 전면판(faceplate)을 포함할 수 있다. 전구체는 증착 챔버로 들어갈 때까지 혼합되지 않을 수 있다.
본 발명의 실시예는 또한 유전체 전구체의 플라즈마로부터 기판 상에 유전체 층을 형성하도록 부가 시스템을 포함할 수 있다. 시스템은 증착 챔버, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버에 결합되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마 발생 시스템은 반응 래디컬을 포함하는 유전체 전구체를 발생하기 위해 이용될 수 있다. 시스템은 또한 하나 이상의 상부 입구, 천공판, 및 유전체 전구체를 증착 챔버로 도입하기 위한 다수의 측부 입구를 포함할 수 있다. 천공판은 상부 입구와 측부 입구 사이에 위치설정될 수 있어, 측부 입구는 기판 스테이지 주위에 방사형으로 분포될 수 있다. 반응 래디컬 전구체는 천공판 내의 개구를 통하여 증착 챔버 내로 분포될 수 있다. 또한, 인-시츄(in-situ) 플라즈마 발생 시스템은 증착 챔버로 공급되는 유전체 전구체로부터 증착 챔버 내에 플라즈마를 발생시키기 위해 이용될 수 있다.
본 발명의 실시예는 기판 상에 유전체 층을 형성하기 위한 시스템을 더 포함할 수 있다. 시스템은 증착 챔버, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버에 결합되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마 발생 시스템은 반응 래디컬을 포함하는 제 1 유전체 전구체를 발생하기 위해 이용될 수 있다. 시스템은 또한 부가 유전체 전구체를 증착 챔버로 도입하기 위한 다수의 측부 노즐을 가지는 전구체 분배 시스템을 포함할 수 있다. 측부 노즐은 기판 스테이지 주위에 방사형으로 분포될 수 있으며, 각각의 노즐은 다수의 측벽 개구를 가질 수 있으며 측벽 개구를 통하여 부가 유전체 전구체가 증착 챔버로 유입되도록 통과되어 제 1 유전체 전구체와 혼합된다.
본 발명의 실시예는 또한 기판상에 유전체 층을 형성하도록 부가 시스템을 더 포함할 수 있다. 시스템은 증착 챔버, 기판을 홀딩하기 위한 증착 챔버 내의 기판 스테이지, 및 증착 챔버에 결합되는 원격 플라즈마 발생 시스템을 포함할 수 있다. 플라즈마 발생 시스템은 반응 래디컬을 포함하는 제 1 유전체 전구체를 발생시키기 위해 이용될 수 있다. 시스템은 또한 부가 유전체 전구체를 증착 챔버로 도입하기 위한 방사형 전구체 매니폴드를 가지는 전구체 분배 시스템을 포함할 수 있으며, 방사형 전구체 매니폴드는 기판 스테이지 위에 위치하고 기판 스테이지 주위에 축방향으로 정렬되는 다수의 방사형 분포 도관을 포함할 수 있다. 도관은 다수의 측벽 개구를 포함할 수 있으며, 이 측벽 개구를 통하여 부가 유전체 전구체가 증착 챔버로 유입되도록 통과하여 제 1 유전체 전구체와 혼합된다.
부가 실시예 및 특징이 후술되는 상세한 설명에 부분적으로 제시되고 부분적으로 명세서의 심사시 본 기술분야의 기술자에게 명백하게 되고 본 발명의 실시에 의해 이해할 수 있다. 본 발명의 특징 및 장점은 명세서에서 설명되는 수단, 조합, 및 방법에 의해 실현 및 습득될 수 있다.
도 1은 본 발명의 실시예에 따른 공정 시스템의 개략도이며,
도 2a는 본 발명의 실시예에 따른 전형적인 공정 시스템의 단면도이며,
도 2b는 본 발명의 실시예에 따른 또 다른 전형적인 공정 시스템의 단면도이며,
도 2c는 도 2b에 도시된 공정 시스템의 또 다른 단면도이며,
도 2d는 본 발명의 실시예에 따른 비대칭 압력 효과를 감소시키기 위해 펌핑 라이너 내의 개구 및 압력 균등 채널을 포함하는 증착 챔버의 일 부분의 단면도이며,
도 3a 내지 도 3c는 본 발명의 실시예에 따른 공정 시스템의 상부 배플의 형상을 보여주며,
도 3d는 본 발명의 실시예에 따른 공정 시스템의 천공판 및 상부 입구의 형상을 보여주며,
도 3e는 본 발명의 실시예에 따른 천공 상부판을 포함하는 공정 시스템 내의 산소 함유 및 실리콘 함유 전구체를 위한 압력 유동 분배를 보여주며,
도 4a는 본 발명의 실시예에 따른 공정 시스템의 측부 노즐의 형상을 보여주며,
도 4b는 본 발명의 실시예에 따른 튜브 노즐의 길이를 따른 다수의 개구 및 캡을 구비한 단부를 구비한 측부 노즐의 또 다른 형상을 보여주며,
도 4c는 도 4b 내에 도시되는 캡을 구비한 측부 노즐 등을 통한 압력 유동의 측면도이며,
도 4d는 본 발명의 실시예에 따른 원 피스형 전구체 분포 매니폴드를 위한 설계를 보여주며,
도 4e는 도 4d에 도시된 전구체 분배 매니폴드의 확대부를 보여주며,
도 5a 및 도 5b는 본 발명의 실시예에 따른 방사 가열 요소의 방사형 동심 형상을 가지는 공정 시스템의 단면도를 보여주며,
도 5c 및 도 5d는 본 발명의 실시예에 따른 다수의 방사 가열 요소를 위한 평형 형상을 가지는 공정 시스템의 단면도를 보여주며,
도 5e 및 도 5f는 본 발명의 실시예에 따른 방사 가열 요소의 이중 소켓 형상을 가지는 공정 시스템의 단면도를 보여주며,
도 6은 본 발명의 실시예에 따른, 증착, 베이킹 및 큐어링 챔버의 배치를 보여주며,
도 7a는 본 발명의 실시예에 따른 독립 가스 유동 채널을 구비한 샤워헤드의 단면을 보여주며,
도 7b는 본 발명의 실시예에 따른 독립 가스 유동 및 플라즈마 존(zone)을 구비한 샤워헤드의 단면을 보여주며,
도 8a는 전면판 내의 동심 홀을 포함하는 독립 채널을 통하여 공정 가스가 제공되는 샤워헤드의 단면 부분을 보여주며.
도 8b는 본 발명의 실시예에 따른 동심 홀 설계를 가지는 전면판의 표면의 사진을 보여주며,
도 8c는 전면판에 형성된 독립 평행 채널을 통하여 공정 가스가 제공되는 샤워헤드의 또 다른 단면 부분을 보여주며,
도 8d는 본 발명의 실시예에 따른 샤워헤드의 에지로부터 중앙으로 공정 가스가 유동하는 샤워헤드의 단면 부분을 보여준다.
시스템은 기판상에 유동가능한 CVD 유전체 필름을 증착하기 위해 설명된다. 이러한 유전체 필름은 STI, IMD, ILD, OCS 및 다른 분야를 위해 이용될 수 있다. 시스템은 증착 챔버로 반응 래디컬 종을 공급하는 반응성 종 발생 시스템을 포함할 수 있으며, 상기 종은 다른 증착 전구체와 화학적으로 반응하여 기판의 증착 표면 상에 유전체의 유동가능한 필름을 형성한다. 예를 들면, 시스템은 원격 플라즈마 소스 및 유기-실란 타입의 전구체에 의해 여기 산소(excited oxygen)로부터 기판 상에 층을 형성할 수 있다. 시스템은 또한 증착 동안 기판을 가열 및 냉각시킬 수 있는 기판 온도 제어 시스템을 포함할 수 있다. 예를 들면, 유동가능한 산화물 필름은 증착 동안 기판을 냉각함으로써 유지되는 저온(예를 들면, 100℃ 보다 작은)에서 기판 표면상에 증착될 수 있다. 필름 증착 다음에, 온도 제어 시스템이 기판을 가열하여 어닐링을 수행할 수 있다.
설명된 시스템은 증착 동안 기판을 회전하고 기판을 전구체 분배 시스템(예를 들면, 증착 챔버 내에 전구체를 분배하는 노즐 및/또는 샤워헤드)을 향하여 또는 전구체 분배 시스템으로부터 멀리 이동시키기 위한 기판 운동 및 위치설정 시스템을 더 포함할 수 있다. 기판의 회전은 스핀-온 기술(spin-on technique)에 유사하게, 기판 표면 위에 더욱 균일하게 유동가능한 산화물 필름을 분배하기 위해 이용될 수 있다. 기판의 이동은 기판 증착 표면과 증착 챔버 내로의 전구체 입구 사이의 거리를 변화시킴으로써 필름 증착율을 변경하기 위해 이용될 수 있다.
시스템은 광으로 증착 필름을 조사할 수 있는 기판 조사 시스템을 더 가질 수 있다. 실시예는 증착 필름을 큐어링하기 위해 UV 광으로 표면을 조사하는 단계, 및 예를 들면, 급속 열 어닐링 타입 공정에서, 기판의 온도를 상승시키기 위해 기판을 조사하는 단계를 포함한다.
도 1은 시스템(100)의 부품이 본 발명의 실시예에 통합될 수 있는 방법의 개략적인 실시예를 제공한다. 시스템(100)은 증착 시스템(102)을 포함하며 이 증착 시스템에서 전구체는 화학적으로 반응하고 증착 시스템 내의 기판 웨이퍼 상에 유동가능한 유전체 필름(예를 들면, 실리콘 산화물 필름)을 형성할 수 있다. 증착 시스템(102)은 플라즈마를 생성하도록 증착 챔버 내부에 무선 주파수 전력을 발생하는 전극 및/또는 코일을 포함할 수 있다. 플라즈마는 전구체의 반응율을 강화할 수 있어, 이어서 기판상의 유동가능한 유전체 재료의 증착율을 증가시킬 수 있다.
유동가능한 산화물이 증착될 때, 기판 운동 및 위치설정 시스템(104)은 기판을 회전시키기 위해 이용될 수 있어 더욱 균일한 방식으로 전구체의 유동이 기판의 상이한 부분에 노출되도록 한다. 이는 전구체 내의 종의 질량 이송을 더욱 균일하게 할 수 있다. 또한 저 점성 필름을 기판의 증착 표면 위에 더욱 넓게 뿌린다. 위치설정 시스템(104)이 포함될 수 있거나 회전가능하고 수직방향으로 이동가능한 기판 페데스탈에 결합될 수 있다.
시스템(100)은 또한 기판의 온도를 상승 및 하강시키도록 작동가능한 기판 온도 제어 시스템(106)을 포함할 수 있다. 온도 제어 시스템(106)은 기판 페데스탈에 결합되어 직접 접촉을 통하여 또는 기판 페데스탈로 기판의 다른 열 결합에 의해 열을 기판으로 및 기판으로부터 전달할 수 있다. 온도 시스템(106)은 기판 온도를 제어하도록 순환 유체(예를 들면, 물), 및/또는 재료를 통하여 전기 회로가 흐름으로써 열 에너지를 공급하는 전기 재료(예를 들면, 내열 필라멘트)를 이용할 수 있다.
유동가능한 유전체 필름을 형성하기 위한 전구체는 전구체 분배 시스템(108)에 의해 공급될 수 있다. 분배 시스템(108)의 예는 전구체가 증착 시스템(102) 내의 증착 챔버의 상부 및 측부로부터 유동하도록 배플 및 노즐 시스템을 포함한다. 예는 또한 다수의 개구를 구비한 샤워헤드를 포함하며 다수의 개구를 통하여 전구체 가스가 증착 챔버 내로 분배된다. 부가 예에서, 시스템(108)은 다수의 개구를 가지는 노즐이 없는 가스 링을 포함할 수 있으며 이 다수의 개구를 통하여 전구체가 증착 챔버 내로 유동한다.
분배 시스템(108)은 두 개 또는 그 이상의 전구체가 증착 챔버 내로 독립적으로 유동하도록 구성될 수 있다. 이러한 구성에서, 적어도 한 쌍의 전구체는 증착 챔버 내에서 혼합하여 반응하도록 분배 시스템으로부터 배출될 때까지 서로 접촉하지 않는다. 예를 들면, 반응성 종 발생 시스템(110)은 원자 산소와 같은 고 반응성 종을 발생시킬 수 있으며, 이 고 반응성 종은 전구체 분배 시스템(108)으로부터 및 증착 시스템(102) 내로 유동할 때까지 실리콘 함유 전구체와 같은, 다른 전구체와 혼합 또는 반응하지 않는다.
시스템(100)에 사용된 전구체는 유동가능한 유전체 산화물 필름을 형성하기 위한 전구체를 포함할 수 있다. 산화물 필름 전구체는 래디컬 원자 산소와 같은 반응성 종 전구체 뿐만 아니라 다른 산화 전구체들 중에서, 분자 산소(O2), 오존(O3), 수증기, 과산화수소(H2O2), 및 질소 산화물(예를 들면, N2O, NO2, 등)과 같 은 다른 산화 전구체를 포함할 수 있다. 산화물 필름 전구체는 또한 다른 것 중에서 TMOS, TriMOS, TEOS, OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS, 및 HMDSO를 포함하는 유기-실란 화합물과 같은 실리콘 함유 전구체를 포함한다. 실리콘 함유 전구체는 또한 실란(SiH4)과 같은 탄소를 가지지 않는 실리콘 화합물을 포함할 수 있다. 증착된 산화물 필름은 다른 붕소 및 인 도펀트 중에서, TEB, TMB, B2H6, TEPO, PH3, P2H6, 및 TMP와 같은 것이 이용될 수 있다. 필름이 유전체 실리콘 질화물 또는 실리콘 옥시니트라이드(silicon oxynitride)인 경우, 다른 것 중에서 암모니아, BTBAS, TDMAT, DBEAS, 및 DADBS와 같은 질소 함유 전구체가 사용될 수 있다. 일부 필름 증착에 대해, 예를 들면 촉매로서 할로겐이 또한 이용될 수 있다. 이러한 할로겐 전구체는 염화수소(HCl) 및 클로로에틸실란(chloroethylsilane)과 같은 클로로실란을 포함할 수 있다. 유기산(예를 들면, 포름산)과 같은 다른 산성 화합물이 이용될 수 있다. 모든 이러한 증착 전구체는 캐리어 가스에 의해 분배 시스템(108) 및 증착 시스템(102)을 통하여 운반될 수 있으며, 캐리어 가스는 다른 가스 중에서, 헬륨, 아르곤, 질소(N2) 및 수소(H2)를 포함할 수 있다.
시스템(100)은 기판 표면 상에 증착되는 유동가능한 유전체 재료를 베이킹하고 및/또는 큐어링할 수 있는 기판 조사 시스템(112)을 포함할 수 있다. 조사 시스템(112)은 예를 들면 유전체 재료 내의 실라놀 그룹(silanol group)을 실리콘 산화물 및 물로 분해함으로써 필름을 큐어링하기 위해 이용될 수 있는 UV 광을 방출할 수 있는 하나 또는 그 이상의 램프를 포함할 수 있다. 조사 시스템은 또한 필 름으로부터 수증기 및 다른 휘발성 종을 제거하기 위해 유동가능한 필름을 베이킹(예를 들면, 어닐링)하여 더욱 조밀하게 하는 열 램프를 포함할 수 있다.
지금부터 도 2a를 참조하면, 본 발명의 실시예에 따른 전형적인 처리 시스템(200)의 단면이 도시되어 있다. 시스템(200)은 증착 챔버(201)를 포함하며 증착 챔버에서 전구체는 화학적으로 반응하여 기판 웨이퍼(200) 상에 유동가능한 유전체 필름을 증착한다. 웨이퍼(202)(예를 들면, 200 mm, 300 mm, 400 mm 등의, 직경 반도체 기판 웨이퍼)는 위의 전구체 분배 시스템(206)으로부터 더 가깝게 또는 더 멀리 떨어져 기판(202)을 위치설정하도록 수직으로 이동할 수 있는 회전가능한 기판 페데스탈(204)에 결합될 수 있다. 페데스탈은 기판 웨이퍼를 약 1 rpm 내지 약 2000rpm(예를 들면, 약 10 rpm 내지 약 120 rpm)의 회전 속도로 기판 웨이퍼를 회전시킬 수 있다. 페데스탈은 기판을 전구체 분배 시스템의 측벽 노즐(208)로부터 예를 들면 약 0.5 mm 내지 약 100 mm거리로 이동할 수 있다.
전구체 분배 시스템(206)은 다수의 분배 측부 노즐(208)을 포함하며 이 노즐은 각각 두 개의 상이한 길이들 중 하나를 가진다. 실시예(도시안됨)에 대해 측부 노즐은 증착 챔버의 벽 주위에 분포되는 개구 링을 제거할 수 있다. 전구체는 이러한 개구를 통하여 챔버 내로 유동한다.
분배 시스템(206)은 또한 기판 페데스탈(204)의 중앙과 동축선일 수 있는 원추형 상부 배플(210)을 포함할 수 있다. 유체 채널(212)은 배플의 외측 지향면 아래로 유동하는 전구체 보다 상이한 구성을 가진 전구체 또는 캐리어 가스를 공급하기 위해 배플(210)의 중앙을 통하여 형성될 수 있다.
배플(210)의 외측 표면은 도관(214)에 의해 둘러싸일 수 있으며 도관은 증착 챔버(201) 위에 위치설정되는 반응성 종 발생 시스템(도시안됨)으로부터 반응성 전구체를 지향시킨다. 도관(214)은 배플(210)의 외측면 상에 일단부 개구 및 각각의 종 발생 시스템으로 결합되는 마주하는 단부를 구비한 직선의 원형 튜브일 수 있다.
반응성 종 발생 시스템은 플라즈마로 더욱 안정된 시작 재료를 노출시킴으로써 반응성 종을 발생하는 원격 플라즈마 발생 시스템(RPS)일 수 있다. 예를 들면, 시작 재료는 분자 산소(또는 오존)를 포함하는 혼합물일 수 있다. RPS로부터 플라즈마로 이러한 시작 재료의 노출은 분자 산소의 일 부분이 원자 산소로 해리되도록 하여, 매우 낮은 온도(예를 들면, 100℃ 보다 작은)로 유기-실리콘 전구체(예를 들면, OMCTS)와 화학적으로 반응하는 고 반응 래디컬 종이 기판 표면 상에 유동가능한 유전체를 형성하도록 한다. 반응성 종 발생 시스템에 발생된 반응성 종이 종종 상온에서 조차 다른 증착 전구체와 고 반응성이기 때문에, 반응성 종은 다른 증착 전구체와 혼합되기 전에 도관(214) 아래 고립된 가스 혼합물로 운반되어 배플(210)에 의해 반응 챔버(201) 내로 분산될 수 있다.
시스템(200)은 또한 증착 챔버(201)의 돔(dome; 216) 주위에 코일링되는 rf 코일(도시안됨)을 포함할 수 있다. 이러한 코일은 증착 챔버(201) 내에 유도 결합성 플라즈마를 형성할 수 있어 기판상에 유체 유전체 필름을 증착하도록 반응성 종 전구체 및 다른 전구체의 반응도를 추가로 강화하도록 한다. 예를 들면, 배플(210)에 의해 챔버 내로 분산되는 반응성 원자 산소 및 측부 노즐(208)의 하나 또는 그 이상 및/또는 채널(212)로부터의 유기-실리콘 전구체를 함유하는 가스 유동이 rf 코일에 의해 기판(202) 상에 형성된 플라즈마 내로 지향될 수 있다. 원자 산소 및 유기-실리콘 전구체는 저온에서 조차 플라즈마에서 급속하게 반응하여 기판 표면 상에 고 유동가능한 유전체 필름을 형성하도록 한다.
기판 표면은 자체적으로 증착 필름의 균일성을 강화하기 위해 페데스탈(204)에 의해 회전될 수 있다. 회전 평면은 웨이퍼 증착 표면의 평면에 대해 평행할 수 있거나, 두 개의 평면은 부분적으로 정렬되지 않을 수 있다. 평면이 정렬되지 않을 때, 기판(204)의 회전은 증착 표면 위의 공간에 유체 난류를 발생시킬 수 있는 요동(wobble)이 생성될 수 있다. 일부 상황에서, 이러한 난류는 또한 기판 표면상에 증착되는 유전체 필름의 균일도를 강화할 수 있다. 페데스탈(204)은 또한 이동할 때 페데스탈 상의 제 위치에 웨이퍼를 홀딩하도록 진공 척을 형성하는 리세스 및/또는 다른 구조물을 포함할 수 있다. 챔버 내의 통상적인 증착 압력은 제 위치에 웨이퍼를 홀딩하기 위해 진공 척을 실현가능하게 할 수 있는 약 0.05 Torr 내지 약 200 Torr 총 챔버 압력(예를 들면, 1 Torr) 범위이다.
페데스탈 회전은 증착 챔버(201) 아래 위치설정되어 페데스탈(204)을 지지하는 샤프트(220)에 회전가능하게 결합되는 모터(218)에 의해 작동될 수 있다. 샤프트(220)는 또한 페데스탈(204)로 증착 챔버(도시안됨) 아래 냉각/가열 시스템으로부터 냉각 유체 및/또는 전기 와이어를 지지하는 내부 채널(도시안됨)을 포함할 수 있다. 이러한 채널은 상부 기판 웨이퍼(202)로 균일한 냉각 및/또는 가열을 제공하도록 중앙으로부터 페데스탈의 주변으로 연장될 수 있다. 채널은 또한 샤프트(220) 및 기판 페데스탈(204)이 회전 및/또는 이동할 때 작동되도록 설계될 수 있다. 예를 들면, 냉각 시스템은 페데스탈이 회전하는 동안 유동가능한 산화물 필름의 증착 동안 100℃ 보다 작은 온도를 기판 웨이퍼(202)에 유지하도록 작동될 수 있다.
시스템(200)은 돔(216) 위에 위치설정되는 방사 시스템(222)을 더 포함할 수 있다. 방사 시스템(222)으로부터 램프(도시안됨)는 하부 기판(202)를 조사할 수 있어 기판 상의 증착된 필름을 베이킹 또는 어닐링하도록 할 수 있다. 램프는 또한 필름 전구체 또는 증착 필름 내의 반응을 강화하도록 증착 동안 작동될 수 있다. 적어도 돔(216)의 상부는 램프로부터 방사되는 광의 일 부분을 전달할 수 있는 반투명 재료로 제조된다.
도 2b는 측부 노즐(253) 위에 위치설정되는 천공 판(252)이 상부 입구(254)로부터 전구체를 분배하는 전형적인 처리 시스템(250)의 또 다른 실시예를 보여준다. 천공판(252)은 판의 두께를 횡단하는 다수의 개구(260)를 통하여 전구체가 분배된다. 판(252)은 예를 들면, 10 내지 2000 개(예를 들면, 200 개의 개구)의 개구를 가질 수 있다. 천공판은 원자 산소 및/또는 TMOS 또는 OMCTS와 같은 산소 함유 가스와 같은 산화 가스를 분배할 수 있다. 도시된 실시예에서, 산화 가스는 증착 기판 위로 도입되는 실리콘 함유 전구체 위의 증착 챔버 내로 도입된다.
상부 입구(254)는 두 개 또는 그 이상의 독립 전구체(예를 들면, 가스) 유동 채널(256 및 258)을 가질 수 있으며, 독립 전구체 유동 채널은 두 개 또는 그 이상의 전구체가 천공판(252) 위의 공간으로 도입될 때까지 두 개 또는 그 이상의 전구 체가 혼합 및 반응을 방지할 수 있다. 제 1 유동 채널(256)은 입구(254)의 중앙을 둘러싸는 고리형상을 가질 수 있다. 이러한 채널은 채널(256) 아래로 그리고 천공판(252) 위의 공간으로 유동하는 반응성 종 전구체를 발생하는 상부 반응성 종 발생 유닛(도시안됨)으로 결합될 수 있다. 제 2 유동 채널(258)은 원통형상일 수 있고 판(252) 위의 공간으로 제 2 전구체를 유동하기 위해 이용될 수 있다. 이러한 유동 채널은 반응성 종 발생 유닛을 우회하는 전구체 및/또는 캐리어 가스 소스로 시작될 수 있다. 이어서, 제 1 및 제 2 전구체는 혼합되어 판(252) 내의 개구(260)를 통하여 하부 증착 챔버로 유동한다.
천공판(252) 및 상부 입구(254)는 산화 전구체를 증착 챔버(270) 내의 하부 공간으로 전달하기 위해 이용될 수 있다. 예를 들면, 제 1 유동 채널(256)은 산화 전구체를 전달할 수 있으며 산화 전구체는 하나 또는 그 이상의 원자 산소(그라운딩(grounding) 또는 전기적으로 여기된 상태로), 분자 산소(02), N2O, NO, NO2, 및/또는 오존(O3)를 포함한다. 산화 전구체는 또한 헬륨, 아르곤, 질소(N2) 등을 포함할 수 있다. 제 2 채널(258)은 또한 산화 전구체, 캐리어 가스, 및/또는 암모니아(NH3)와 같은 부가 가스를 전달할 수 있다.
시스템(250)은 증착 챔버의 상이한 부분들을 상이한 온도로 가열하도록 구성될 수 있다. 예를 들면, 제 1 히터 존은 상부 리드(262) 및 천공판(252)을 약 70℃ 내지 약 300℃의 범위(예를 들면, 약 160℃)의 온도로 가열할 수 있다. 제 2 히터 존은 기판 웨이퍼(264) 및 페데스탈(266) 위의 증착 챔버의 측벽을 제 1 히터 존과 동일한 또는 상이한 온도(예를 들면, 약 300℃ 까지)로 가열할 수 있다. 시스템(250)은 또한 기판 웨이퍼(264) 및 페데스탈(266) 아래를 제 1 및/또는 제 2 히터 존과 동일하거나 상이한 온도로(예를 들면, 약 70℃ 내지 약 120℃) 가열할 수 있는 제 3 히터 존을 가질 수 있다. 또한, 페데스탈(266)은 페데스탈 및 기판의 온도가 약 -40℃ 내지 약 200℃(예를 들면, 약 100℃ 내지 약 160℃, 약 100℃ 보다 작은, 약 40℃, 등)로 설정되는 페데스탈 샤프트(272) 내부에 가열 및/또는 냉각 도관(도시안됨)을 포함할 수 있다. 처리 동안, 웨이퍼(264)는 리프트 핀(276)으로 페데스탈(266)을 상승시킬 수 있으며, 슬릿 밸브 도어(278)에 대해 위치할 수 있다.
시스템(250)은 펌핑 라이너(274)(즉, 펌핑 포트의 비대칭 위치를 보상하기 위한 압력 균등 채널)를 추가로 포함할 수 있으며, 이 펌핑 라이너는 웨이퍼 에지의 플레넘 내, 및/또는 웨이퍼 에지 주위의 원통형 표면에 위치하고, 및/또는 웨이퍼 에지 주위에 위치하는 원추형 표면상에 위치하는 다중 개구를 포함한다. 개구는 자체적으로 라이너(274)에 도시된 바와 같이 원형일 수 있거나, 개구는 슬롯(도시안됨)과 같은, 상이한 형상일 수 있다. 개구는 예를 들면 약 0.125 인치 내지 약 0.5 인치의 직경을 가질 수 있다. 펌핑 라이너(274)는 웨이퍼가 처리될 때 기판 웨이퍼(264) 위 또는 아래에 있을 수 있다. 슬릿 밸브 도어(278) 위에 위치할 수 있다.
도 2c는 도 2b에 도시된 처리 시스템(250)의 또 다른 단면도를 보여준다. 도 2c는 시스템(250)에 대한 소정의 치수(dimensions)를 보여주며, 약 10 인치 내 지 약 18 인치(예를 들면, 약 15 인치) 범위의 주 챔버 내벽을 포함한다. 또한 약 0.5 인치 내지 약 8 인치(예를 들면, 약 5.1 인치)의 측부 노즐과 기판 웨이퍼(264) 사이의 거리를 보여준다. 또한, 기판 웨이퍼(264)와 천공판(252) 사이의 거리는 약 0.75 인치 내지 약 12 인치의 범위(예를 들면, 약 6.2 인치)일 수 있다. 또한, 돔(268)의 상부 내측면과 기판 웨이퍼 사이의 거리는 약 1 인치 내지 약 16 인치(예를 들면, 7.8 인치)일 수 있다.
도 2d는 펌핑 라이너(284) 내의 개구 및 압력 균등 채널(282)을 포함하는 증착 챔버(280)의 일 부분의 단면을 보여준다. 도시된 구성에서, 채널(282) 및 개구(284)는 상부 오버헤드, 상부 배플 및/또는 측부 노즐 아래, 및 기판 페데스탈(286) 및 웨이퍼(288) 레벨 또는 그 위에 위치할 수 있다.
채널(282) 및 개구(284)는 채널 내의 비대칭 압력 효과를 감소시킬 수 있다. 이러한 효과는 증착 챔버(280) 내의 압력 구배를 생성할 수 있는 펌핑 포트의 비대칭 위치에 의해 일어날 수 있다. 예를 들면, 기판 페데스탈(286) 및/또는 기판 웨이퍼(288) 아래 압력 구배는 페데스탈 및 웨이퍼를 기울어지게 하여, 유전체 필름의 증착시 불규칙성을 일으킬 수 있다. 채널(282) 및 펌핑 라이너 개구(284)는 챔버(280) 내의 압력 구배를 감소시켜 증착 동안 페데스탈(286) 및 웨이퍼(288)의 위치를 안정시킨다.
도 3a는 상부가 도관(214)에 의해 둘러싸이는 배플의 중앙 아래에 형성되는 채널(212)을 포함하여, 도 2a 내의 전구체 분배 시스템(206)의 상부(302)의 일 실시예를 보여준다. 도 3a는 배플(210)의 외측면 위 및 도관(214) 아래로 유동하는 반응성 종 전구체(304)를 보여준다. 반응성 종 전구체(304)가 증착 챔버에 가장 가까운 배플(210)의 원추형 단부에 도달할 때, 반응성 종 전구체가 챔버 내로 방사형으로 분배되며, 여기에서, 반응성 종(304)이 제 2 전구체(306)와 1차로 접촉하게 된다.
제 2 전구체(306)는 유기-실란 전구체일 수 있고 또한 캐리어 가스를 포함할 수 있다. 유기-실란 전구체는 다른 것 중에서 TMOS, TriMOS, TEOS, OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS, 및 HMDSO와 같은 하나 또는 그 이상의 화합물을 포함할 수 있다. 캐리어 가스는 다른 캐리어 가스 중에서, 질소(N2), 수소(H2), 헬륨, 및 아르곤과 같은 하나 또는 그 이상의 가스를 포함할 수 있다. 전구체는 전구체 공급 라인(308)으로 연결되는 소스(도시안됨)로부터 공급되며, 전구체 공급 라인은 또한 채널(212)로 결합된다. 제 2 전구체(306)는 배플(210)의 외측면 위로 유동하는 반응성 종(304)으로 노출되지 않고 중앙 채널(212) 아래로 유동할 수 있다. 제 2 전구체(306)가 증착 챔버 내로 배플(210)의 바닥으로부터 나올 때, 측부 노즐(208)에 의해 공급되는 부가 전구체 재료 및 반응성 종(304)과 첫번째로 혼합될 수 있다.
도관(214) 아래로 유동하는 반응성 전구체(304)는 RPS 유닛과 같은, 반응성 종 발생 유닛(도시안됨)에 발생된다. RPS 유닛은, 예를 들면, 반응성 종을 형성하기 위해 매우 적합한 플라즈마 상태를 형성할 수 있다. RPS 유닛 내의 플라즈마가 증착 챔버 내에 발생된 플라즈마로부터 원격에 있기 때문에, 상이한 플라즈마 상태 가 각각의 성분을 위해 이용될 수 있다. 예를 들면, O2, O3, N2O 등과 같은 산소 전구체로부터 원자 산소 래디컬을 형성하기 위한 RPS 유닛 내의 플라즈마 상태(예를 들면, rf 전력, rf 주파수, 압력, 온도, 캐리어 가스 부분 압력, 등)는 증착 챔버 내의 플라즈마 상태와 상이할 수 있으며, 여기에서, 원자 산소는 하나 또는 그 이상의 실리콘 함유 전구체(예를 들면, TMOS, TriMOS, OMCTS, 등)과 반응하여 하부 기판상에 유동가능한 유전체 필름을 형성한다.
도 3a는 제 1 및 제 2 전구체가 증착 챔버에 도달할 때까지 제 1 및 제 2 전구체의 유동을 서로 독립적으로 유지하도록 설계되는 이중 채널 상부 배플을 보여준다. 본 발명의 실시예는 또한 챔버 내로 3개 또는 그 이상의 전구체의 독립 유동을 위한 구성을 포함한다. 예를 들면, 배플(210)의 내부 및 이를 통하여 형성되는 채널(212)과 같은 두 개 또는 그 이상의 독립 채널을 포함할 수 있다. 각각의 이러한 채널은 증착 챔버에 도달할 때까지 서로 관계없이 유동하는 캐리어 전구체일 수 있다. 부가 예는 중앙를 통하여 형성되는 채널을 가지지 않는 단일 채널 배플(210)을 포함할 수 있다. 이러한 실시예에서, 제 2 전구체(306)는 측부 노즐(208)로부터 증착 챔버로 들어가서 챔버 내로 배플(210)에 의해 방사형으로 분배되는 반응성 전구체(304)와 반응한다.
도 3b 및 도 3c는 배플(210)의 부가 실시예를 보여준다. 도 3b 및 도 3c에서, 채널(212)은 천공판(310a 내지 310b)에 의해 바닥 측부(즉, 증착 챔버에 가장 가까운 측부) 상에 형성된 원추형 용적 내로 개방된다. 전구체는 천공판 내의 개 구(312)를 통하여 이러한 용적으로 배출된다. 도 3b 및 도 3c는 측벽과 바닥판(310a 내지 310b) 사이의 각도가 어떻게 변화될 수 있는지를 보여준다. 도면은 또한 전구체가 증착 챔버로 들어갈 때 전구체가 위에서 유동하는 외부 원추형 표면의 형상으로의 변화를 보여준다.
도 3d는 증착 챔버의 상부로부터 전구체를 분배하기 위한 상부 배플 대신에 이용되는 천공판(316) 및 상부 입구(314)의 형상을 보여준다. 도시된 실시예에서, 상부 입구(314)는 두 개 또는 그 이상의 전구체가 천공판(316) 위의 공간으로 유입될 때까지 두 개 또는 그 이상의 전구체의 혼합 및 반응을 방지하는 두 개 또는 그 이상의 독립 전구체 유동 채널(318 및 320)을 가질 수 있다. 제 1 유동 채널(318)은 입구(314)의 중앙을 둘러싸는 고리형 형상을 가질 수 있다. 이러한 채널은 반응성 종 전구체를 생성하는 상부 반응성 종 발생 유닛(322)으로 결합될 수 있으며, 반응성 종 전구체는 채널(318) 아래로 그리고 천공판(316) 위의 공간으로 유동한다. 제 2 유동 채널(320)은 원통형으로 형성될 수 있어 천공판(316) 위의 공간으로 제 2 전구체를 유동시키기 위해 이용될 수 있다. 이러한 유동 채널은 반응성 종 발생 유닛을 우회하는 전구체 및/또는 캐리어 가스 소스로 시작할 수 있다. 이어서 제 1 및 제 2 전구체는 혼합되어 천공판(316) 내의 개구(324)를 통하여 하부 증착 챔버로 유동한다.
도 3e는 본 발명의 실시예에 따른 천공 상부판(356)을 포함하는 공정 시스템(350) 내의 산소 함유(352) 및 실리콘 함유 전구체(354)를 위한 전구체 유동 분배를 보여준다. 도 3d 처럼, 래디컬 원자 산소와 같은 산소 함유 가스는 원격 플라즈마 시스템(도시안됨)에 의해 발생되어 증착 챔버의 상부를 통하여 천공판(356) 위의 공간으로 도입된다. 이어서 반응성 산소 종이 천공판(356)의 개구(358)를 통하여 실리콘 함유 전구체(354)(예를 들면, 유기-실란 및/또는 실라놀 전구체)가 측부 노즐(360)에 의해 챔버로 도입되는 챔버의 영역으로 아래로 유동한다.
도 3e에 도시된 측부 노즐(360)은 증착 챔버 내로 연장하는 말단부에 캡이 구비된다(capped). 실리콘 함유 전구체는 노즐 도관의 측벽에 형성된 다수의 개구(362)를 통하여 측부 노즐(360)로부터 배출된다. 이러한 개구(362)는 웨이퍼를 향하여 실리콘 함유 전구체(354)의 유동을 지향시키도록 기판 웨이퍼(364)와 직면하는 다수의 노즐 측벽에 형성될 수 있다. 개구(362)는 동일한 방향으로 전구체(354)의 유동을 지향시키도록 동일 선상에서 정렬되거나, 개구는 하부 웨이퍼에 대해 상이한 각도로 전구체 유동을 지향시키도록 측벽을 따라 상이한 방사상 위치에 형성될 수 있다. 캡을 구비한 측부 노즐(360)의 실시예는 약 8 mils 내지 약 200 mils(예를 들면, 20 mils 내지 80 mils)의 직경 및 약 40 mils 내지 약 2 인치(예를 들면 약 0.25 인치 내지 약 1 인치) 사이의 간격을 구비한 개구(362)를 포함한다. 개구(262)의 개수는 개구들 사이의 간격 및/또는 측부 노즐의 간격에 대해 변화될 수 있다.
도 4a는 본 발명의 실시예에 따른 공정 시스템의 측부 노즐의 구성의 평면도이다. 도시된 실시예에서, 측부 노즐은 3개의 노즐의 그룹에서 증착 챔버 주위에 방사형으로 분포되며 3개의 노즐 그룹에서 중앙 노즐(402)은 두 개의 인접한 노즐(404) 보다 챔버 내로 추가로 연장된다. 16개의 이러한 3개의 그룹은 총 48개의 측부 노즐이 증착 챔버 주위에 균등하게 분배된다. 부가 실시예는 약 12 내지 약 80 노즐의 범위의 총 노즐 개수를 포함한다.
노즐(402 및 404)은 기판 웨이퍼의 증착 표면 위에 이격될 수 있다. 기판과 노즐 사이의 간격은 예를 들면, 약 1 mm 내지 약 80 mm의 범위(예를 들면, 약 10 mm 내지 약 30 mm의 범위)일 수 있다. 노즐(402 및 404)과 기판 사이의 이러한 거리는 증착 동안 변화될 수 있다(예를 들면, 웨이퍼는 증착 동안 수직으로 운동할 뿐만 아니라 회전 및/또는 흔들어질 수 있다).
노즐(402 및 404)은 동일한 평면에 모두 배치될 수 있으며, 상이한 세트의 노즐이 상이한 평면에 위치할 수 있다. 노즐(402 및 404)은 웨이퍼의 증착 표면에 대해 평행한 중앙선으로 배향될 수 있거나, 노즐은 기판 표면에 대해 상방 또는 하방으로 경사질 수 있다. 상이한 세트의 노즐(402 및 404)이 웨이퍼에 대해 상이한 각도로 배향될 수 있다.
노즐(402 및 404)은 노즐에 전구체를 공급하는 고리형 가스 링(406)의 내경 표면에 결합되는 선단부 및 증착 챔버 내로 연장하는 말단부를 가진다. 가스 링은 예를 들면, 약 10 인치로부터 약 22 인치(예를 들면, 약 14" 내지 약 18", 약 15", 등)의 범위의 내경을 가질 수 있다. 일부 구성에서, 더 긴 노즐(402)의 말단부는 하부 기판의 주변을 넘어 기판의 내부 위의 공간으로 연장할 수 있으며, 더 짧은 노즐(404)의 단부는 기판 주변에 도달하지 않는다. 도 4에 도시된 실시예에서, 더 짧은 노즐(404)의 말단 팁은 12"(즉, 300 mm) 기판 웨이퍼의 주변으로 연장하고, 더 긴 노즐(402)의 말단 팁은 증착 표면의 내부 위의 부가 4인치 연장한다.
가스 링(406)은 노즐(402 및 404)에 전구체를 제공하는 하나 또는 그 이상의 내부 채널(예를 들면, 2 내지 4개의 채널)을 가질 수 있다. 단일 채널 가스 링에 대해, 내부 채널은 전구체를 측부 노즐(402 및 404) 모두에 제공할 수 있다. 이중 채널 가스 링에 대해, 하나의 채널은 더 긴 노즐(402)에 전구체를 제공할 수 있으며, 제 2 채널은 더 짧은 노즐(404)에 전구체를 제공한다. 각각의 채널에 대해 반응성 증착 전구체의 종류(예를 들면 유기-실란 전구체의 타입) 및/또는 부분 압력, 캐리어 가스의 유량이 증착 방법에 따라 동일하거나 상이할 수 있다.
도 4b는 본 발명의 실시예에 따른 공정 시스템 내의 캡을 구비한 측부 노즐(410)의 구성을 보여준다. 위의 도 3e에 도시된 측부 노즐(360)과 유사하게, 노즐(410)은 증착 챔버 내로 연장하는 말단부에서 캡을 구비한다. 노즐을 통해 유동하는 전구체는 노즐 도관의 측벽에 형성된 다수의 개구(412)를 통하여 배출된다. 이러한 개구(412)는 웨이퍼를 향하여 전구체의 유동을 지향시키도록 기판 웨이퍼(도시안됨)와 직면하는 노즐 측벽의 부분에 형성될 수 있다. 개구(412)는 동일한 방향으로 전구체의 유동을 지향시키기 위해 공동 직선으로 정렬될 수 있거나, 개구는 하부 웨이퍼에 대해 상이한 각도로 전구체를 지향시키도록 측벽을 따라 상이한 반지름방향 위치에 형성될 수 있다.
노즐(410)은 노즐(410)의 선단부가 결합되는 고리형 가스 링(414)에 의해 공급될 수 있다. 가스 링(414)은 노즐(410) 모두로 전구체를 공급하기 위한 단일 가스 유동 채널(도시안됨)을 가질 수 있거나, 링은 노즐(410)의 두 개 또는 그 이상의 세트를 공급하기 위한 다수의 가스 유동 채널을 가질 수 있다. 예를 들면, 이 중-채널 가스 링 설계에서, 제 1 채널은 제 1 전구체(예를 들면, 제 1 유기실란 전구체)를 제 1 세트의 노즐(410)(예를 들면, 도 4b에 도시된 가장 긴 세트의 노즐)로 공급할 수 있으며, 제 2 채널은 제 2 전구체(예를 들면, 제 2 유기실란 전구체)를 제 2 세트의 노즐(410)(예를 들면, 도 4b에 도시된 가장 짧은 세트의 노즐)로 공급할 수 있다.
도 4c는 도 4b에 도시되는 것과 유사한 측부 노즐을 통한 전구체 유동의 단면도를 보여준다. 전구체(418)(예를 들면, 진공 전달 시스템으로부터 캐리어 가스 내의 유기-실란 증기 전구체)가 측부 노즐(420)의 선단부에 결합되는 전구체 유동 채널(416)에 의해 공급된다. 전구체(418)는 노즐 도관의 중앙을 통하여 유동하여 측벽 내의 개구(422)를 통하여 배출된다. 도시된 노즐 구성에서, 개구(422)는 하부 웨이퍼 기판(도시안됨)을 향하여 전구체(418)의 유동을 지향시키기 위해 하방으로 정렬된다. 개구(422)는 약 8 mils 내지 약 200 mils(예를 들면, 약 20 mils 내지 약 80 mils)의 직경, 및 약 40 mils 내지 약 2 인치(예를 들면, 약 0.25 인치 내지 약 1 인치)의 개구들 사이의 간격을 가질 수 있다. 개구(422)의 개수는 개구들 사이의 간격 및/또는 측부 노즐(420)의 길이에 대해 변화될 수 있다.
본 발명의 실시예는 또한 도 4b에 도시된 것과 유사한 방사형 측부 노즐의 세트 대신에 이용되는 단일 피스 방사형 전구체 매니폴드를 포함할 수 있다. 이러한 전구체 매니폴드(450)(또한 샤워헤드로서 지칭될 수 있는)의 일 실시예는 도 4d에 도시되어 있다. 매니폴드(450)는 외부 전구체 링(454) 주위에 방사형으로 분배되는 다수의 직사각형 도관(452)을 포함한다. 도관(452)의 선단부는 외부 링(454) 에 결합될 수 있으며, 관(452)의 말단부는 내부 고리형 링(456)에 결합된다.
직사각형 도관(452)은 외부 전구체 링(454) 내에 하나 또는 그 이상의 전구체 채널(도시안됨)에 의해 전구체(예를 들면, 하나 또는 그 이상의 유기실리콘 전구체)가 공급될 수 있다. 전구체는 도관의 측부 상에 형성되는 다수의 개구(462)를 통하여 도관(452)으로부터 배출된다. 개구(462)는 약 8 mils 내지 약 200 mils(예를 들면, 약 20 mils 내지 약 80mils)의 직경, 및 약 40 mils 내지 약 2 인치(예를 들면, 0.25 인치 내지 약 1 인치) 사이의 간격을 가질 수 있다. 개구(462)의 개수는 개구들 사이의 간격 및/또는 도관(452)의 길이에 대해 변화될 수 있다.
도 4e는 도 4d에 도시된 전구체 분배 매니폴드의 확장된 도면이다. 도시된 실시예에서, 방사형으로 분포된 도관(452a 내지 452b)은 길이가 내부 고리형 링(456)으로 연장하는 제 1 세트의 도관(452a), 및 길이가 내부 링(456)을 넘어 중앙 고리형 링(460)으로 연장하는 제 2 세트의 도관(452b)을 포함할 수 있다. 제 1 및 제 2 세트의 도관(452)은 전구체의 상이한 혼합물이 공급될 수 있다.
상술된 바와 같이, 증착 시스템의 실시예는 또한 기판상에 증착된 유동가능한 유전체 필름을 큐어링 및/또는 가열하기 위한 방사 시스템을 포함할 수 있다. 도 5a 및 도 5b는 반투명성 돔(504) 위에 위치설정되어 하부 기판(506)을 조사하도록 작동될 수 있는 일련의 동심 고리형 램프(502)를 포함하는, 하나의 이러한 방사 시스템(500)의 실시예를 보여준다. 램프(502)는 반사 소켓(508) 내로 리세스될 수 있으며, 반사 소켓의 램프측 표면은 반사 코팅을 가져서 램프에 의해 방사되는 더 많은 광을 기판(506)을 향하여 지향시킨다. 총 개수의 램프(502)는 단일 램프로부터 예를 들면 최고 10개의 램프로 변화될 수 있다.
램프(502)는 큐어링 공정을 위한 UV 방사 램프 및/또는 어닐링 공정을 위한 IR 방사 램프를 포함할 수 있다. 예를 들면, 램프(502)는 수평 필라멘트(즉, 램프의 전구의 대칭 축선에 대해 수직하게 배향된 필라멘트), 수직 필라멘트(즉, 전구의 대칭 축선에 대해 평행하게 배향된 필라멘트), 및/또는 원형 필라멘트를 가질 수 있는 텅스텐 할로겐 램프일 수 있다. 반사 소켓(508) 내의 상이한 램프(502)는 상이한 필라멘트 구성을 가질 수 있다.
램프(502)로부터의 광은 돔(504)을 통하여 그리고 기판 증착 표면으로 전달된다. 적어도 일부분의 돔(504)은 UV 및/또는 열 방사선이 증착 챔버 내로 통과하도록 하는 광학적으로 투명한 윈도우(510)를 포함할 수 있다. 윈도우(510)는 예를 들면, 석영, 용융 실리카, 알루미늄, OXY-니트라이드, 또는 소정의 다른 적절한 반투명 재료로 제조될 수 있다. 도 5a 내지 도 5f에 도시된 바와 같이, 윈도우(510)는 형상이 고리형이어서 돔(504)의 상부 부분을 덮을 수 있으며 예를 들면 약 8" 인치 내지 약 22"(예를 들면, 약 14")의 직경을 가질 수 있다. 윈도우(510)의 중앙은 도관이 증착 챔버의 상부 내로 통하여 통과할 수 있도록 하는 내부 개구를 포함할 수 있다. 내부 개구는 예를 들면 약 0.5" 내지 약 4"의 직경(예를 들면 약 1 인치의 직경)을 가질 수 있다.
도 5c 및 도 5d는 고리형 형상 대신 직선형이 관형 벌브를 가지는 램프(512)에 대한 또 다른 형상을 보여준다. 직선형 램프(512)는 평행하게 정렬되어 돔(504)의 투명한 윈도우(510) 위에 위치설정되는 반사 소켓(514)에 리세스될 수 있다. 반사 소켓(514)은 고리형 형상을 가질 수 있으며 하부 윈도우(510)의 직경과 일치할 수 있다. 램프(512)의 단부는 소켓(514)의 주변을 넘어 연장할 수 있다. 윈도우(510)의 중앙의 어느 한 측부는 동일할 수 있으며 약 4개 이상의 램프(예를 들면, 약 4개 내지 약 10개의 램프)가 이용될 수 있다.
도 5e 및 도 5f는 윈도우(510)의 중앙 주위에 마주하는 측부들 상에 위치설정되는 두 개의 대형 램프(516)를 가지는 방사 시스템에 대한 또 다른 구성을 보여준다. 대형 램프는 서로 평행하게 또는 평행한 것보다 작은 각도로 정렬될 수 있다. 램프(516)는 중착 챔버에서 기판을 향하여 램프 광의 일 부분을 지향시키는데 도움이 되는 반사 소켓(518) 내에 리세스될 수 있다.
도 5a 내지 도 5f에 도시된 방사 시스템의 실시예는 기판 표면상에 유전체 필름의 증착 동안 및/또는 후 유동가능한 유전체 필름을 조사하기 위해 이용될 수 있다. 또한 증착 단계들(예를 들면, 펄스 어닐링) 사이에 기판을 조사하기 위해 이용될 수 있다. 필름 증착 동안, 웨이퍼는 온도 제어 기판 페데스탈 상에 위치설정된다. 웨이퍼 온도는 예를 들면 약 -40℃ 내지 약 200℃(예를 들면 약 40℃)로 설정될 수 있다. 기판이 베이킹(예를 들면, 어닐링) 공정에서 조사될 때, 웨이퍼의 온도가 약 1000℃까지 증가할 수 있다. 이러한 고온 어닐링 동안, 기판 페데스탈 상의 리프트-핀은 페데스탈로부터 기판을 상승시킬 수 있다. 이는 페데스탈이 히트 싱크로서 작용하는 것을 방지하고 웨이퍼 온도가 더 빠른 속도(예를 들면, 약 100℃/초)로 증가되도록 할 수 있다.
증착 시스템의 실시예는 집적 회로 칩을 생산하기 위해 더 큰 제조 시스템으로 결합될 수 있다. 도 6은 본 발명의 실시예에 따른 증착, 베이킹 및 큐어링 챔버의 하나의 이러한 시스템(600)을 보여준다. 도면에서, 한 쌍의 FOOP(602)는 로보틱 아암(602)에 의해 수용되어 웨이퍼 처리 챔버(608a 내지 608f)들 중 하나로 배치되기 전에 저압 홀딩 영역(606)내로 배치되는 기판 웨이퍼를 공급한다. 제 2 로보틱 아암(610)은 홀딩 영역(606)으로부터 처리 챔버(608a 내지 608f) 및 그 역으로 기판 웨이퍼를 운반하기 위해 이용될 수 있다.
처리 챔버(608a 내지 608f)는 기판 웨이퍼 상에 유동가능한 유전체 필름을 증착, 어닐링, 큐어링 및/또는 에칭하기 위한 하나 또는 그 이상의 시스템을 포함할 수 있다. 하나의 구성에서, 두 쌍의 처리 챔버(예를 들면, 608c 내지 608d 및 608e 내지 608f)가 기판 상의 유동가능한 유전체 재료를 증착하기 위해 이용될 수 있으며, 세번째 쌍의 처리 챔버(예를 들면, 608a 내지 608b)는 증착된 유전체를 어닐링하기 위해 이용될 수 있다. 또 다른 구성에서, 동일한 두 개의 쌍의 처리 챔버(예를 들면, 608c 내지 608d 및 608e 내지 608f)는 기판상에 유동가능한 유전체 필름을 증착 및 어닐링하도록 구성될 수 있으며, 세번째 쌍의 챔버(예를 들면, 608a 내지 608b)는 증착 필름의 UV 또는 E-비임을 위해 이용될 수 있다. 여전히 또 다른 구성에서, 모든 세 쌍의 챔버(예를 들면, 608a 내지 608f)는 기판상에 유동가능한 유전체 필름을 증착 및 큐어링하도록 구성될 수 있다. 또 다른 구성에서, 두 쌍의 처리 챔버(예를 들면, 608c 내지 608d 및 608e 내지 608f)는 유동가능한 유전체의 증착 및 UV 또는 E-비임 큐어링을 위해 이용될 수 있으며, 세번째 쌍 의 처리 챔버(예를 들면, 608a 내지 608b)는 유전체 필름을 어닐링하기 위해 이용될 수 있다. 유동가능한 유전체 필름용 증착, 어닐링 및 큐어링 챔버의 부가 구성이 시스템(600)에 의해 고려된다.
또한, 하나 또는 그 이상의 처리 챔버(608a 내지 608f)는 습식 처리 챔버로서 구성될 수 있다. 이러한 처리 챔버는 습기를 포함하는 대기 내의 유동가능한 유전체 필름을 가열하는 것을 포함한다. 따라서, 시스템(600)의 실시예는 습식 치러 챔버(608a 내지 608b) 및 어닐링 처리 챔버(608c 내지 608d)를 포함할 수 있어 증착된 유전체 필름상의 습식 및 건식 어닐링을 수행할 수 있도록 한다.
샤워헤드 설계
본 발명에 따른 가스 전달 및 플라즈마 발생 시스템의 실시예는 증착 챔버내로 전구체를 분배하기 위한 샤워헤드를 포함할 수 있다. 이러한 샤워헤드는 두 개 또는 그 이상의 전구체가 증착 챔버 내에서 혼합될 때까지 접촉하지 않고 샤워헤드를 통하여 독립적으로 유동할 수 있도록 설계될 수 있다. 샤워헤드는 또한 플라즈마가 전면판 뒤에서 뿐만 아니라 증착 챔버 내에서 독립적으로 발생할 수 있도록 설계될 수 있다. 샤워헤드의 전면판과 블로커 플레이트 사이에 발생되는 독립 플라즈마는 반응성 전구체 종을 형성할 뿐만 아니라 전면판에 근접한 활성 세정 종에 의해 샤워헤드 세정 공정의 효율을 개선하기 우해 이용될 수 있다. 증착 영역 내로 두 개 또는 그 이상의 전구체를 독립적으로 유동하기 위해 설계된 샤워헤드에 대한 부가 상세 설명은 발명의 명칭이 "실리콘 질화물 증착을 위한 에너지를 공급받은 가스 및 에너지를 공급받지 않은 가스의 혼합(MIXING ENERGIZED AND NON- ENERGIZED GASES FOR SILICON NITRIDE DEPOSITION)"이고 2005년 1월 22일에 출원되고 정(Jung) 등의 미국 특허 출원 번호 제 11/040,712호에서 찾아볼 수 있으며, 이는 본 명세서에서 전체적으로 참조된다.
도 7a를 참조하면, 샤워헤드 시스템(700)의 단순화된 단면이 도시된다. 샤워헤드(700)는 두 개의 전구체 입구 포트(702 및 704)로 구성된다. 제 1 전구체 입구 포트(702)는 샤워헤드의 중앙과 동축선이며 샤워헤드의 중앙 아래로 그리고 이어서 전면판(706) 뒤에 측방향으로 제 1 전구체를 위한 유동 경로를 형성한다. 제 1 전구체는 샤워헤드로부터 전면판 내의 선택된 개구 뒤의 증착 챔버 내로 배출된다.
제 2 전구체 입구 포트(704)는 제 2 전구체가 제 1 포트(702) 주위 및 가스박스(710)와 전면판(706) 사이의 영역(708) 내로 유동하도록 구성될 수 있다. 제 2 전구체는 증착 영역(712)에 도달하기 전에 전면판(706) 내의 선택된 개구를 통하여 영역(708)으로부터 유동할 수 있다. 도 7a를 보면, 전면판(706)이 두 개의 세트의 개구, 즉 영역(708)과 증착 영역 사이의 유체 소통을 제공하는 제 1 개구(714) 세트, 및 제 1 입구 포트(702), 전면판 갭(718) 및 증착 영역(712) 사이의 유체 소통을 제공하는 제 2 개구(716) 세트를 가진다.
전면판(706)은 제 1 및 제 2 전구체가 증착 영역을 위한 샤워헤드로부터 나올 때까지 제 1 및 제 2 전구체를 독립적으로 유지하는 이중-채널 전면판일 수 있다. 예를 들면, 제 1 전구체는 개구(716)를 통하여 샤워헤드로부터 나오기 전에 전면판 갭(718) 내의 개구(714) 주위로 이동할 수 있다. 실린더 포트와 같은 배리 어가 개구(714)를 둘러쌀 수 있어 이러한 개구를 통하여 제 1 전구체가 배출되는 것을 방지한다. 또한, 개구(714)를 통하여 이동하는 제 2 전구체는 전면판 갭(718)을 가로질러 제 2 개구(716)로부터 나와서 증착 영역 내로 유동할 수 있다.
전구체가 각각의 세트의 개구로부터 배출될 때, 전구체는 기판 웨이퍼(722) 및 기판 페데스탈(724) 위의 증착 영역(712) 내에서 혼합될 수 있다. 전면판(706) 및 페데스탈(724)은 기판(722) 위의 증착 영역에서 용량 결합된 플라즈마(726)를 발생시키도록 전극을 형성할 수 있다.
시스템(700)은 또한 전면판 뒤의 영역(708)에서 후방에 제 2 플라즈마(728)를 발생하도록 구성될 수 있다. 도 7b에서 볼 수 있는 바와 같이, 이러한 플라즈마(728)는 플라즈마를 위한 전극을 형성하는 가스박스(710)와 전면판(706) 사이에 rf 전기장을 인가함으로써 발생될 수 있다. 이러한 플라즈마는 제 2 전구체 입구 포트(704)로부터 영역(708) 내로 유동하는 제 2 전구체로부터 형성될 수 있다. 제 2 플라즈마(728)는 제 2 전구체 혼합물 내의 하나 또는 그 이상의 전구체로부터 반응성 종을 발생하기 위해 이용될 수 있다. 예를 들면, 제 2 전구체는 플라즈마(728) 내의 래디컬 원자 ksth 종을 형성하는 산소 함유 소스를 포함할 수 있다. 이어서 반응성 원자 산소는 증착 영역 내로 전면판 개구(714)를 통하여 유동할 수 있으며, 증착 영역 내에서 반응성 원자 산소는 제 1 전구체 재료(예를 들면, 유기-실란 전구체)와 혼합하여 반응할 수 있다.
도 7b에서, 전면판(706)은 증착 영역 내에서 제 2 플라즈마(728) 및 제 1 플라즈마(726) 둘다를 위한 전극으로서 작용할 수 있다. 이러한 이중 영역 플라즈마 시스템은 전면판(706) 뒤에 전구체 반응성 종을 발생하도록 동시에 플라즈마들을 적용할 수 있으며, 플라즈마(726) 내에서 다른 전구체와 상기 종들의 반응성을 강화할 수 있다. 또한, 플라즈마(728)는 세정 전구체를 활성화하기 위해 이용될 수 있어 세정 전구체와 샤워헤드 개구 내에서 강화되는 재료와의 더 많은 반응을 할 수 있도록 한다. 또한, 증착 영역 대신 샤워헤드 내의 반응성 종을 발생하는 것은 증착 챔버의 벽과 활성 세정 종 사이의 원하지 않는 반응의 개수를 감소시킬 수 있다. 예를 들면, 전면판(706) 후방에 발생되는 더 많은 활성 불소 종은 증착 영역 내로 배출되기 전에 반응하게 되며, 증착 영역 내에서 활성 불소 종은 증착 챔버의 알루미늄 성분으로 이동할 수 있어 원하지 않는 AlF3를 형성할 수 있다.
도 8a 및 도 8c는 전면판(802) 내의 개구(804 및 806)의 제 1 및 제 2 세트에 대한 두 개의 구성을 보여주며, 상기 개구를 통하여 두 개의 전구체 혼합물이 증착 영역에 도달하기 전에 독립적으로 유동될 수 있다. 도 8a는 동심 개구 설계를 위한 단면을 보여주며 여기에는 개구(804)의 제 1 세트가 직선형 도관을 통하여 제 1 전구체가 통과하고 개구(806)의 제 2 세트는 제 1 개구를 둘러싸는 동심 고리형 링 개구를 통하여 제 2 전구체가 통과한다. 제 1 및 제 2 전구체는 전면판 뒤에서 서로로부터 격리되어 증착 영역에서 개구(804 및 806)으로부터 나올 때 첫번째로 혼합하여 반응한다.
도 8b는 전면판 표면에 형성된 제 1 및 제 2 개구(804, 806)의 어레이를 보여주는 전면판(802)의 일 부분의 사진이다. 제 2 고리형 개구(806)는 제 1 개 구(804)를 형성하는 관형 벽과 최외각 전면판 층 사이의 갭에 의해 형성된다. 사진에서 보여지는 실시예에서, 고리형 갭 개구(806)는 직경이 약 0.028"인 중앙 개구(804)의 벽 주위에 약 0.003"이다. 물론, 제 1 및 제 2 개구용 다른 크기가 또한 이용될 수 있다. 제 2 전구체는 이러한 고리형 개구(806)를 통하여 통과하고 중앙 개구(804)로부터 나오는 전구체를 둘러싼다.
도 8c는 평행한 개구 설계용 단면을 보여주며, 여기에서는 개구(808)의 제 1 세트가 여전히 제 1 전구체용 직선형 도관을 형성하며 평행하게 인접한 개구(810)의 제 2 세트가 제 2 전구체용 독립 유동 채널을 제공한다. 개구의 두 개의 세트가 서로로부터 격리되어 제 1 및 제 2 전구체는 샤워헤드로부부터 반응 영역으로 배출될 때까지 혼합 및 반응하지 않는다.
개구(810)로부터 나오는 제 2 전구체는 도 8d에 도시된 바와 같이 샤워헤드의 에지 영역으로부터 중앙으로 유동될 수 있다. 제 2 전구체 소스와 개구(810) 사이에 형성된 채널은 제 1 전구체가 영역(812)으로부터 개구(808)를 통하여 증착 영역 내로 유동하는 것을 격리한다. 제 2 전구체는 샤워헤드의 주변 내에 및/또는 주위에 형성된 하나 또는 그 이상의 채널에 의해 제공될 수 있다.
값의 범위가 제공되면, 내용이 명확하게 다르게 기재되어 있지 않은 경우 하부 제한치의 유닛의 1/10으로, 상기 범위의 상부 제한치와 하부 제한치 사이의 각각의 중간 값이 또한 특별히 공개된다. 진술된 범위 내의 중간 값 또는 소정의 진술된 값과 상기 진술된 범위에서의 소정의 다른 진술된 또는 중간 값 사이의 각각의 더 작은 범위가 범위 내에 포함된다. 이러한 더 작은 범위의 상부 및 하부 제 한치가 범위 내에서 독립적으로 포함 또는 제외될 수 있으며, 어느 하나의 제한치, 또는 둘다의 제한치가 더 작은 범위 내에 포함되거나 포함되지 않는 각각의 범위는 또한 본 발명 내에 포함되며, 진술된 범위 내에서 소정의 특별히 제외된 제한치로 처리될 수 있다. 진술된 범위가 제한치들 중 하나 또는 둘다 포함할 때, 포함된 제한치들 중 어느 하나 또는 둘다 제외되는 범위가 또한 본 발명에 포함된다.
상세한 설명 및 첨부된 청구범위에서 이용된, 단수 형태("a", "an", 및 "the"는 다르게 명확하게 기재되지 않으면 복수 형태를 포함할 수 있다. 따라서, 예를 들면, " 공정(a process) "에 대한 표기는 이 같은 공정의 복수를 포함할 수 있으며, "노즐(the nozzle)"은 하나 또는 그 이상의 노즐 및 본원 발명의 기술분야의 기술자에게 공지된 균등물, 등을 포함할 수 있다.
또한, 단어 포함하는("comprise", "comprising", "include", "including", 및 "include")가 청구범위 및 다음의 청구범위에서 사용될 때 기재된 특징물, 정수, 부품, 또는 단계의 존재를 특정화하는 것으로 의도되지만, 이들은 하나 또는 그 이상의 다른 특징물, 정수, 부품, 단계, 또는 그룹의 존재 또는 부가를 제외하지 않는다.

Claims (33)

  1. 기판상에 유전체 층을 형성하기 위한 시스템으로서,
    증착 챔버,
    상기 증착 챔버 내에 상기 기판을 홀딩하기 위한 기판 스테이지,
    상기 증착 챔버에 결합되고 반응 원자 래디컬을 포함하는 반응 래디컬 가스를 발생하기 위해 이용되는 원격 플라즈마 발생 시스템, 및
    실리콘 함유 전구체를 상기 증착 챔버로 유입시키기 위해 하나 이상의 상부 입구 및 다수의 측부 입구를 포함하는 전구체 분배 시스템으로서, 상기 상부 입구가 상기 기판 스테이지 위에 위치설정되고, 상기 측부 입구가 상기 기판 스테이지 주위에 방사형으로 분포되고 상기 기판 위로 연장하고, 상기 다수의 측부 입구의 바닥을 따라 위치되는 측벽 개구들이 상기 실리콘 함유 전구체를 상기 기판을 향하여 하방으로 지향지키도록 구성되고 그리고 상기 반응 래디컬 가스가 상기 상부 입구를 통하여 상기 증착 챔버로 공급되는, 전구체 분배 시스템을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 기판은 200 mm 또는 300 mm 웨이퍼인,
    기판상에 유전체 층을 형성하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 기판은 실리콘, 게르마늄, 또는 갈륨 비소를 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 유전체 층의 형성 동안 상기 기판 스테이지가 상기 기판을 회전시키는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 기판 스테이지는 상기 유전체 층의 형성 동안 상승 및 하강하여 상기 상부 입구 및 상기 측부 입구에 대한 상기 기판의 위치를 조정하도록 하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 기판 스테이지는 상기 유전체 층 형성 동안 동시에 회전하고 상승 및 하강할 수 있는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  7. 제 1 항에 있어서,
    상기 시스템은 상기 기판 스테이지의 온도를 제어하도록 기판 스테이지 온도 제어 시스템을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  8. 제 7 항에 있어서,
    상기 온도 제어 시스템은 -40℃ 내지 200℃의 온도로 상기 기판 스테이지를 유지하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 상부 입구는 상기 원격 플라즈마 발생 시스템으로부터 상기 증착 챔버로 상기 반응 래디컬 가스를 운반하기 위한 제 1 도관, 및 전구체 소스로부터 상기 증착 챔버로 부가 전구체를 운반하기 위한 제 2 도관을 포함하는 노즐이며,
    상기 제 1 및 제 2 도관 내의 전구체는 상기 상부 입구로부터 배출될 때 까지 서로로부터 격리되는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  10. 제 9 항에 있어서,
    상기 제 1 도관 및 상기 제 2 도관의 적어도 일 부분이 상기 노즐 내에 동심으로 정렬되어 있는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  11. 제 10 항에 있어서,
    상기 제 2 도관은 상기 노즐의 중앙 축선과 공동 정렬되는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  12. 제 1 항에 있어서,
    상기 상부 입구는 상기 증착 챔버로 유입되는 상기 반응 래디컬 가스를 분산하기 위한 배플을 포함하는 노즐인,
    기판상에 유전체 층을 형성하기 위한 시스템.
  13. 제 12 항에 있어서,
    상기 배플은 상기 반응 래디컬 가스를 상기 노즐로부터 반지름 외측 방향으로 지향시키는 플레어형 원형 단부를 가지는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  14. 제 1 항에 있어서,
    상기 측부 입구는 상기 기판 스테이지 주위에 방사형으로 분포되는 12 개 내지 80 개의 노즐을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  15. 제 1 항에 있어서,
    상기 측부 입구는 다수의 측부 노즐을 포함하고, 상기 다수의 측부 노즐 중두 개 이상이 상이한 길이를 가지는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  16. 제 1 항에 있어서,
    상기 측부 입구는 제 1 및 제 2 세트의 노즐을 포함하며, 상기 제 1 및 제 2 세트의 노즐의 각각의 세트는 상기 증착 챔버로 상이한 유전체 전구체를 공급하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  17. 실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템으로서,
    증착 챔버,
    상기 증착 챔버 내에 상기 실리콘 기판을 홀딩하기 위한 기판 스테이지로서, 상기 실리콘 산화물 층의 형성 동안 상기 실리콘 기판을 회전시키는, 기판 스테이지,
    상기 증착 챔버에 결합되고 원자 산소 전구체를 발생시키기 위해 이용되는, 원격 플라즈마 발생 시스템, 및
    전구체 분배 시스템을 포함하며,
    상기 전구체 분배 시스템은,
    (ⅰ) 상기 기판 스테이지 위에 위치설정되는 하나 이상의 상부 입구로서, 상기 원자 산소 전구체가 상기 상부 입구를 통하여 상기 증착 챔버로 공급되는, 하나 이상의 상부 입구, 및
    (ⅱ) 하나 또는 그 초과의 실리콘 함유 전구체를 상기 증착 챔버로 유입시키기 위한 다수의 측부 입구로서, 상기 기판 스테이지 주위에 방사형으로 분포되고 상기 기판 위로 연장하고, 상기 다수의 측부 입구의 바닥을 따라 위치되는 측벽 개구들이 상기 하나 또는 그 초과의 실리콘 함유 전구체를 상기 실리콘 기판을 향하여 하방으로 지향시키도록 구성되는, 다수의 측부 입구를 포함하는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  18. 제 17 항에 있어서,
    상기 시스템은 상기 증착 챔버로 공급되는 상기 원자 산소 전구체 및 상기 하나 또는 그 초과의 실리콘 함유 전구체로부터 상기 증착 챔버 내에 플라즈마를 발생시키기 위한 인-시츄 플라즈마 발생 시스템을 더 포함하는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  19. 제 17 항에 있어서,
    상기 다수의 측부 입구는 제 1 실리콘 함유 전구체를 상기 증착 챔버로 공급하는 제 1 세트의 노즐, 및 상기 제 1 실리콘 함유 전구체와 상이한 제 2 실리콘 함유 전구체를 공급하는 제 2 세트의 노즐을 포함하는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  20. 제 19 항에 있어서,
    상기 제 1 세트의 노즐은 상기 제 2 세트의 노즐과 상이한 길이를 가지는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  21. 제 19 항에 있어서,
    상기 제 1 및 제 2 실리콘 함유 전구체는 실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오르쏘실리케이트(TMOS), 테트라에틸오르쏘실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸사이클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸트리에쏙시실란(MTES), 페닐디메틸실란, 및 페닐실란을 포함하는 그룹으로부터 선택되는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  22. 제 19 항에 있어서,
    상기 다수의 측부 입구는 상기 제 1 및 제 2 실리콘 함유 가스와 상이한 하나 이상의 부가 실리콘 함유 가스를 공급하는 하나 또는 그 초과의 부가 노즐을 포함하는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  23. 제 17 항에 있어서,
    상기 시스템은 상기 원자 산소 전구체를 발생시키기 위해 상기 원격 플라즈마 발생 시스템으로 공급되는 산소 함유 전구체를 포함하며, 상기 산소 함유 전구체는 분자 산소, 오존, 및 이산화질소로 이루어지는 그룹으로부터 선택되는,
    실리콘 기판상에 실리콘 산화물 층을 형성하기 위한 시스템.
  24. 기판상에 유전체 층을 형성하기 위한 시스템으로서,
    증착 챔버,
    상기 증착 챔버 내에 상기 기판을 홀딩하기 위한 기판 스테이지,
    상기 증착 챔버로 결합되고 반응 래디컬을 포함하는 유전체 전구체를 발생시키기 위해 이용되는, 원격 플라즈마 발생 시스템, 및
    하나 이상의 상부 입구, 천공판, 및 상기 증착 챔버로 부가 유전체 전구체를 유입시키기 위한 다수의 측부 입구를 포함하는 전구체 분배 시스템으로서, 상기 다수의 측부 입구의 바닥을 따라 위치되는 측벽 개구들이 상기 부가 유전체 전구체를 상기 기판을 향하여 하방으로 지향시키도록 구성되며, 상기 천공판이 상기 상부 입구와 상기 측부 입구 사이에 위치설정되고 상기 측부 입구가 상기 기판 스테이지 주위에 방사형으로 분포되며, 상기 반응 래디컬을 포함하는 유전체 전구체가 상기 천공판 내의 개구를 통하여 상기 증착 챔버에 분배되는, 전구체 분배 시스템을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  25. 기판상에 유전체 층을 형성하기 위한 시스템으로서,
    증착 챔버,
    상기 증착 챔버 내에 상기 기판을 홀딩하기 위한 기판 스테이지,
    상기 증착 챔버로 결합되고 반응 래디컬을 포함하는 제 1 유전체 전구체를 발생하기 위해 이용되는, 원격 플라즈마 발생 시스템, 및
    부가 유전체 전구체를 상기 증착 챔버로 유입시키기 위한 방사형 전구체 매니폴드를 포함하는 전구체 분배 시스템으로서, 상기 매니폴드가 상기 기판 스테이지 위에 위치설정되고 상기 기판 스테이지 주위에 축방향으로 정렬되는 다수의 방사형 분포 도관을 포함하며, 상기 도관 각각이 다수의 측벽 개구를 포함하며, 상기 부가 유전체 전구체가 상기 측벽 개구를 통과하여 상기 증착 챔버로 유입되어 상기 제 1 유전체 전구체와 혼합되며, 상기 측벽 개구가 상기 부가 유전체 전구체를 상기 기판을 향하여 하방으로 지향시키도록 구성되는, 전구체 분배 시스템을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  26. 제 25 항에 있어서,
    상기 도관의 각각에 형성된 측벽 개구는 상기 도관의 길이를 따라 공동 직선 상에 정렬되는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  27. 삭제
  28. 제 25 항에 있어서,
    상기 방사형 전구체 매니폴드는 외부 고리형 전구체 링 및 내부 고리형 전구체 링을 포함하며, 상기 외부 고리형 전구체 링 및 상기 내부 고리형 전구체 링은 동심으로 정렬되고, 상기 하나 이상의 도관은 상기 외부 고리형 전구체 링에 결합되는 선단부 및 상기 내부 고리형 전구체 링에 결합되는 말단부를 가지는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  29. 제 28 항에 있어서,
    상기 방사형 전구체 매니폴드는 상기 외부 고리형 전구체 링에 결합되는 선단부 및 상기 내부 고리형 전구체 링을 통하여 연장하는 말단부를 가지는 하나 이상의 도관을 포함하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  30. 제 25 항에 있어서,
    상기 방사형 전구체 매니폴드는 상부 유입구와 천공판 아래 위치설정되고, 상기 부가 유전체 전구체와 혼합되기 전에 상기 제 1 유전체 전구체가 상기 천공판을 통과하는,
    기판상에 유전체 층을 형성하기 위한 시스템.
  31. 삭제
  32. 삭제
  33. 삭제
KR1020077029895A 2006-05-30 2007-05-30 유전체 갭필용 공정 챔버 KR101207525B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80349906P 2006-05-30 2006-05-30
US60/803,499 2006-05-30
US11/754,924 US20070281106A1 (en) 2006-05-30 2007-05-29 Process chamber for dielectric gapfill
US11/754,924 2007-05-29

Publications (2)

Publication Number Publication Date
KR20080014059A KR20080014059A (ko) 2008-02-13
KR101207525B1 true KR101207525B1 (ko) 2012-12-03

Family

ID=38779453

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077029895A KR101207525B1 (ko) 2006-05-30 2007-05-30 유전체 갭필용 공정 챔버

Country Status (6)

Country Link
US (4) US20070281106A1 (ko)
EP (1) EP2022087A4 (ko)
KR (1) KR101207525B1 (ko)
SG (1) SG172648A1 (ko)
TW (1) TWI397122B (ko)
WO (1) WO2007140425A2 (ko)

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20110033210A (ko) * 2008-06-30 2011-03-30 쓰리엠 이노베이티브 프로퍼티즈 컴파니 무기 또는 무기/유기 혼성 장벽 필름 제조 방법
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
CN203225233U (zh) * 2009-09-10 2013-10-02 朗姆研究公司 一种陶瓷侧气体喷射器
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) * 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FI20105903A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
KR101885108B1 (ko) 2011-09-06 2018-08-07 세메스 주식회사 기판 처리 장치
US20130217241A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130284097A1 (en) * 2012-04-25 2013-10-31 Joseph M. Ranish Gas distribution module for insertion in lateral flow chambers
US20150132970A1 (en) 2012-05-23 2015-05-14 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
FI125341B (en) * 2012-07-09 2015-08-31 Beneq Oy Apparatus and method for treating substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104995721B (zh) * 2013-02-21 2018-03-09 埃耶士株式会社 基板的蚀刻装置及基板的分析方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10669625B2 (en) * 2013-03-15 2020-06-02 Taiwan Semiconductor Manufacturing Company Limited Pumping liner for chemical vapor deposition
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
KR101836417B1 (ko) * 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
KR101913978B1 (ko) * 2014-07-25 2018-10-31 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 라디칼 가스 발생 시스템
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2016067380A1 (ja) 2014-10-29 2016-05-06 東芝三菱電機産業システム株式会社 放電発生器とその電源装置
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
KR102362534B1 (ko) * 2014-12-08 2022-02-15 주성엔지니어링(주) 기판 처리방법
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11239060B2 (en) * 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040048492A1 (en) * 2001-01-26 2004-03-11 Applied Materials, Inc. Apparatus for reducing plasma charge damage for plasma processes
US20040161899A1 (en) * 2003-02-14 2004-08-19 Luo Tien Ying Radical oxidation and/or nitridation during metal oxide layer deposition process

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP3044824B2 (ja) * 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) * 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08279495A (ja) * 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
TW297135B (ko) * 1995-03-20 1997-02-01 Hitachi Ltd
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4249843B2 (ja) * 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001048800A1 (fr) * 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
FR2803115B1 (fr) * 1999-12-28 2004-09-24 Cit Alcatel Dispositif de comparaison de longueur d'onde et de multiplexage et systeme de reglage de sources monochromatiques
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
JP3989170B2 (ja) * 2000-10-05 2007-10-10 オリンパス株式会社 高周波処置具
JP2002115068A (ja) * 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
KR20020095842A (ko) * 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
US20030014332A1 (en) * 2001-07-12 2003-01-16 Glenn Gramling Automated locational asset inventory system
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) * 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
WO2003054912A1 (en) * 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
JP3944019B2 (ja) * 2002-07-31 2007-07-11 キヤノン株式会社 情報処理装置および方法
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4393844B2 (ja) * 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
TW200739710A (en) * 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040048492A1 (en) * 2001-01-26 2004-03-11 Applied Materials, Inc. Apparatus for reducing plasma charge damage for plasma processes
US20040161899A1 (en) * 2003-02-14 2004-08-19 Luo Tien Ying Radical oxidation and/or nitridation during metal oxide layer deposition process

Also Published As

Publication number Publication date
US20120073501A1 (en) 2012-03-29
WO2007140425A2 (en) 2007-12-06
US20140083362A1 (en) 2014-03-27
TW200809965A (en) 2008-02-16
EP2022087A4 (en) 2012-10-10
SG172648A1 (en) 2011-07-28
EP2022087A2 (en) 2009-02-11
WO2007140425A9 (en) 2008-03-27
US20170226637A1 (en) 2017-08-10
TWI397122B (zh) 2013-05-21
KR20080014059A (ko) 2008-02-13
US20070281106A1 (en) 2007-12-06
WO2007140425A3 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
KR101207525B1 (ko) 유전체 갭필용 공정 챔버
KR101046967B1 (ko) 유전체 갭필을 위한 프로세스 챔버
TWI382457B (zh) 用於填充介電質間隙的製程室
JP6928043B2 (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
US7989365B2 (en) Remote plasma source seasoning
KR101407112B1 (ko) 반도체 처리용 성막 장치
JP5777615B2 (ja) Cvdチャンバの流れ制御機構
CN101326629B (zh) 填充介电质间隙的制程室
US20190214228A1 (en) Radical assisted cure of dielectric films
JPH0612771B2 (ja) Teosプラズマcvd法
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
JP2011525299A (ja) 流動性誘電体の装置およびプロセス
CN1460130A (zh) 无机/有机介电薄膜的沉积***及方法
KR20180053242A (ko) 성막 장치 및 성막 방법
US20160017495A1 (en) Plasma-enhanced and radical-based cvd of porous carbon-doped oxide films assisted by radical curing
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
E801 Decision on dismissal of amendment
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181112

Year of fee payment: 7