KR100759845B1 - 불휘발성 메모리 장치 및 이의 제조 방법 - Google Patents

불휘발성 메모리 장치 및 이의 제조 방법 Download PDF

Info

Publication number
KR100759845B1
KR100759845B1 KR1020060087259A KR20060087259A KR100759845B1 KR 100759845 B1 KR100759845 B1 KR 100759845B1 KR 1020060087259 A KR1020060087259 A KR 1020060087259A KR 20060087259 A KR20060087259 A KR 20060087259A KR 100759845 B1 KR100759845 B1 KR 100759845B1
Authority
KR
South Korea
Prior art keywords
film
memory device
pattern
nonvolatile memory
charge trapping
Prior art date
Application number
KR1020060087259A
Other languages
English (en)
Inventor
홍석훈
이명범
남갑진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060087259A priority Critical patent/KR100759845B1/ko
Priority to US11/896,834 priority patent/US7696563B2/en
Application granted granted Critical
Publication of KR100759845B1 publication Critical patent/KR100759845B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7923Programmable transistors with more than two possible different levels of programmation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

불휘발성 메모리 장치 및 이의 제조 방법에서, 터널 절연막, 전하 트랩핑 막 및 블록킹 막이 기판의 채널 영역 상에 형성된다. 상기 블록킹 막 상에는 금속을 포함하는 도전막이 형성되며, 상기 도전막 상에는 상기 도전막의 일함수 변화를 억제하기 위한 장벽막이 형성된다. 상기 불휘발성 메모리 장치의 게이트 구조물은 상기 막들을 패터닝함으로써 형성될 수 있다. 상기 게이트 구조물을 형성한 이후에 수행되는 열처리 공정에서 상기 도전막의 일함수 변화는 상기 장벽막에 의해 억제될 수 있으며, 이에 따라 상기 블록킹 막을 통한 전자들의 백 터널링이 감소될 수 있다. 따라서, 상기 불휘발성 메모리 장치의 소거 시간이 감소될 수 있다.

Description

불휘발성 메모리 장치 및 이의 제조 방법{Non-volatile memory device and method of manufacturing the same}
도 1 내지 도 6은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.
도 7은 절연막 상에 형성된 도전막의 플랫 밴드 전압(Flat Band Voltage)을 나타내는 그래프이다.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 불휘발성 메모리 장치 100 : 반도체 기판
100a : 채널 영역 112 : 게이트 전극
114 : 제1 도전막 패턴 116 : 장벽막 패턴
130 : 블록킹 막 패턴 132 : 전하 트랩핑 막 패턴
134 : 터널 절연막 패턴 136 : 게이트 구조물
138a, 138b : 소스/드레인 영역 140 : 절연막
142 : 제2 도전막 패턴
본 발명은 불휘발성 메모리 장치 및 이의 제조 방법에 관한 것이다. 보다 상세하게는, 전하 트랩핑 막을 포함하는 불휘발성 메모리 장치와 이를 제조하는 방법에 관한 것이다.
반도체 메모리 장치는 DRAM(dynamic random access memory) 및 SRAM(static random access memory)과 같이 데이터의 입·출력이 상대적으로 빠른 반면, 시간이 경과됨에 따라 데이터가 소실되는 휘발성(volatile) 메모리 장치와, ROM(read only memory)과 같이 데이터의 입·출력이 상대적으로 느리지만, 데이터를 영구 저장이 가능한 불휘발성(non-volatile) 메모리 장치로 구분될 수 있다. 상기 불휘발성 메모리 장치의 경우, 전기적으로 데이터의 입·출력이 가능한 EEPROM(electrically erasable programmable read only memory) 또는 플래시 EEPROM 메모리에 대한 수요가 늘고 있다. 상기 플래시 EEPROM 메모리 장치는 F-N 터널링(Fowler-Nordheim tunneling) 또는 채널 열전자 주입(channel hot electron injection)을 이용하여 전기적으로 데이터의 프로그래밍(programming) 및 소거(erasing)를 수행한다. 상기 플래시 메모리 장치는 플로팅 게이트 타입의 불휘발성 메모리 장치와 SONOS(silicon oxide nitride oxide semiconductor) 또는 MONOS(metal oxide nitride oxide semiconductor) 타입의 불휘발성 메모리 장치로 크게 구분될 수 있다.
상기 SONOS 또는 MONOS 타입의 불휘발성 메모리 장치는 반도체 기판의 채널 영역 상에 형성된 터널 절연막, 상기 채널 영역으로부터 전자들을 트랩핑하기 위한 전하 트랩핑 막, 상기 전하 트랩핑 막 상에 형성된 블록킹 막, 상기 블록킹 막 상 에 형성된 게이트 전극, 상기 게이트 전극의 측면들 상에 형성된 스페이서, 및 상기 채널 영역과 인접하여 상기 반도체 기판의 표면 부위들에 형성된 소스/드레인 영역들을 포함할 수 있다.
상기와 같은 종래의 불휘발성 메모리 장치는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있으며, F-N 터널링 또는 채널 열전자 주입을 이용하여 전기적으로 데이터의 프로그래밍 및 소거를 수행할 수 있다.
예를 들면, 상기 게이트 전극에 약 5 내지 18V 정도의 프로그래밍 전압이 인가되면, 상기 반도체 기판의 채널 영역으로부터 전자들이 F-N 터널링에 의해 상기 전하 트랩핑 막의 트랩 사이트들에 트랩된다. 이에 따라, 상기 전하 트랩핑 막에는 ‘1’의 로직 상태가 저장된다. 즉, 상기 전하 트랩핑 막 패턴에 트랩된 전자들에 의해 상기 채널 영역에서의 문턱 전압이 변화되며, 상기 로직 상태는 상기 게이트 전극과 드레인 영역에 서로 다른 읽기 전압들을 각각 인가하고 상기 채널 영역에서의 전류를 검출함으로써 판단될 수 있다.
상기 불휘발성 메모리 장치의 소거 동작은 상기 게이트 전극에 약 -15 내지 -20V 정도의 소거 전압을 인가함으로써 수행될 수 있다. 그러나, 상기 소거 전압이 상기 게이트 전극에 인가될 경우, 상기 블록킹 막을 통하여 상기 전하 트랩핑 막으로 전자들이 이동하는 백 터널링(back-tunneling) 현상이 발생될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치의 소거 동작을 수행하는데 소요되는 시간이 증가될 수 있으며, 상기 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰성이 저하될 수 있다.
상기와 같은 문제점을 해결하기 위하여 상기 게이트 전극을 상대적으로 높은 일함수를 갖는 물질, 예를 들면, 금속 질화물 또는 금속 산화물로 형성하는 방법에 제안되었다. 그러나, 후속하여 수행되는 열처리 공정에서 상기 게이트 전극의 일함수가 변화될 수 있다. 예를 들면, 금속 질화물 또는 금속 산화물로 이루어진 게이트 전극을 형성한 후, 상기 소스/드레인 영역들에 주입된 불순물들을 전기적으로 활성화시키기 위한 열처리 공정에서 상기 금속 게이트 전극의 일함수가 변화될 수 있다. 결과적으로, 상기 블록킹 막을 통한 전자들의 백 터널링 현상을 감소시킬 수 있는 방법에 대한 요구가 여전히 남아있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 제1 목적은 블록킹 막을 통한 전자들의 백 터널링이 감소된 불휘발성 메모리 장치를 제공하는데 있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 제2 목적은 블록킹 막을 통한 전자들의 백 터널링이 감소된 불휘발성 메모리 장치의 제조 방법을 제공하는데 있다.
상기 제1 목적을 달성하기 위한 본 발명의 일 측면에 따른 불휘발성 메모리 장치는, 기판의 채널 영역 상에 형성된 터널 절연막 패턴과, 상기 채널 영역으로부터 전자들을 트랩핑하기 위하여 상기 터널 절연막 패턴 상에 형성된 전하 트랩핑 막 패턴과, 상기 전하 트랩핑 막 패턴 상에 형성된 블록킹 막 패턴과, 상기 블록킹 막 패턴 상에 형성된 게이트 전극을 포함할 수 있다. 상기 게이트 전극은 상기 블록킹 막 패턴 상에 형성되며 금속을 포함하는 도전막 패턴과 상기 도전막 패턴 상에 형성되며 상기 도전막 패턴의 일함수 변화를 억제하기 위한 장벽막 패턴을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 도전막 패턴은 4eV 이상의 일함수를 갖는 물질을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 도전막 패턴은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi), 탄탈룸 실리사이드(TaSi) 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 장벽막 패턴은 금속 실리콘 질화물을 포함할 수 있다. 예를 들면, 상기 장벽막 패턴은 티타늄 실리콘 질화물, 텅스텐 실리콘 질화물, 탄탈룸 실리콘 질화물 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 장벽막 패턴은 상기 도전막 패턴보다 얇은 두께를 가질 수 있다. 특히, 상기 도전막 패턴에 대한 상기 장벽막 패턴의 두께 비는 약 0.3 내지 0.7 정도일 수 있다.
본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막 패턴은 실리콘 질화물을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막 패턴은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 전하 트랩핑 막 패턴은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있다. 특히, 상기 전하 트랩핑 막 패턴은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 블록킹 막 패턴은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 블록킹 막 패턴은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있다. 특히, 상기 블록킹 막 패턴은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 게이트 전극의 측면들 상에는 스페이서가 형성될 수 있으며, 상기 게이트 전극 상에는 워드 라인이 형성될 수 있다.
상기 제2 목적을 달성하기 위한 본 발명의 다른 측면에 따르면, 기판의 채널 영역 상에는 터널 절연막, 전하 트랩핑 막 및 블록킹 막이 순차적으로 형성될 수 있다. 상기 전하 트랩핑 막은 상기 채널 영역으로부터 전자들을 트랩하기 위하여 형성된다. 상기 블록킹 막 상에는 금속을 포함하는 도전막이 형성될 수 있으며, 상기 도전막 상에는 상기 도전막의 일함수 변화를 억제하기 위한 장벽막이 형성될 수 있다. 게이트 구조물은 상기 장벽막, 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝함으로써 상기 기판의 채널 영역 상에 형성될 수 있다.
본 발명의 일 실시예에 따르면, 상기 도전막은 4eV 이상의 일함수를 갖는 물질을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 도전막은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi), 탄탈룸 실리사이드(TaSi)를 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 장벽막은 금속 실리콘 질화물을 포함할 수 있다. 예를 들면, 상기 장벽막은 티타늄 실리콘 질화물, 텅스텐 실리콘 질화물, 탄탈룸 실리콘 질화물 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 장벽막은 상기 도전막보다 얇은 두께로 형성될 수 있다. 특히, 상기 도전막에 대한 상기 장벽막의 두께 비는 약 0.3 내지 0.7 정도일 수 있다.
본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막은 실리콘 질화물을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 전하 트랩핑 막은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 전하 트랩핑 막은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있다. 특히, 상기 전하 트랩핑 막은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 블록킹 막은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 블록킹 막은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등을 포함할 수 있다. 특히, 상기 블록킹 막은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 게이트 구조물은 상기 장벽막 및 도전막을 패터닝하여 게이트 전극을 형성하고, 이어서 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝함으로써 형성될 수 있다. 상기 게이트 전극의 측면들 상 에는 스페이서가 형성될 수 있으며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 사용하는 식각 공정을 통해 패터닝될 수 있다.
본 발명의 일 실시예에 따르면, 상기 게이트 전극 상에는 워드 라인이 형성될 수 있다.
상기와 같은 본 발명의 실시예들에 따르면, 상기 도전막의 일함수 변화는 상기 장벽막에 의해 억제될 수 있다. 따라서, 상기 블록킹 막 패턴을 통한 전자들의 백 터널링이 감소될 수 있으며, 이로써 상기 불휘발성 메모리 장치의 소거 시간이 감소될 수 있다.
이하, 본 발명에 따른 실시예들을 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다. 그러나, 본 발명은 하기의 실시예들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 막(층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.
도 1 내지 도 6은 본 발명의 일 실시예에 따른 불휘발성 메모리 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.
도 1을 참조하면, 실리콘웨이퍼와 같은 반도체 기판(100)의 표면 부위에 소자 분리막(미도시)을 형성함으로써 액티브 영역을 정의한다. 구체적으로, 실리콘 부분 산화(Local oxidation of silicon; LOCOS) 공정 또는 셸로우 트렌치 소자분리(shallow trench isolation; STI) 공정을 통해 반도체 기판의(100) 표면 부위에 상기 소자 분리막을 형성한다.
상기 반도체 기판(100) 상에 터널 절연막(102), 전하 트랩핑 막(104), 블록킹 막(106), 제1 도전막(108) 및 장벽막(110)을 순차적으로 형성한다.
상기 터널 절연막(102)은 실리콘 산화물(SiO2)로 이루어질 수 있으며, 열 산화 공정을 통해 약 20 내지 80Å 정도의 두께로 형성될 수 있다. 예를 들면, 상기 터널 절연막(102)은 상기 반도체 기판(100) 상에 약 35Å 정도의 두께로 형성될 수 있다.
상기 전하 트랩핑 막(104)은 상기 반도체 기판(100)의 채널 영역으로부터 저자들을 트랩하기 위하여 형성된다. 상기 전하 트랩핑 막(104)은 실리콘 질화물로 형성될 수 있으며, 상기 터널 절연막 상에 약 20 내지 120Å 정도의 두께로 형성될 수 있다. 상기 실리콘 질화막은 실리콘 및 질소를 각각 포함하는 반응 가스들을 이용하는 저압 화학 기상 증착을 이용하여 약 70Å 정도의 두께로 형성될 수 있다.
본 발명의 다른 실시예에 따르면, 상기 전하 트랩핑 막(104)은 실리콘 질화물보다 높은 유전 상수를 갖는 고유전율 물질로 이루어질 수 있다. 예를 들면, 상기 전하 트랩핑 막(104)은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등으로 이루어질 수 있다. 특히, 상기 전하 트랩핑 막(104)은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 상기 고유전율 물질막은 원자층 증착, 화학 기상 증착 또는 물리 기상 증착을 이용하여 형성될 수 있다.
상기 전하 트랩핑 막(104) 상에 블록킹 막(106)을 형성한다. 상기 블록킹 막(106)은 상기 전하 트랩핑 막(104)과 상기 제1 도전막(108) 사이에서 전기적인 절연을 제공한다. 상기 블록킹 막(106)은 알루미늄 산화물(Al2O3)로 이루어질 수 있으며, 화학 기상 증착 또는 원자층 증착에 의해 형성될 수 있다. 예를 들면, 상기 블록킹 막(106)은 상기 전하 트랩핑 막(104) 상에 약 100 내지 400Å 정도의 두께로 형성될 수 있다. 특히, 상기 블록킹 막(106)은 상기 전하 트랩핑 막(104) 상에 약 200Å 정도의 두께로 형성될 수 있다.
본 발명의 다른 실시예에 따르면, 상기 블록킹 막(106)을 형성한 후 상기 블록킹 막(106)을 치밀화시키기 위한 열처리를 수행할 수 있다. 예를 들면, 상기 열처리 공정은 약 850 내지 1200℃ 정도의 온도로 수행될 수 있으며, N2, O2, NH3, N2O 등의 가스 분위기 또는 이들의 혼합 가스 분위기에서 수행될 수 있다. 예를 들면, 상기 추가 열처리 공정은 약 1080℃ 정도의 온도에서 약 120초 동안 수행될 수 있으며, 퍼니스(furnace)를 이용하여 수행될 있다.
본 발명의 또 다른 실시예에 따르면, 상기 블록킹 막(106)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물보다 높은 유전 상수를 갖는 고유전율 물질 등을 포함할 수 있다. 예를 들면, 상기 블록킹 막(106)은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물, 금속 실리콘 산질화물 등으로 이루어질 수 있다. 특히, 상기 블록킹 막(106)은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 등을 포함할 수 있다. 상기 고유전율 물질막은 원자층 증착, 화학 기상 증착 또는 물리 기상 증착을 이용하여 형성될 수 있다.
상기 블록킹 막(106) 상에 제1 도전막(108)을 약 100 내지 400Å 정도의 두께로 형성한다. 예를 들면, 상기 제1 도전막(108)은 화학 기상 증착, 원자층 증착, 물리 기상 증착 등을 이용하여 약 200Å 정도의 두께로 형성될 수 있다.
상기 제1 도전막(108)으로는 약 4eV 이상의 일함수를 갖는 물질로 이루어질 수 있다. 예를 들면, 상기 제1 도전막(108)은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi), 탄탈룸 실리사 이드(TaSi) 등을 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 상기 제1 도전막(108)의 일함수를 증가시키기 위한 후속 처리가 추가적으로 수행될 수 있다. 예를 들면, 상기 제1 도전막(108)을 형성한 후, 열처리, 플라즈마 처리 또는 이온 주입 공정 등이 추가적으로 수행될 수 있다. 상기 후속 처리는 상기 제1 도전막(108)을 이루는 물질 원소와 다른 물질 원소를 사용하여 수행될 수 있다. 특히, 상기 후속 처리는 2족 내지 8족 원소를 포함하는 가스를 이용하여 수행될 수 있다. 예를 들면, 상기 후속 처리는 N, O, F, Ne, He, P, S, Cl, Ar, As, Se, Br, Kr, Sb, Te, I 또는 Xe 원소를 포함하는 가스를 이용하여 수행될 수 있다.
상기 제1 도전막(108)을 형성한 후, 상기 제1 도전막(108)의 일함수 변화를 억제하기 위한 장벽막(110)을 상기 제1 도전막(108) 상에 형성한다. 상기 장벽막(110)은 후속하는 열처리에 의해 상기 제1 도전막(108)의 일함수가 변화되는 것을 억제하기 위하여 형성된다.
상기 제1 도전막(108)에 대한 상기 장벽막(110)의 두께 비는 약 0.3 내지 0.7 정도일 수 있다. 예를 들면, 상기 제1 도전막(108)이 약 200Å 정도로 형성되는 경우, 상기 장벽막(110)은 상기 제1 도전막(108) 상에 약 100Å 정도의 두께로 형성될 수 있다.
상기 장벽막(110)은 금속 실리콘 질화물을 포함할 수 있다. 예를 들면, 상기 장벽막은 티타늄 실리콘 질화물(TiSiN), 텅스텐 실리콘 질화물(WSiN), 탄탈룸 실리콘 질화물(TaSiN) 등을 포함할 수 있다.
상기 금속 실리콘 질화물은 상기 제1 도전막(108) 상에 금속 실리사이드 막을 형성한 후, 상기 금속 실리사이드 막을 질화시킴으로써 형성될 수 있다. 이와 다르게, 상기 제1 도전막(108) 상에 금속 질화물 막을 형성한 후, 실리콘을 포함하는 소스 가스를 이용하는 실리콘 도핑 공정을 통해 형성될 수도 있다.
도 2를 참조하면, 상기 장벽막(110) 상에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴은 본 발명의 기술 분야에서 널리 알려진 포토리소그래피 공정을 이용하여 형성될 수 있다.
상기 장벽막(110) 및 제1 도전막(108)을 패터닝하여 상기 블록킹 막(106) 상에 제1 도전막 패턴(114) 및 장벽막 패턴(116)을 포함하는 컨트롤 게이트 전극(112)을 형성한다. 예를 들면, 상기 포토레지스트 패턴을 식각 마스크로서 사용하는 이방성 식각 공정을 수행함으로써 상기 컨트롤 게이트 전극(112)을 형성할 수 있다.
상기 포토레지스트 패턴은 상기 컨트롤 게이트 전극()을 형성한 후, 애싱 및 스트립 공정을 통해 제거될 수 있다.
도 3을 참조하면, 상기 컨트롤 게이트 전극(112) 및 상기 블록킹 막(106) 상에 스페이서막(118)을 형성한다. 상기 스페이서막(118)은 실리콘 산화막(120) 및 실리콘 질화막(122)을 포함할 수 있다. 구체적으로, 상기 컨트롤 게이트 전극(112) 및 상기 블록킹 막(106) 상에 실리콘 산화막(120)을 형성한 후, 상기 실리콘 산화막(120) 상에 실리콘 질화막(122)을 형성한다. 상기 실리콘 산화막(120) 및 실리콘 질화막(122)은 화학 기상 증착 공정을 이용하여 각각 형성될 수 있다. 본 발명의 다른 실시예에 따르면, 상기 실리콘 질화막(122)은 상기 실리콘 산화막(120)을 형성한 후 인시튜 방식으로 형성될 수도 있다.
도 4를 참조하면, 상기 스페이서막(118)을 이방성 식각하여 상기 컨트롤 게이트 전극(112)의 측면들 상에 이중 스페이서(124)를 형성한다. 상기 이중 스페이서(124)는 실리콘 산화물 스페이서(126)와 실리콘 질화물 스페이서(128)를 포함한다.
상기 이중 스페이서(124)는 상기 컨트롤 게이트 전극(112)의 구조적 안정성을 향상시키기 위하여 형성된다.
본 발명의 다른 실시예에 따르면, 상기 컨트롤 게이트 전극(112)의 측면들 상에는 단일막 구조를 갖는 스페이서가 형성될 수도 있다. 이 경우, 상기 스페이서는 실리콘 산화물 또는 실리콘 질화물로 형성될 수 있다.
도 5를 참조하면, 상기 컨트롤 게이트 전극(112) 및 상기 이중 스페이서(124)를 식각 마스크로 사용하는 이방성 식각을 수행하여 상기 블록킹 막(106), 전하 트랩핑 막(104) 및 터널 절연막(102)으로부터 블록킹 막 패턴(130), 전하 트랩핑 막 패턴(132) 및 터널 절연막 패턴(134)을 형성한다.
결과적으로, 상기 반도체 기판(100)의 채널 영역(100a) 상에 상기 게이트 전극(112), 이중 스페이서(124), 블록킹 막 패턴(130), 전하 트랩핑 막 패턴(132) 및 터널 절연막 패턴(134)을 포함하는 게이트 구조물(136)이 형성된다.
상기 게이트 구조물(136)을 형성하는 동안 발생된 상기 반도체 기판(100) 및 상기 게이트 구조물(136)의 식각 손상을 치유하기 위한 재산화 공정을 수행한다.
상기 게이트 구조물(136)과 인접한 반도체 기판(100)의 표면 부위들에 소스/드레인 영역들(138a, 138b)을 형성함으로써 상기 반도체 기판 상에 불휘발성 메모리 장치(10)를 완성한다. 상기 소스/드레인 영역들(138a, 138b)은 상기 게이트 구조물(136)을 이온 주입 마스크로서 이용하는 이온 주입 공정 및 열처리 공정에 의해 형성될 수 있다.
상기 열처리 공정은 상기 이온 주입 공정에 의해 상기 반도체 기판(100)의 표면 부위들에 주입된 불순물들의 전기적인 활성화를 위하여 수행된다. 본 발명의 실시예에 따르면, 상기 열처리 공정을 수행하는 동안 상기 장벽막 패턴(116)에 의해 상기 제1 도전막 패턴(114) 내의 물질 이동이 차단될 수 있으며, 상기 제1 도전막 패턴(114)을 이루는 물질의 아웃 개싱(outgasing)을 억제할 수 있다. 따라서, 상기 제1 도전막 패턴(114)의 일함수 변화를 억제할 수 있다. 결과적으로, 상기 불휘발성 메모리 장치(100)에서 상기 블록킹 막 패턴(130)을 통한 전자들의 백 터널링을 감소시킬 수 있으며, 이로써 상기 불휘발성 메모리 장치(10)의 소거 시간을 감소시킬 수 있으며 데이터 유지 특성 및 신뢰성을 개선할 수 있다.
도 6을 참조하면, 상기 게이트 구조물(136) 및 상기 소스/드레인 영역들(138a, 138b) 상에 상기 게이트 전극(112)을 노출시키며 상기 반도체 기판(100)의 표면과 평행한 방향으로 연장하는 트렌치(미도시)를 갖는 절연막(140)을 형성한다. 이어서, 상기 트렌치 내에 워드 라인으로서 기능하는 제2 도전막 패턴(142)을 형성한다.
구체적으로, 상기 게이트 구조물(136) 및 상기 소스/드레인 영역들(138a, 138b) 상에 절연막(140)을 형성한다. 상기 절연막(140)은 실리콘 산화물로 이루어질 수 있으며 화학 기상 증착을 이용하여 형성될 수 있다.
상기 절연막(140) 상에 포토레지스트 패턴을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 이용하는 이방성 식각을 수행하여 상기 트렌치를 형성한다.
상기 트렌치를 충분히 매립하는 제2 도전막(미도시)을 상기 절연막(140) 및 상기 게이트 전극(112) 상에 형성한다. 상기 제2 도전막은 텅스텐으로 이루어질 수 있다. 본 발명의 다른 실시예에 따르면, 상기 제2 도전막은 불순물 도핑된 폴리실리콘 또는 금속 실리사이드로 이루어질 수 있다. 상기 금속 실리사이드로는 텅스텐 실리사이드, 코발트 실리사이드, 티타늄 실리사이드, 탄탈룸 실리사이드 등이 사용될 수 있다.
이어서, 상기 제2 도전막을 평탄화시킴으로써 상기 트렌치 내에 상기 제2 도전막 패턴(142)을 형성한다. 예를 들면, 상기 절연막(140)이 노출되도록 화학적 기계적 연마 공정을 수행함으로써 상기 제2 도전막 패턴(142)을 형성할 수 있다.
한편, 상기 제2 도전막이 불순물 도핑된 폴리실리콘으로 이루어지는 경우, 상기 제2 도전막 내의 불순물들을 전기적으로 활성화시키기 위한 열처리 공정이 추가적으로 수행될 수 있다. 이 경우에도, 상기 장벽막 패턴(116)에 의해 상기 제1 도전막 패턴(114) 내의 물질 이동이 방지될 수 있으므로 상기 게이트 전극(112)의 일함수 변화가 억제될 수 있다. 따라서, 상기 불휘발성 메모리 장치(10)의 소거 동작을 수행하는 동안 상기 블록킹 막 패턴(130)을 통한 전자들의 백 터널링을 감소시킬 수 있다.
상기한 바에 의하면, 상기 워드 라인으로 기능하는 제2 도전막 패턴(142)이 상기 게이트 구조물(136)을 형성한 후 형성되고 있으나, 상기 게이트 전극(112) 및 워드 라인(142)은 상기 블록킹 막(116) 상에 제1 도전막, 장벽막 및 제2 도전막을 순차적으로 형성한 후, 상기 제1 도전막, 장벽막 및 제2 도전막을 패터닝함으로써 형성될 수도 있다.
상기 본 발명의 실시예에 따라 제조된 불휘발성 메모리 장치(10)는 싱글 레벨 셀(single level cell; SLC) 또는 멀티 레벨 셀(multi level cell; MLC)로서 사용될 수 있으며, F-N 터널링 또는 채널 열전자 주입을 이용하여 전기적으로 데이터의 프로그래밍 및 소거를 수행할 수 있다.
상기 불휘발성 메모리 장치(10)가 싱글 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(132)에는 1비트의 정보가 저장될 수 있다. 예를 들면, 상기 전하 트랩핑 막 패턴(132)에는 ‘0’ 또는 ‘1’의 로직 상태가 저장될 수 있다.
구체적으로, 상기 컨트롤 게이트 전극(112)에 약 5 내지 18V 정도의 프로그래밍 전압이 인가되면, 상기 반도체 기판(100)의 채널 영역(100a)으로부터 전자들이 F-N 터널링에 의해 상기 전하 트랩핑 막 패턴(132)의 트랩 사이트들에 트랩된다. 이에 따라, 상기 전하 트랩핑 막 패턴(132)에는 ‘1’의 로직 상태가 저장된다. 즉, 상기 전하 트랩핑 막 패턴(132)에 저장된 로직 상태에 따라 상기 채널 영역(100a)에서의 문턱 전압이 변화되며, 상기 로직 상태는 상기 컨트롤 게이트 전극(112)과 드레인 영역(138b)에 서로 다른 읽기 전압들을 각각 인가하여 상기 채널 영역(100a)에서의 전류를 검출함으로써 판단될 수 있다.
상기 불휘발성 메모리 장치(10)가 멀티 레벨 셀로서 사용되는 경우, 상기 전하 트랩핑 막 패턴(132)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.
구체적으로, 상기 불휘발성 메모리 장치(10)는 상기 전하 트랩핑 막 패턴(132) 내에 트랩되는 전자들의 수에 따라 다른 문턱 전압들을 가질 수 있으며, 상기 문턱 전압들에 따라 상기 불휘발성 메모리 장치(10)에는 ‘00’, ‘01’, ‘10’ 또는 ‘11’의 로직 상태가 저장될 수 있다.
한편, 상기 전하 트랩핑 막 패턴(132)에는 채널 열전자 주입에 의해 전자들이 트랩될 수도 있다. 구체적으로, 상기 컨트롤 게이트 전극(112) 및 상기 드레인 영역(138b)에 프로그래밍 전압들이 인가되고, 상기 소스 영역(138a)이 접지되는 경우, 전자들은 상기 소스 영역(138a)으로부터 상기 드레인 영역(138b)을 향하여 상기 채널 영역(100a)을 통해 이동한다. 이때, 상기 전자들 중 일부는 상기 터널 절연막 패턴(134)의 전위 장벽(potential barrier)을 뛰어넘기에 충분한 에너지를 얻게되며, 상기 전하 트랩핑 막 패턴(132)의 트랩 사이트들에 트랩될 수 있다. 결과적으로, 상기 불휘발성 메모리 장치(10)의 문턱 전압이 상승되며, 이에 따라 상기 불휘발성 메모리 장치(10)에는 1 비트의 정보가 저장될 수 있다.
상기 불휘발성 메모리 장치(10)의 소거 동작은 상기 게이트 전극(112)에 약 -15 내지 -20V 정도의 소거 전압을 인가함으로써 수행될 수 있다. 상기 소거 전압이 게이트 전극(112)에 인가될 경우, 상기 전하 트랩핑 막 패턴(132) 내에 트랩된 전자들은 상기 터널 절연막 패턴(134)을 통해 상기 채널 영역(100a)으로 이동하며, 이로써 상기 불휘발성 메모리 장치(10)의 데이터가 소거될 수 있다.
도 7은 절연막 상에 형성된 도전막의 플랫 밴드 전압(Flat Band Voltage)을 나타내는 그래프이다.
절연막이 형성된 반도체 기판 상에 티타늄 질화막을 약 200Å 정도의 두께로 형성하였으며, 상기 티타늄 질화막의 플랫 밴드 전압을 측정하였다.
도 7을 참조하면, 상기 티타늄 질화막의 플랫 밴드 전압은 약 -0.25V 정도로 측정되었다. 그러나, 상기 티타늄 질화막을 약 1000℃의 온도로 열처리한 이후, 상기 티타늄 절연막의 플랫 밴드 전압은 약 -0.31V 정도로 감소되었다. 한편, 플랫 밴드 전압은 일함수와 비례하므로, 상기 티타늄 질화막의 일함수는 열처리에 의해 감소됨을 알 수 있다.
한편, 본 발명의 일 실시예에 따라, 절연막이 형성된 반도체 기판 상에 티타늄 질화막을 약 200Å 정도의 두께로 형성하고, 상기 티타늄 질화막 상에 탄탈룸 실리콘 질화막을 약 100Å 정도의 두께로 형성하였다. 이어서, 상기 티타늄 질화막 및 탄탈룸 실리콘 질화막을 약 1000℃ 정도의 온도로 열처리하였다.
도 7을 참조하면, 상기 티타늄 질화막 및 탄탈륨 실리콘 질화막의 플랫 밴드 전압은 약 -0.18V 정도로 측정되었다.
또한, 절연막이 형성된 반도체 기판 상에 탄탈룸 실리사이드 막을 약 200Å 정도의 두께로 형성하였으며, 상기 탄탈룸 실리사이드 막의 플랫 밴드 전압을 측정하였다.
도 7을 참조하면, 상기 탄탈룸 실리사이드 막의 플랫 밴드 전압은 약 -0.54V 정도로 측정되었다. 그러나, 상기 탄탈룸 실리사이드 막을 약 1000℃의 온도로 열처리한 이후, 상기 탄탈룸 실리사이드 막의 플랫 밴드 전압은 약 -0.38V 정도로 증가되었다.
한편, 본 발명의 일 실시예에 따라, 절연막이 형성된 반도체 기판 상에 탄탈룸 실리사이드 막을 약 200Å 정도의 두께로 형성하고, 상기 탄탈룸 실리사이드 막 상에 탄탈륨 실리콘 질화막을 약 100Å 정도의 두께로 형성하였다. 이어서, 상기 탄탈룸 실리사이드 막 및 탄탈륨 실리콘 질화막을 약 1000℃ 정도의 온도로 열처리하였다.
도 7을 참조하면, 상기 탄탈룸 실리사이드 막 및 탄탈륨 실리콘 질화막의 플랫 밴드 전압은 약 -0.52V 정도로 측정되었다.
상기와 같은 본 발명의 실시예들에 따르면, 상기 불휘발성 메모리 장치의 게이트 전극은 약 4eV 이상의 일함수를 갖는 물질로 이루어진 제1 도전막 패턴 및 상기 제1 도전막 패턴의 일함수 변화를 억제하기 위한 장벽막을 포함한다. 따라서, 상기 블록킹 막 패턴을 통한 전자들의 백 터널링이 감소될 수 있으며, 이에 따라 상기 불휘발성 메모리 장치의 소거 시간이 감소될 있다. 또한, 상기 불휘발성 메모리 장치의 데이터 유지 특성 및 신뢰도가 향상될 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (33)

  1. 기판의 채널 영역 상에 형성된 터널 절연막 패턴;
    상기 채널 영역으로부터 전자들을 트랩핑하기 위하여 상기 터널 절연막 패턴 상에 형성된 전하 트랩핑 막 패턴;
    상기 전하 트랩핑 막 패턴 상에 형성된 블록킹 막 패턴; 및
    상기 블록킹 막 패턴 상에 형성되며 금속을 포함하는 도전막 패턴과 상기 도전막 패턴 상에 형성되며 상기 도전막 패턴의 일함수 변화를 억제하기 위한 장벽막 패턴을 포함하는 게이트 전극을 포함하는 불휘발성 메모리 장치.
  2. 제1항에 있어서, 상기 도전막 패턴은 4eV 이상의 일함수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  3. 제1항에 있어서, 상기 도전막 패턴은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi) 및 탄탈룸 실리 사이드(TaSi)로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  4. 제1항에 있어서, 상기 장벽막 패턴은 금속 실리콘 질화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  5. 제4항에 있어서, 상기 장벽막 패턴은 티타늄 실리콘 질화물, 텅스텐 실리콘 질화물 및 탄탈룸 실리콘 질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  6. 제1항에 있어서, 상기 장벽막 패턴은 상기 도전막 패턴보다 얇은 두께를 갖는 것을 특징으로 하는 불휘발성 메모리 장치.
  7. 제6항에 있어서, 상기 도전막 패턴에 대한 상기 장벽막 패턴의 두께 비는 0.3 내지 0.7인 것을 특징으로 하는 불휘발성 메모리 장치.
  8. 제1항에 있어서, 상기 전하 트랩핑 막 패턴은 실리콘 질화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  9. 제1항에 있어서, 상기 전하 트랩핑 막 패턴은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  10. 제9항에 있어서, 상기 전하 트랩핑 막 패턴은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물 및 금속 실리콘 산질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  11. 제10항에 있어서, 상기 전하 트랩핑 막 패턴은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  12. 제1항에 있어서, 상기 블록킹 막 패턴은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  13. 제12항에 있어서, 상기 블록킹 막 패턴은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물 및 금속 실리콘 산질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  14. 제13항에 있어서, 상기 블록킹 막 패턴은 하프늄(Hf), 지르코늄(Zr), 탄탈 룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  15. 제1항에 있어서, 상기 게이트 전극의 측면들 상에 형성된 스페이서를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  16. 제1항에 있어서, 상기 게이트 전극 상에 형성된 워드 라인을 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치.
  17. 기판의 채널 영역 상에 터널 절연막을 형성하는 단계;
    상기 채널 영역으로부터 전자들을 트랩핑하기 위하여 상기 터널 절연막 상에 전하 트랩핑 막을 형성하는 단계;
    상기 전하 트랩핑 막 상에 블록킹 막을 형성하는 단계;
    상기 블록킹 막 상에 금속을 포함하는 도전막을 형성하는 단계;
    상기 도전막 상에 상기 도전막의 일함수 변화를 억제하기 위한 장벽막을 형성하는 단계; 및
    상기 장벽막, 도전막, 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 상기 기판의 채널 영역 상에 게이트 구조물을 형성하는 단계를 포함하는 불휘 발성 메모리 장치의 제조 방법.
  18. 제17항에 있어서, 상기 도전막은 4eV 이상의 일함수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  19. 제17항에 있어서, 상기 도전막은 티타늄(Ti), 티타늄 질화물(TiN), 탄탈룸(Ta), 탄탈룸 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄(Hf), 니오븀(Nb), 몰리브덴(Mo), 몰리브덴 질화물(Mo2N), 일산화루테늄(RuO), 이산화루테늄(RuO2), 이리듐(Ir), 이리듐 산화물(IrO2), 백금(Pt), 코발트(Co), 크롬(Cr), 티타늄알루미나이드(Ti3Al), 티타늄 알루미늄 질화물(Ti2AlN), 팔라듐(Pd), 텅스텐 실리사이드(WSi), 니켈 실리사이드(NiSi), 코발트 실리사이드(CoSi) 및 탄탈룸 실리사이드(TaSi)로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  20. 제17항에 있어서, 상기 장벽막은 금속 실리콘 질화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  21. 제20항에 있어서, 상기 장벽막은 티타늄 실리콘 질화물, 텅스텐 실리콘 질화물 및 탄탈룸 실리콘 질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  22. 제17항에 있어서, 상기 장벽막은 상기 도전막보다 얇은 두께로 형성되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  23. 제22항에 있어서, 상기 도전막에 대한 상기 장벽막의 두께 비는 0.3 내지 0.7인 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  24. 제17항에 있어서, 상기 전하 트랩핑 막은 실리콘 질화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  25. 제17항에 있어서, 상기 전하 트랩핑 막은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  26. 제25항에 있어서, 상기 전하 트랩핑 막은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물 및 금속 실리콘 산질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  27. 제26항에 있어서, 상기 전하 트랩핑 막은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로 퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  28. 제17항에 있어서, 상기 블록킹 막은 실리콘 질화물보다 높은 유전 상수를 갖는 물질을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  29. 제28항에 있어서, 상기 블록킹 막은 금속 산화물, 금속 산질화물, 금속 실리콘 산화물 및 금속 실리콘 산질화물로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  30. 제29항에 있어서, 상기 블록킹 막은 하프늄(Hf), 지르코늄(Zr), 탄탈룸(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)으로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  31. 제17항에 있어서, 상기 게이트 구조물을 형성하는 단계는,
    상기 장벽막 및 도전막을 패터닝하여 게이트 전극을 형성하는 단계; 및
    상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막을 패터닝하여 블록킹 막 패 턴, 전하 트랩핑 막 패턴 및 터널 절연막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  32. 제31항에 있어서, 상기 게이트 전극의 측면들 상에 스페이서를 형성하는 단계를 더 포함하며, 상기 블록킹 막, 전하 트랩핑 막 및 터널 절연막은 상기 스페이서를 식각 마스크로 사용하는 식각 공정을 통해 패터닝되는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
  33. 제31항에 있어서, 상기 게이트 전극 상에 워드 라인을 형성하는 단계를 더 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 제조 방법.
KR1020060087259A 2006-09-11 2006-09-11 불휘발성 메모리 장치 및 이의 제조 방법 KR100759845B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060087259A KR100759845B1 (ko) 2006-09-11 2006-09-11 불휘발성 메모리 장치 및 이의 제조 방법
US11/896,834 US7696563B2 (en) 2006-09-11 2007-09-06 Non-volatile memory device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060087259A KR100759845B1 (ko) 2006-09-11 2006-09-11 불휘발성 메모리 장치 및 이의 제조 방법

Publications (1)

Publication Number Publication Date
KR100759845B1 true KR100759845B1 (ko) 2007-09-18

Family

ID=38738215

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060087259A KR100759845B1 (ko) 2006-09-11 2006-09-11 불휘발성 메모리 장치 및 이의 제조 방법

Country Status (2)

Country Link
US (1) US7696563B2 (ko)
KR (1) KR100759845B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050453B1 (ko) * 2008-09-05 2011-07-19 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조방법
WO2012092496A2 (en) * 2010-12-30 2012-07-05 Spansion Llc Memory with extended charge trapping layer
US8546870B2 (en) 2008-11-28 2013-10-01 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with conductive patterns of different widths and methods of fabricating such devices

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030081622A (ko) * 2002-04-12 2003-10-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
KR20030090368A (ko) * 2002-05-23 2003-11-28 삼성전자주식회사 소노스 게이트 구조를 갖는 낸드형 비휘발성 메모리 소자및 그 제조방법
KR20050092880A (ko) * 2004-03-17 2005-09-23 삼성전자주식회사 소노스 타입 메모리 소자
KR20060031358A (ko) * 2004-10-08 2006-04-12 삼성전자주식회사 다층의 터널링 장벽층을 포함하는 비휘발성 메모리 소자및 그 제조 방법
KR20060052126A (ko) * 2004-10-08 2006-05-19 삼성전자주식회사 비휘발성 반도체 메모리 소자

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6011289A (en) 1998-09-16 2000-01-04 Advanced Micro Devices, Inc. Metal oxide stack for flash memory application
US6774442B2 (en) * 2000-07-21 2004-08-10 Renesas Technology Corp. Semiconductor device and CMOS transistor
JP2002190535A (ja) * 2000-12-21 2002-07-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP4275395B2 (ja) * 2002-12-11 2009-06-10 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100578131B1 (ko) 2003-10-28 2006-05-10 삼성전자주식회사 비휘발성 기억 소자 및 그 형성 방법
KR100665186B1 (ko) 2004-08-14 2007-01-09 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US7208793B2 (en) * 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030081622A (ko) * 2002-04-12 2003-10-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
KR20030090368A (ko) * 2002-05-23 2003-11-28 삼성전자주식회사 소노스 게이트 구조를 갖는 낸드형 비휘발성 메모리 소자및 그 제조방법
KR20050092880A (ko) * 2004-03-17 2005-09-23 삼성전자주식회사 소노스 타입 메모리 소자
KR20060031358A (ko) * 2004-10-08 2006-04-12 삼성전자주식회사 다층의 터널링 장벽층을 포함하는 비휘발성 메모리 소자및 그 제조 방법
KR20060052126A (ko) * 2004-10-08 2006-05-19 삼성전자주식회사 비휘발성 반도체 메모리 소자

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050453B1 (ko) * 2008-09-05 2011-07-19 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조방법
US8546870B2 (en) 2008-11-28 2013-10-01 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with conductive patterns of different widths and methods of fabricating such devices
KR101493047B1 (ko) 2008-11-28 2015-02-13 삼성전자주식회사 반도체소자 및 그 제조방법
WO2012092496A2 (en) * 2010-12-30 2012-07-05 Spansion Llc Memory with extended charge trapping layer
WO2012092496A3 (en) * 2010-12-30 2013-01-17 Spansion Llc Memory with extended charge trapping layer
US8441063B2 (en) 2010-12-30 2013-05-14 Spansion Llc Memory with extended charge trapping layer

Also Published As

Publication number Publication date
US20080067581A1 (en) 2008-03-20
US7696563B2 (en) 2010-04-13

Similar Documents

Publication Publication Date Title
US8530954B2 (en) Non-volatile memory devices including first and second blocking layer patterns
TWI453899B (zh) MOS type semiconductor memory device
KR100807227B1 (ko) 불휘발성 메모리 장치 및 이의 제조 방법
US7585729B2 (en) Method of manufacturing a non-volatile memory device
EP1487013A2 (en) SONOS memory device and method of manufacturing the same
US7635633B2 (en) Non-volatile memory device and method of manufacturing the same
US8044454B2 (en) Non-volatile memory device
US20090206393A1 (en) Nonvolatile memory element and method of manufacturing the same
KR100770700B1 (ko) 불휘발성 메모리 장치 및 그 제조 방법
US8114735B2 (en) Method of manufacturing a non-volatile memory device
KR100823715B1 (ko) 불휘발성 메모리 장치의 제조 방법
KR100759845B1 (ko) 불휘발성 메모리 장치 및 이의 제조 방법
KR100807220B1 (ko) 불휘발성 메모리 장치의 제조 방법
US8455344B2 (en) Method of manufacturing non-volatile memory device
KR100807228B1 (ko) 불휘발성 메모리 장치의 제조 방법
KR100814374B1 (ko) 불휘발성 메모리 장치의 제조 방법
KR20080074406A (ko) 불휘발성 메모리 장치의 제조 방법
KR100763535B1 (ko) 불휘발성 메모리 장치의 제조 방법
KR20060133677A (ko) 불 휘발성 메모리 셀의 제조방법
KR20080084188A (ko) 비휘발성 메모리 및 그의 소자의 제조 방법
KR20090010604A (ko) 불휘발성 메모리 장치의 제조 방법
KR20090095397A (ko) 전하트랩층을 갖는 불휘발성 메모리소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150831

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180831

Year of fee payment: 12