JPH09237698A - 誘導結合プラズマ・リアクタとその方法 - Google Patents

誘導結合プラズマ・リアクタとその方法

Info

Publication number
JPH09237698A
JPH09237698A JP9049888A JP4988897A JPH09237698A JP H09237698 A JPH09237698 A JP H09237698A JP 9049888 A JP9049888 A JP 9049888A JP 4988897 A JP4988897 A JP 4988897A JP H09237698 A JPH09237698 A JP H09237698A
Authority
JP
Japan
Prior art keywords
plasma
generation region
reactor
plasma generation
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9049888A
Other languages
English (en)
Other versions
JP3959145B2 (ja
Inventor
Michael J Hartig
マイケル・ジェイ・ハーティング
John C Arnold
ジョン・シー・アーノルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24424805&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH09237698(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH09237698A publication Critical patent/JPH09237698A/ja
Application granted granted Critical
Publication of JP3959145B2 publication Critical patent/JP3959145B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 誘導結合高周波プラズマ・リアクタと半導体
ウェハ28の処理方法とを提供する。 【解決手段】 誘導結合高周波プラズマ・リアクタ10
は、処理ガスが各チャネルに独立して供給される複数の
チャネル38,44を有するプラズマ源16を備える。
ガス供給システム20は、それぞれがプラズマ源16内
の複数のチャネル38,44に個別に流量とガス組成と
を供給することのできる複数のガス供給ライン34,3
5,36を備える。各チャネルは、個別に給電されるRF
コイル54,56により囲まれて、プラズマ源16の各
チャネル38,44内でプラズマ密度を可変することが
できるようになっている。動作中は、半導体ウェハ28
の上にある材料層66は、半導体ウェハ28全体の各位
置64でプラズマ特性を局所的に空間制御することによ
り、均一にエッチングまたは付着される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般にプラズマ処理技
術に関し、さらに詳しくは、誘導結合高周波プラズマ・
システムと、関連のエッチングおよび付着方法とに関す
る。
【0002】
【従来の技術および発明が解決しようとする課題】半導
体装置技術が複雑になるにつれて、ますます多くの装置
機能がますます小型化する装置形状内に組み込まれる。
装置製造者は、高精度装置超大規模集積(ULSI)装置の
製造に対する需要を満足するために高度な処理装置を必
要とする。しかし、処理のコストは、処理装置が複雑化
するにつれ増大し、装置の購入と維持に多くの費用がか
かるようになる。製造コストの増大に対応するために、
製造業者は集積回路装置が形成される半導体基板の寸法
を大きくする。基板寸法を大きくすることにより、単位
あたりの製造コストを下げることができる。今日では、
8インチ以上の直径を有する半導体ウェハが最先端技術
の製造設備では一般的である。ウェハ径を大きくするこ
とにより、製造業者は、1つの基板上に多数の装置を製
造することができるようになったが、径の大きな半導体
ウェハに用いられる製造工程の均一性を制御するという
難しい問題が起きている。
【0003】プラズマ・エッチング工程においては、多
くの要因が半導体ウェハの表面上に付着された材料層の
エッチングの均一性に影響を与える可能性がある。これ
らの要因には、プラズマ均一性,ウェハ表面におけるイ
オン・フラックスの均一性,エッチング・システムに対
する反応ガスの供給およびウェハ表面全体の反応生成物
の除去などがある。従来のプラズマ・エッチング・リア
クタは、主に、プラズマを生成するための1つの電源
と、処理ガスを導入するための1つの注入点とを有して
設計される。システムの電源とガス供給を1つに制限す
ることにより、径の大きなウェハ全体で処理のエッチン
グ速度の均一性を最適にするためのエッチング・システ
ムの能力は非常に小さくなる。たとえば、半導体ウェハ
の表面全体でエッチング工程を空間的に可変するための
方法は実質的に存在しない。さらに、プラズマ・エッチ
ング・システムには、部品配置の固定された処理チャン
バが装備されるのが普通である。チャンバの設計は、半
導体製造によく用いられる特定の薄膜材料のエッチング
特性に影響を与えることがあるので、チャンバの設定に
よっては、エッチング・システムが1種類あるいはわず
か数種類の材料しかエッチングできないことになる。
【0004】きわめて小さいフィーチャを有する半導体
装置をエッチングするために、電子サイクロトロン共鳴
(ECR: electron-cyclotron-resonance )エッチングお
よび誘導結合高周波プラズマ(ICP: inductively-coupl
ed-plasma )などの先進エッチング技術が開発された。
これらのシステムは、ダイオード・システムが高密度プ
ラズマを発生することができる圧力よりはるかに低い圧
力で動作する。ECR およびICP エッチング・システムな
どのシステムはまた、半導体基板を高い電界にさらさな
いことにより、従来のダイオード・エッチング・システ
ムに比べても利点がある。基板をリアクタのプラズマ発
生素子から分離することにより、イオン輸送効率とイオ
ンの異方性を強化して、より多くの処理制御を行うこと
ができる。
【0005】プラズマ付着技術においては、ウェハ径が
大きくなるにつれて均一性に関する同様の制約が存在す
る。よりよい付着均一性は、きわめて低い動作圧力で得
られるのが普通である。しかし、低圧では、均一の厚み
を有する大径基板上に薄膜層を付着するために高密度プ
ラズマが必要とされる。
【0006】現在のところ、大径の半導体基板に均一に
エッチングおよび付着するためにプラズマを空間的に可
変させる手段は、プラズマ・エッチング・システムで
も、プラズマ付着システムでも不可能である。従って、
大径の半導体ウェハ上に均一に材料層をエッチングする
ためには、リアクタ設計とエッチング処理技術の更なる
開発が必要である。
【0007】
【課題を解決するための手段】本発明を実行するにあた
り、誘導結合高周波プラズマ・リアクタと、誘導結合高
周波プラズマ・リアクタを用いて材料層をエッチングま
たは付着(deposit) するための方法とが提供される。本
発明のプラズマ・リアクタは、反応チャンバ内に搭載さ
れた同軸多重コイル・プラズマ源を備える。プラズマ源
は、半導体ウェハをその上に収納および支持するよう設
定されたチャックと距離を隔てて配置される。プラズマ
源は、それぞれが独立して制御されるガス源を有する複
数のチャネルと、チャネルを囲んで独立して制御される
RFコイルとを備える。
【0008】動作中は、半導体ウェハがチャック上に置
かれ、ガス制御システムが起動されてリアクタにプラズ
マ形成ガスを充填する。RF電力が独立RFコイルに印加さ
れ、チャンバ内でプラズマが加熱される。各チャネルを
囲むコイル内のRF電力と周波数と、プラズマ源内の各チ
ャネルから出るガスの流量およびガス組成とを調整する
ことによりエッチングの均一性を制御しながら材料層が
エッチングされる。同様に、プラズマ密度と組成とを空
間的に制御しながら、材料層が基板上に付着される。プ
ラズマ密度と組成を、半導体ウェハ表面の半径距離に応
じて独立して制御することにより、高精度で均一なエッ
チングおよび付着を行うことができる。
【0009】
【実施例】本発明は、プラズマ・リアクタ内でプラズマ
の密度と組成とを空間的に可変することのできる誘導結
合高周波プラズマ・リアクタに関する。プラズマ密度お
よび組成を空間的に変化させるには、可変数の凹設チャ
ネルを有する同軸多重コイル誘導プラズマ源を設ける。
各チャネルは、独立して給電されるRFコイルにより囲ま
れて、処理ガス・オリフィスを有する。処理ガスの流量
と組成とがプラズマ源内の各チャネルで個別に可変する
ことができるようにガス制御機構を設ける。本発明は、
材料層を付着またはエッチングする方法も企図する。エ
ッチング過程においては、半導体ウェハがプラズマ反応
チャンバ内に装着されたチャック上に置かれる。チャッ
クは、プラズマ源とは距離を隔てて装着され、半導体ウ
ェハの中心がプラズマ源内の中央チャネルと対向するよ
うにする。半導体ウェハをプラズマ源のチャネル構造に
相対して配置することにより、プラズマ源により生成さ
れるプラズマの密度と組成が変動するために、半導体ウ
ェハ全体のエッチング速度が局部的に制御される。その
ため、半導体ウェハの上にある材料層のエッチング速度
を、半導体ウェハの直径全体にわたり個別に可変するこ
とができる。
【0010】付着過程においては、半導体ウェハをチャ
ック上に置き、材料層を半導体ウェハ上に付着する。プ
ラズマ源との位置的な相対関係のために、半導体ウェハ
の直径全体にわたってプラズマ密度と組成とを可変する
ことにより、均一な厚みを持つ材料層を付着させること
ができる。
【0011】RF電力の密度および周波数の局所的制御と
共に、処理ガスの流量および組成の局所的制御を通じ
て、本発明の誘導結合高周波プラズマ・リアクタによ
り、エッチング過程中に処理パラメータ制御の度合を高
めることができる。さらに、本発明のリアクタと方法
は、大径の基板上にある材料層のエッチング速度または
厚みを高精度に制御する手段を提供する。従って、本発
明により行われる局部的なプラズマ密度の制御を通じ
て、大径の半導体ウェハを均一に処理することができ
る。
【0012】図1には、ICP リアクタ10を図示する。
誘導結合高周波プラズマ・リアクタ10は、チャック1
4を収納する処理チャンバ12を備える。プラズマ源1
6は、処理チャンバ12の上部に、チャック14と対向
位置に存在する。処理チャンバ12には、RF電源システ
ム18からRF電力が供給される。後述されるように、RF
電源システム18には、それぞれが個別の電力レベルと
周波数とで動作することのできる複数の独立したRF発電
器が含まれる。処理チャンバ12には、ガス供給システ
ム20からの処理ガスも供給される。後述されるよう
に、ガス供給システム20は、複数の独立したガス供給
ライン内に、処理チャンバ12に処理ガスを供給するこ
とができる。処理チャンバ12内の真空は、真空システ
ム22により制御される。反応生成物および処理ガス
は、真空パネル24を通じて処理チャンバ12から回収
される。真空パネル24は、好適な配置では、処理チャ
ンバ12内のチャック14下方にあり、真空ライン26
に結合される。その他の処理チャンバの設計も可能であ
ること、また異なる真空ポート構造も可能であること
は、当業者には理解頂けよう。また、チャック14の温
度制御は冷却システム(図示せず)により行うことがで
きる。液体または気体の冷媒を、チャック14内に埋め
込まれた冷却チャネルを通じて運ぶことができる。
【0013】動作中は、半導体ウェハ28がチャック1
4上に置かれ、処理ガスがガス供給システム20から処
理チャンバ12内に導入される。真空システム22によ
り、所望の真空圧が処理チャンバ12内で得られ、RF電
源システム18からRF電力が印加されてプラズマ30を
加熱する。プラズマ・エッチングの場合には、半導体ウ
ェハ28に対するプラズマ30内の電離種の衝突エネル
ギは、RFバイアス電源32からチャック14に対してRF
バイアスをかけることによりさらに制御される。
【0014】図1に示されるように、プラズマ源16は
多数のチャネルを含み、各チャネルには個別のガス供給
ライン34,35,36によりガスが供給される。図2
は、プラズマ源16の一部分を分解断面図に示したもの
である。ガス供給ライン36は、内部ガス・プレナム4
0を通じて中央のチャネル38に供給する。ガス・オリ
フィス42は、中央のチャネル38と内部ガス・プレナ
ム40との導通を行う。同様に、ガス供給ライン35
は、外部ガス・プレナム46を通じて、第1チャネル4
4に処理ガスを供給する。
【0015】処理ガスは、図3の上面図に示される、円
形のプレナム・キャップ40を通じて中央チャネル38
と第1チャネル44とに分配される。プレナム・キャッ
プ50は、中央チャネル38にガスを分配する内部ガス
・プレナム40を収納する。それに対応して、プレナム
・キャップ52は、外部ガス・プレナム46にガスを分
配する。ガス供給ライン36は、その中央部で、プレナ
ム・キャップ50に付着される。ガス供給ライン35
は、図3に示されるように数多くの部位でプレナム・キ
ャップ52に取り付けることができる。同様に、ガス・
オリフィス43は、第1チャネル44の円形の形状周囲
の多くの位置に設けられる。
【0016】図2および図3に示されるように、第1チ
ャネル44は、中央チャネル38と同心円上にある。本
発明のある実施例においては、プラズマ源16内の別の
チャネルも、中央チャネル38および第1チャネル44
と同心円上に配置される。たとえば、図1に図示される
最も外側のチャネルは、第1チャネル44と同心円上に
ある。連続的に同心円上に配置することにより、プラズ
マ30の所望の度合の空間的制御に応じて、多くのチャ
ネルをプラズマ源16内に構成することができる。
【0017】図2に示されるように、中央のRFコイル5
4は中央チャネル38を囲む。さらに第1RFコイル56
が第1チャネル44を囲む。中央RFコイル54も第1RF
コイル56も、RF電源システム18により個別に制御さ
れる。各RFコイルは、個別の電力レベルとRF周波数と
を、囲まれたチャネル内の処理ガスに供給することがで
きる。RFコイル54,56は、各チャネル内の処理ガス
とは、誘電性ハウジング58により隔てられる。RFコイ
ル内を伝わる電流が、処理ガス種に誘導結合し、各チャ
ネル内のプラズマを加熱する。各RFコイルに個別に給電
し、各チャネルに個別に処理ガスを供給することによ
り、プラズマ源16内の各チャネル内で、プラズマ密度
と組成を個別に調整することができることを当業者は認
識されよう。プラズマ源16の同心円チャネル設計によ
り、プラズマの密度と組成を局所的に可変することので
きるかなりの度合の制御を行うことができるが、本発明
により設計されるICP リアクタのその他の実施例を図4
および図5に示す。半導体ウェハ28に加えられるプラ
ズマ条件は、プラズマ源16の部分と半導体ウェハ28
の表面との間の隔離距離を可変することにより、さらに
制御することができる。図4に示すように、中央チャネ
ル38は、半導体ウェハ28に近接するが、第1チャネ
ル44は半導体ウェハ28から縦方向に隔てられる。
【0018】図5に代替の構造を示す。本発明のこの実
施例においては、中央チャネル38は第1チャネル44
よりも長く、半導体ウェハ28から縦方向に隔てられ
る。プラズマ源16の部品とエッチングされている半導
体ウェハとの間の縦方向の隔離距離を可変することによ
り、さらに制御がおこなわれ、半導体ウェハの表面全体
でプラズマ条件を可変する。さらに、プラズマ条件の可
変と、チャック14に印加されるRFバイアスの度合の可
変とを組み合わせると、半導体ウェハ28に対するイオ
ン衝突をはるかに高精度に制御することができる。
【0019】本発明のさらに別の実施例においては、プ
ラズマ源16内の各コイルの外側にRFシールドを配置す
る。図5に示されるように、中央のRFシールド60は、
中央RFコイル54を囲み、第1RFシールド62は第1RF
コイル56を囲む。RFシールド60,62により、プラ
ズマ源16内で個別に給電されるコイル間のRF干渉が最
小限に抑えられる。RFシールドは、アルミニウムなどの
導電性材料から構築するか、あるいはフェライト材料な
どの高透磁性強磁性材料から構築することができる。
【0020】構築材料を適切に選択することにより、RF
シールド60,62は、磁界をシールドが囲むRFコイル
の直近領域にとどめることにより、各チャネル内の磁界
を強化することができる。図5の特定のICP リアクタの
実施例においてはシールド60,62が図示されるが、
シールド60,62は、本発明により企図される任意の
プラズマ源構造内に同様に組み込むことができることを
当業者には理解頂けよう。
【0021】半導体基板上の材料層のエッチングに適用
される本発明のICP リアクタの工程制御機能について説
明する。図6には、半導体ウェハ28の一般的描写の上
面図が示される。半導体ウェハ28は、半径「R 」と周
縁「P 」を特徴とする全体に円形の幾何学形状を有す
る。半導体ウェハ28は、半導体ウェハ28の表面上に
配置され、半径距離により特定される複数の位置64に
よりさらに特徴化することができる。半径距離は、ゼロ
から周縁P の半径距離まで可変する。
【0022】図7は、半導体ウェハ28の一部分の断面
図である。材料層66が、半導体ウェハ28の表面上を
覆う。本発明の方法は、集積回路装置の製造に通常用い
られる多くの異なる種類の材料の除去を企図する。たと
えば、材料層66は、多結晶シリコンなどの半導体材料
または屈折金属ケイ化物などとすることができる。ま
た、材料層66は、アルミニウム,シリコンとのアルミ
ニウム合金,シリコンおよび銅とのアルミニウム合金,
元素銅などの導電性材料とすることができる。さらに、
材料層66は、二酸化シリコン,窒化シリコン,酸窒化
シリコン,酸窒化ホウ素などの誘電性材料でもよい。
【0023】本発明を実現するにあたり、材料層66が
半導体材料の場合は、塩素,塩化水素,塩素化含ハロゲ
ン炭素化合物,フッ素およびフッ化化合物,クロロフル
オロカーボン,臭素,臭化水素,ヨウ素,ヨウ化水素な
どのハロゲンおよびハロゲン化処理ガスと、それらの混
合物とを材料のエッチングに用いることができる。ま
た、材料層66が誘電性材料の場合は、フッ素,フッ化
水素,フッ素化含ハロゲン炭素化合物などとそれらの混
合物とを用いることができる。材料層66が導電性材料
の場合は、処理ガスとしては、フッ素化化合物並びに塩
素および塩素化臭素化合物がある。
【0024】材料層66のエッチングを実行するため
に、半導体ウェハ28は、図6および図7で「C 」と示
される中心点が、プラズマ源16内の中央チャネル38
とほぼ縦方向に整合するようにICP リアクタ10のチャ
ック14上に配置される。半導体ウェハ28がプラズマ
源16の同心円チャネルと位置的に整合されると、半導
体基板28全体の位置64における局所的なエッチング
速度は、プラズマ源16により生成される空間的に可変
するプラズマ条件により個別に制御することができる。
この方法で、材料層66のエッチング速度の半径方向の
制御が行われ、周縁部P に近い材料層66は、中心点C
における材料層66の部分と、半導体ウェハ28全体の
各所64における材料層66の部分と同時にエッチング
される。
【0025】プラズマ付着の場合には、材料層66など
の材料層が半導体ウェハ28上に付着される。付着する
ためには、処理ガスをガス供給システム20から処理チ
ャンバ12内に導入して、これによりプラズマ誘導反応
が起こり、半導体ウェハ28上に薄膜層を形成する。た
とえば、多結晶シリコンなどの半導体材料を付着しよう
とする場合は、シランなどのシリコン含有ガスまたはジ
クロロシランなどのハロゲン化シランを導入する。二酸
化シリコンまたは窒化シリコンなどの誘電性材料を付着
しようとする場合は、テトラエチルオルトシラン(TEO
S),ハロゲン化シランおよびアンモニアなどの処理ガ
スを導入することができる。さらに、屈折金属または屈
折金属ケイ化物材料などは、屈折金属含有ガスを導入す
ることにより付着することができる。
【0026】上記の説明はICP リアクタ10において材
料層をエッチングまたは付着するために本発明により利
用することのできる多くの異なる種類の処理ガスの代表
的なものに過ぎないことを当業者には理解頂けよう。本
発明は、ICP リアクタ内で形成することのできる任意
の、すべての材料の付着およびエッチングを企図するも
のである。
【0027】材料層66の付着を実行するには、半導体
ウェハ28は、図6および図7で「C 」と示される中心
点が、プラズマ源16内の中央チャネル38とほぼ縦方
向に整合するようにICP リアクタ10のチャック14上
に配置される。半導体ウェハ28がプラズマ源16の同
心円チャネルと位置的に整合されると、半導体基板28
全体の位置64における局所的な付着速度は、プラズマ
源16により生成される空間的に可変するプラズマ条件
により個別に制御することができる。この方法で、材料
層66の付着速度の半径方向の制御が行われ、周縁部P
に近い材料層66は、中心点C における材料層66の部
分と、半導体ウェハ28全体の各所64における材料層
66の部分と同時に形成される。
【0028】当業者は、あまり苦労せずに本発明を実行
することができ、本発明の動作上の利点を充分に認識す
ることができると思われる。従って、以下の例は本発明
を単に説明するに過ぎず、いかなる意味でも本発明を制
限するものではない。 例I 半導体基板28は、まず化学蒸着工程を経て、その上に
材料層66を付着させる。半導体基板28は、次にICP
リアクタ10内のチャック14上に置かれる。処理ガス
は、エッチングされる材料層の組成に応じて選択され
る。たとえば、材料層66が多結晶シリコンの場合は、
塩素などのハロゲン・ガスおよび塩化水素および臭化水
素などの水素化ハロゲンガスが、不活性ガス希釈液と共
に導入される。ガス供給システム20からの総ガス流量
は40ないし200sccmの値に調整され、真空システム
22は、処理チャンバ12内で約1ないし10ミリトー
ルの処理圧力を得るように調整される。次にRF電源シス
テム18から、プラズマ源16内のRFコイル54,56
にRF電力が印加される。好ましくは、約100ないし5
000ワットのRFがRFコイル54,56に印加される。
さらに、約0ないし5000ワットのRFがRFバイアス電
源32からチャック14に印加される。その後、材料層
のプラズマ・エッチングが実行されて完了する。 例II 半導体基板28が、ICP リアクタ10内のチャック14
上に置かれる。処理ガスは、付着される材料層の組成に
応じて選択される。たとえば、材料層66がエピタキシ
ャル・シリコンの場合は、水素およびシランが、約3:
1の流量比で処理チャンバ12内に導入される。ガス供
給システム20からの総ガス流量は約40sccmの値に調
整され、真空システム22は、処理チャンバ12内で約
1ないし25ミリトールの処理圧力を得るように調整さ
れる。次にRF電源システム18から、プラズマ源16内
のRFコイル54,56にRF電力が印加される。好ましく
は、約500ないし1500ワットのRFが、約13.5
6MHz の周波数でRFコイル54,56に印加される。さ
らに、チャック14を摂氏約400ないし700度の温
度に維持しながら、約0ないし−60ボルトの直流をチ
ャック14に印加する。その後、材料層のプラズマ付着
が実行されて完了する。以上、本発明により上記の利点
を完全に満足する誘導結合高周波プラズマ・リアクタお
よび材料層のエッチング方法が提供されたことは明らか
である。本発明は、特定の図例を参照して説明および図
示されたが、本発明をこれらの図例に制限する意図はな
い。本発明の精神から逸脱することなく変形および改良
が可能であることは当業者には認識頂けよう。たとえ
ば、本発明は、ゲート電極,電気接触,電気相互接続部
などの種々の装置構造を作成する目的であらかじめ規定
されたリソグラフィック・パターンを有する材料層のエ
ッチングを企図する。さらに、本発明は、半導体装置内
に薄膜層を形成するために用いられる広範囲の材料の付
着またはエッチングを行うために、多くの異なる種類の
化学薬品を用いる。従って、本発明は、添付の請求項お
よびその等価物の範囲に入るこれらすべての変形および
修正を包含するものである。
【図面の簡単な説明】
【図1】本発明のある実施例により配置された誘導結合
高周波プラズマ・リアクタの概略図である。
【図2】本発明により配置されたプラズマ源の一部分の
断面図である。
【図3】本発明のプラズマ源に処理ガスを送るのに適し
たガス・プレナムの上面図である。
【図4】図1に図示された誘導結合高周波プラズマ・リ
アクタに用いられるプラズマ源の代替の実施例の断面図
である。
【図5】図1に図示される誘導結合高周波プラズマ・リ
アクタで用いられるのに適したプラズマ源のさらに別の
実施例の断面図である。
【図6】半導体ウェハの一般的描写の上面図である。
【図7】本発明の誘導結合高周波プラズマ・リアクタ内
でエッチングされる上部材料層を有する半導体ウェハの
一部分の断面図である。説明を簡素に明瞭にするため
に、図面内に示される要素は、必ずしも同尺で描かれて
いるわけではないことを理解頂きたい。たとえば、いく
つかの要素の寸法は、互いに誇張されている。さらに、
適切と思われる場合には、対応する要素を示すために図
面内で参照番号が反復して用いられる。
【符号の説明】
10 誘導結合高周波プラズマ・リアクタ 12 処理チャンバ 14 チャック 16 プラズマ源 18 RF電源システム 20 ガス供給システム 22 真空システム 24 真空パネル 26 真空ライン 28 半導体ウェハ 30 プラズマ 32 RFバイアス電源 34,35,36 ガス供給ライン

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置を作成する方法であって:第
    1プラズマ発生領域と第2プラズマ発生領域とを有する
    プラズマ・リアクタ(10)であって、前記第1プラズ
    マ発生領域は、前記第2プラズマ発生領域が前記第1プ
    ラズマ発生領域の周囲を囲む周縁部を有するプラズマ・
    リアクタ(10)を準備する段階;前記プラズマ・リア
    クタ(10)内に半導体基板(28)を配置する段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域
    とを用いて前記プラズマ・リアクタ(10)内にプラズ
    マ(30)を生成する段階;および前記プラズマ(3
    0)を用いて前記プラズマ・リアクタ(10)内で前記
    半導体基板(28)を処理する段階;によって構成され
    ることを特徴とする方法。
  2. 【請求項2】 半導体装置を作成する方法であって:第
    1プラズマ発生領域と第2プラズマ発生領域とを有する
    プラズマ・リアクタ(10)であって、前記第1プラズ
    マ発生領域と前記第2プラズマ発生領域とが同心円上に
    あり、前記第1プラズマ発生領域が第1電源により給電
    され、前記第2プラズマ発生領域が第2電源により給電
    されるプラズマ・リアクタ(10)を準備する段階;前
    記プラズマ・リアクタ(10)内に半導体基板(28)
    を配置する段階;前記第1プラズマ発生領域と前記第2
    プラズマ発生領域とを用いて前記プラズマ・リアクタ
    (10)内にプラズマ(30)を生成する段階;および
    前記プラズマ(30)を用いて前記プラズマ・リアクタ
    (10)内で前記半導体基板(28)を処理する段階;
    によって構成されることを特徴とする方法。
  3. 【請求項3】 半導体装置を作成する方法であって:第
    1プラズマ発生領域と第2プラズマ発生領域とを有する
    プラズマ・リアクタ(10)であって、前記第1プラズ
    マ発生領域と前記第2プラズマ発生領域とが同心円上に
    あり、前記第1プラズマ発生領域が第1電源により給電
    され、前記第2プラズマ発生領域が第2電源により給電
    されるプラズマ・リアクタ(10)を準備する段階;前
    記プラズマ・リアクタ(10)内に、半導体基板上に材
    料層を有する半導体基板(28)を配置する段階;前記
    第1プラズマ発生領域と前記第2プラズマ発生領域とを
    用いて前記プラズマ・リアクタ(10)内にプラズマ
    (30)を生成する段階;および前記プラズマ(30)
    を用いて前記材料層をエッチングする段階;によって構
    成されることを特徴とする方法。
  4. 【請求項4】 半導体装置を作成する方法であって:第
    1プラズマ発生領域と第2プラズマ発生領域とを有する
    プラズマ・リアクタ(10)であって、前記第1プラズ
    マ発生領域と前記第2プラズマ発生領域とが同心円上に
    あり、前記第1プラズマ発生領域が第1電源により給電
    され、前記第2プラズマ発生領域が第2電源により給電
    されるプラズマ・リアクタ(10)を準備する段階;前
    記プラズマ・リアクタ(10)内に、半導体基板(2
    8)を配置する段階;前記第1プラズマ発生領域と前記
    第2プラズマ発生領域とを用いて前記プラズマ・リアク
    タ(10)内にプラズマ(30)を生成する段階;およ
    び前記プラズマ(30)を用いて前記半導体基板(2
    8)上に材料層を付着する段階;によって構成されるこ
    とを特徴とする方法。
  5. 【請求項5】 半導体装置を作成する方法であって:第
    1プラズマ発生領域と第2プラズマ発生領域とを有する
    誘導結合プラズマ・リアクタ(10)であって、前記第
    1プラズマ発生領域と前記第2プラズマ発生領域とが同
    心円上にあり、前記第1プラズマ発生領域が第1電源に
    より給電され、前記第2プラズマ発生領域が第2電源に
    より給電され、前記第1プラズマ発生領域が第1ガス流
    量および第1ガス組成によって構成される第1ガス供給
    部を有し、前記第2プラズマ発生領域が第2ガス流量お
    よび第2ガス組成によって構成される第2ガス供給を有
    する誘導結合プラズマ・リアクタ(10)を準備する段
    階;前記誘導結合プラズマ・リアクタ(10)内に半導
    体基板(28)を配置する段階;前記第1プラズマ発生
    領域と前記第2プラズマ発生領域とを用いて前記誘導結
    合プラズマ・リアクタ(10)内にプラズマ(30)を
    生成する段階;および前記プラズマ(30)を用いて前
    記誘導結合プラズマ・リアクタ(10)内で前記半導体
    基板(28)を処理する段階;によって構成されること
    を特徴とする方法。
JP04988897A 1996-02-22 1997-02-18 誘導結合プラズマ・リアクタとその方法 Expired - Lifetime JP3959145B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US605697 1996-02-22
US08/605,697 US5683548A (en) 1996-02-22 1996-02-22 Inductively coupled plasma reactor and process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006339461A Division JP4654176B2 (ja) 1996-02-22 2006-12-18 誘導結合プラズマ・リアクタ

Publications (2)

Publication Number Publication Date
JPH09237698A true JPH09237698A (ja) 1997-09-09
JP3959145B2 JP3959145B2 (ja) 2007-08-15

Family

ID=24424805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04988897A Expired - Lifetime JP3959145B2 (ja) 1996-02-22 1997-02-18 誘導結合プラズマ・リアクタとその方法

Country Status (8)

Country Link
US (1) US5683548A (ja)
EP (1) EP0792947B1 (ja)
JP (1) JP3959145B2 (ja)
KR (1) KR100386388B1 (ja)
DE (1) DE69734619T2 (ja)
MX (1) MX9700586A (ja)
SG (1) SG63686A1 (ja)
TW (1) TW373226B (ja)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092598A (ja) * 1996-05-13 1998-04-10 Applied Materials Inc 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
JPH10233297A (ja) * 1996-09-27 1998-09-02 Surface Technol Syst Ltd プラズマ処理装置
JPH10284291A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd プラズマ処理装置及び処理方法
JPH1187092A (ja) * 1997-09-01 1999-03-30 F O I:Kk プラズマ発生装置
JPH11149995A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
JPH11251091A (ja) * 1998-03-02 1999-09-17 Foi:Kk プラズマ発生装置
JPH11251090A (ja) * 1998-03-02 1999-09-17 Foi:Kk プラズマ発生装置
JPH11340146A (ja) * 1998-05-25 1999-12-10 Hitachi Ltd プラズマ処理装置
JP2000058296A (ja) * 1998-08-06 2000-02-25 Foi:Kk プラズマ処理装置
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
JP2001516944A (ja) * 1997-09-16 2001-10-02 ラム リサーチ コーポレーション 導電性セグメントを周辺部分に追加したコイルを有する真空プラズマ・プロセッサ
JP2002252214A (ja) * 2001-02-26 2002-09-06 Foi:Kk プラズマ処理装置
US6475334B1 (en) 1999-07-06 2002-11-05 Nec Corporation Dry etching device and dry etching method
JP2003243378A (ja) * 2001-11-13 2003-08-29 Tokyo Electron Ltd 解離及びイオン化の空間的制御のためのプラズマ処理装置
JP2004502318A (ja) * 2000-06-30 2004-01-22 ラム リサーチ コーポレーション 切換式均一性制御
JP2004146838A (ja) * 2000-10-03 2004-05-20 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2004537839A (ja) * 2001-07-30 2004-12-16 プラズマート カンパニー リミテッド 誘導結合型プラズマ発生装置のアンテナ構造
JP2006332075A (ja) * 2006-08-18 2006-12-07 Foi:Kk プラズマ発生装置
JP2006352123A (ja) * 2005-06-17 2006-12-28 Samsung Electronics Co Ltd 多チャネルプラズマ加速装置
JP2007081208A (ja) * 2005-09-15 2007-03-29 Hitachi High-Technologies Corp プラズマ処理方法及び処理装置
WO2008099896A1 (ja) * 2007-02-16 2008-08-21 Foi Corporation 誘導コイル、プラズマ発生装置およびプラズマ発生方法
JP2010519409A (ja) * 2007-02-15 2010-06-03 アプライド マテリアルズ インコーポレイテッド 平坦及び3次元のpecvd被覆において局所的分圧を制御するための局所的直線マイクロ波ソースアレイポンピング
JP2010519408A (ja) * 2007-02-15 2010-06-03 アプライド マテリアルズ インコーポレイテッド 化学気相堆積プロセスを制御するシステム及び方法
JP2017183269A (ja) * 2016-03-29 2017-10-05 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法、電子デバイスの製造方法
JP2021093363A (ja) * 2015-09-28 2021-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ点源のアレイによってワークピースを処理するためのプラズマリアクタ
JP2022542819A (ja) * 2019-07-17 2022-10-07 ユ-ジーン テクノロジー カンパニー.リミテッド プラズマ処理装置

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JP4654176B2 (ja) * 1996-02-22 2011-03-16 住友精密工業株式会社 誘導結合プラズマ・リアクタ
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
GB2311299B (en) * 1996-03-18 2000-03-29 Hyundai Electronics Ind Inductively coupled plasma chemical vapor deposition technology
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
DE19643865C2 (de) * 1996-10-30 1999-04-08 Schott Glas Plasmaunterstütztes chemisches Abscheidungsverfahren (CVD) mit entfernter Anregung eines Anregungsgases (Remote-Plasma-CVD-Verfahren) zur Beschichtung oder zur Behandlung großflächiger Substrate und Vorrichtung zur Durchführung desselben
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6218251B1 (en) 1998-11-06 2001-04-17 Advanced Micro Devices, Inc. Asymmetrical IGFET devices with spacers formed by HDP techniques
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6348389B1 (en) * 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6165567A (en) * 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
DE19960092A1 (de) * 1999-12-14 2001-07-12 Bosch Gmbh Robert Beschichtungsverfahren
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP5184730B2 (ja) * 2000-03-01 2013-04-17 東京エレクトロン株式会社 プラズマの均一性を電気的に制御可能なプラズマ発生装置
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
JP2002008996A (ja) 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) * 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US6893971B2 (en) * 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
KR100481311B1 (ko) * 2002-09-19 2005-04-07 최대규 플라즈마 프로세스 챔버
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
KR100469890B1 (ko) * 2002-10-24 2005-02-02 주식회사 아이피에스 건식식각용 반도체 제조장치
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
KR100532365B1 (ko) * 2003-04-10 2005-11-30 주식회사 아이피에스 균일한 플라즈마를 제공하는 유도 결합형 다중 코일플라즈마 소스
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050255245A1 (en) * 2004-01-13 2005-11-17 Fanton Mark A Method and apparatus for the chemical vapor deposition of materials
KR100661350B1 (ko) * 2004-12-27 2006-12-27 삼성전자주식회사 Mems 소자 패키지 및 그 제조방법
JP4961111B2 (ja) * 2005-02-28 2012-06-27 富士フイルム株式会社 光電変換膜積層型固体撮像素子とその製造方法
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP2008091750A (ja) * 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc アッシング装置
US20080236491A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
GB0713821D0 (en) 2007-07-17 2007-08-29 P2I Ltd A plasma deposition apparatus
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5262206B2 (ja) * 2008-03-12 2013-08-14 豊田合成株式会社 Iii族窒化物半導体層の製造方法及びiii族窒化物半導体発光素子の製造方法
US7994724B2 (en) * 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
WO2013036619A2 (en) * 2011-09-07 2013-03-14 Applied Materials, Inc. Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
DE102012017453A1 (de) 2012-09-04 2014-03-06 Manz Ag Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats
CN103906338B (zh) * 2012-12-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
KR101526507B1 (ko) * 2013-11-15 2015-06-09 피에스케이 주식회사 기판 처리 장치 및 방법
KR102015381B1 (ko) * 2017-03-29 2019-08-29 세메스 주식회사 플라즈마 발생 유닛 및 이를 포함하는 기판 처리 장치
KR101932859B1 (ko) * 2017-10-16 2019-03-20 성균관대학교산학협력단 플라즈마 소스 및 이를 이용한 플라즈마 발생장치
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
JP7169885B2 (ja) * 2019-01-10 2022-11-11 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US11150120B2 (en) * 2019-09-22 2021-10-19 Applied Materials, Inc. Low temperature thermal flow ratio controller
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59129772A (ja) * 1983-01-18 1984-07-26 Ushio Inc 光化学蒸着装置
DE3830249A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092598A (ja) * 1996-05-13 1998-04-10 Applied Materials Inc 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
JPH10233297A (ja) * 1996-09-27 1998-09-02 Surface Technol Syst Ltd プラズマ処理装置
JPH10284291A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd プラズマ処理装置及び処理方法
JPH1187092A (ja) * 1997-09-01 1999-03-30 F O I:Kk プラズマ発生装置
JP2001516944A (ja) * 1997-09-16 2001-10-02 ラム リサーチ コーポレーション 導電性セグメントを周辺部分に追加したコイルを有する真空プラズマ・プロセッサ
JPH11149995A (ja) * 1997-11-14 1999-06-02 Foi:Kk プラズマ処理装置
JPH11251091A (ja) * 1998-03-02 1999-09-17 Foi:Kk プラズマ発生装置
JPH11251090A (ja) * 1998-03-02 1999-09-17 Foi:Kk プラズマ発生装置
JPH11340146A (ja) * 1998-05-25 1999-12-10 Hitachi Ltd プラズマ処理装置
JP2000058296A (ja) * 1998-08-06 2000-02-25 Foi:Kk プラズマ処理装置
JP2000091320A (ja) * 1998-09-10 2000-03-31 Foi:Kk プラズマ処理装置
US6475334B1 (en) 1999-07-06 2002-11-05 Nec Corporation Dry etching device and dry etching method
JP2012169629A (ja) * 2000-06-30 2012-09-06 Lam Research Corporation 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法
JP2004502318A (ja) * 2000-06-30 2004-01-22 ラム リサーチ コーポレーション 切換式均一性制御
JP2004146838A (ja) * 2000-10-03 2004-05-20 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2002252214A (ja) * 2001-02-26 2002-09-06 Foi:Kk プラズマ処理装置
JP2004537839A (ja) * 2001-07-30 2004-12-16 プラズマート カンパニー リミテッド 誘導結合型プラズマ発生装置のアンテナ構造
JP2003243378A (ja) * 2001-11-13 2003-08-29 Tokyo Electron Ltd 解離及びイオン化の空間的制御のためのプラズマ処理装置
JP2006352123A (ja) * 2005-06-17 2006-12-28 Samsung Electronics Co Ltd 多チャネルプラズマ加速装置
US8163652B2 (en) 2005-09-15 2012-04-24 Hitachi High-Technologies Corporation Plasma processing method and plasma processing device
JP2007081208A (ja) * 2005-09-15 2007-03-29 Hitachi High-Technologies Corp プラズマ処理方法及び処理装置
JP4593413B2 (ja) * 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
JP2006332075A (ja) * 2006-08-18 2006-12-07 Foi:Kk プラズマ発生装置
JP2010519409A (ja) * 2007-02-15 2010-06-03 アプライド マテリアルズ インコーポレイテッド 平坦及び3次元のpecvd被覆において局所的分圧を制御するための局所的直線マイクロ波ソースアレイポンピング
JP2010519408A (ja) * 2007-02-15 2010-06-03 アプライド マテリアルズ インコーポレイテッド 化学気相堆積プロセスを制御するシステム及び方法
WO2008099896A1 (ja) * 2007-02-16 2008-08-21 Foi Corporation 誘導コイル、プラズマ発生装置およびプラズマ発生方法
JP4932857B2 (ja) * 2007-02-16 2012-05-16 ラム リサーチ コーポレーション 誘導コイル、プラズマ発生装置およびプラズマ発生方法
US8247977B2 (en) 2007-02-16 2012-08-21 Lam Research Corporation Induction coil, a plasma generator and a plasma generating method
JPWO2008099896A1 (ja) * 2007-02-16 2010-05-27 株式会社エフオーアイ 誘導コイル、プラズマ発生装置およびプラズマ発生方法
JP2021093363A (ja) * 2015-09-28 2021-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ点源のアレイによってワークピースを処理するためのプラズマリアクタ
JP2017183269A (ja) * 2016-03-29 2017-10-05 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法、電子デバイスの製造方法
JP2022542819A (ja) * 2019-07-17 2022-10-07 ユ-ジーン テクノロジー カンパニー.リミテッド プラズマ処理装置

Also Published As

Publication number Publication date
KR970063563A (ko) 1997-09-12
TW373226B (en) 1999-11-01
EP0792947B1 (en) 2005-11-16
SG63686A1 (en) 1999-03-30
KR100386388B1 (ko) 2003-08-14
DE69734619D1 (de) 2005-12-22
EP0792947A2 (en) 1997-09-03
JP3959145B2 (ja) 2007-08-15
MX9700586A (es) 1997-08-30
US5683548A (en) 1997-11-04
EP0792947A3 (en) 1999-04-14
DE69734619T2 (de) 2006-06-08

Similar Documents

Publication Publication Date Title
JP3959145B2 (ja) 誘導結合プラズマ・リアクタとその方法
MXPA97000586A (es) Reactor de plasma acoplado inductivamente yproceso para fabricar un dispositivo semiconductor
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP5043288B2 (ja) 調整可能なマルチゾーンガス噴射システム
CN102978586B (zh) 成膜装置和成膜方法
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US8383002B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
JP5492557B2 (ja) 半導体基板を均一にエッチングするためのガス噴射
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
WO2009158192A2 (en) Rf power delivery system in a semiconductor apparatus
EP1230665A1 (en) Plasma processing system with dynamic gas distribution control
JP2016029696A (ja) 被処理体を処理する方法
US20010037770A1 (en) Plasma processing apparatus and processing method
EP1515362B1 (en) Plasma processing system, plasma processing method, plasma film deposition system, and plasma film deposition method
TW202131371A (zh) 蝕刻裝置及方法
US20040163595A1 (en) Plasma processing apparatus
JP5250611B2 (ja) 誘導結合プラズマ・リアクタ
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP3138899B2 (ja) プラズマ処理装置
KR20210033442A (ko) 에칭 방법 및 에칭 장치
US20220084845A1 (en) High conductance process kit

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040422

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040727

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20050127

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20041217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050802

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051109

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070514

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100518

Year of fee payment: 3

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D03

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100518

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130518

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term