JP3959145B2 - 誘導結合プラズマ・リアクタとその方法 - Google Patents

誘導結合プラズマ・リアクタとその方法 Download PDF

Info

Publication number
JP3959145B2
JP3959145B2 JP04988897A JP4988897A JP3959145B2 JP 3959145 B2 JP3959145 B2 JP 3959145B2 JP 04988897 A JP04988897 A JP 04988897A JP 4988897 A JP4988897 A JP 4988897A JP 3959145 B2 JP3959145 B2 JP 3959145B2
Authority
JP
Japan
Prior art keywords
plasma
generation region
plasma generation
coil
solenoid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP04988897A
Other languages
English (en)
Other versions
JPH09237698A (ja
Inventor
マイケル・ジェイ・ハーティング
ジョン・シー・アーノルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24424805&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3959145(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JPH09237698A publication Critical patent/JPH09237698A/ja
Application granted granted Critical
Publication of JP3959145B2 publication Critical patent/JP3959145B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

【0001】
【産業上の利用分野】
本発明は、一般にプラズマ処理技術に関し、さらに詳しくは、誘導結合高周波プラズマ・システムと、関連のエッチングおよび付着方法とに関する。
【0002】
【従来の技術および発明が解決しようとする課題】
半導体装置技術が複雑になるにつれて、ますます多くの装置機能がますます小型化する装置形状内に組み込まれる。装置製造者は、高精度装置超大規模集積(ULSI)装置の製造に対する需要を満足するために高度な処理装置を必要とする。しかし、処理のコストは、処理装置が複雑化するにつれ増大し、装置の購入と維持に多くの費用がかかるようになる。製造コストの増大に対応するために、製造業者は集積回路装置が形成される半導体基板の寸法を大きくする。基板寸法を大きくすることにより、単位あたりの製造コストを下げることができる。今日では、8インチ以上の直径を有する半導体ウェハが最先端技術の製造設備では一般的である。ウェハ径を大きくすることにより、製造業者は、1つの基板上に多数の装置を製造することができるようになったが、径の大きな半導体ウェハに用いられる製造工程の均一性を制御するという難しい問題が起きている。
【0003】
プラズマ・エッチング工程においては、多くの要因が半導体ウェハの表面上に付着された材料層のエッチングの均一性に影響を与える可能性がある。これらの要因には、プラズマ均一性,ウェハ表面におけるイオン・フラックスの均一性,エッチング・システムに対する反応ガスの供給およびウェハ表面全体の反応生成物の除去などがある。従来のプラズマ・エッチング・リアクタは、主に、プラズマを生成するための1つの電源と、処理ガスを導入するための1つの注入点とを有して設計される。システムの電源とガス供給を1つに制限することにより、径の大きなウェハ全体で処理のエッチング速度の均一性を最適にするためのエッチング・システムの能力は非常に小さくなる。たとえば、半導体ウェハの表面全体でエッチング工程を空間的に可変するための方法は実質的に存在しない。さらに、プラズマ・エッチング・システムには、部品配置の固定された処理チャンバが装備されるのが普通である。チャンバの設計は、半導体製造によく用いられる特定の薄膜材料のエッチング特性に影響を与えることがあるので、チャンバの設定によっては、エッチング・システムが1種類あるいはわずか数種類の材料しかエッチングできないことになる。
【0004】
きわめて小さいフィーチャを有する半導体装置をエッチングするために、電子サイクロトロン共鳴(ECR: electron-cyclotron-resonance )エッチングおよび誘導結合高周波プラズマ(ICP: inductively-coupled-plasma )などの先進エッチング技術が開発された。これらのシステムは、ダイオード・システムが高密度プラズマを発生することができる圧力よりはるかに低い圧力で動作する。ECR およびICP エッチング・システムなどのシステムはまた、半導体基板を高い電界にさらさないことにより、従来のダイオード・エッチング・システムに比べても利点がある。基板をリアクタのプラズマ発生素子から分離することにより、イオン輸送効率とイオンの異方性を強化して、より多くの処理制御を行うことができる。
【0005】
プラズマ付着技術においては、ウェハ径が大きくなるにつれて均一性に関する同様の制約が存在する。よりよい付着均一性は、きわめて低い動作圧力で得られるのが普通である。しかし、低圧では、均一の厚みを有する大径基板上に薄膜層を付着するために高密度プラズマが必要とされる。
【0006】
現在のところ、大径の半導体基板に均一にエッチングおよび付着するためにプラズマを空間的に可変させる手段は、プラズマ・エッチング・システムでも、プラズマ付着システムでも不可能である。従って、大径の半導体ウェハ上に均一に材料層をエッチングするためには、リアクタ設計とエッチング処理技術の更なる開発が必要である。
【0007】
【課題を解決するための手段】
本発明を実行するにあたり、誘導結合高周波プラズマ・リアクタと、誘導結合高周波プラズマ・リアクタを用いて材料層をエッチングまたは付着(deposit) するための方法とが提供される。本発明のプラズマ・リアクタは、反応チャンバ内に搭載された同軸多重コイル・プラズマ源を備える。プラズマ源は、半導体ウェハをその上に収納および支持するよう設定されたチャックと距離を隔てて配置される。プラズマ源は、それぞれが独立して制御されるガス源を有する複数のチャネルと、チャネルを囲んで独立して制御されるRFコイルとを備える。
【0008】
動作中は、半導体ウェハがチャック上に置かれ、ガス制御システムが起動されてリアクタにプラズマ形成ガスを充填する。RF電力が独立RFコイルに印加され、チャンバ内でプラズマが加熱される。各チャネルを囲むコイル内のRF電力と周波数と、プラズマ源内の各チャネルから出るガスの流量およびガス組成とを調整することによりエッチングの均一性を制御しながら材料層がエッチングされる。同様に、プラズマ密度と組成とを空間的に制御しながら、材料層が基板上に付着される。プラズマ密度と組成を、半導体ウェハ表面の半径距離に応じて独立して制御することにより、高精度で均一なエッチングおよび付着を行うことができる。
【0009】
【実施例】
本発明は、プラズマ・リアクタ内でプラズマの密度と組成とを空間的に可変することのできる誘導結合高周波プラズマ・リアクタに関する。プラズマ密度および組成を空間的に変化させるには、可変数の凹設チャネルを有する同軸多重コイル誘導プラズマ源を設ける。各チャネルは、独立して給電されるRFコイルにより囲まれて、処理ガス・オリフィスを有する。処理ガスの流量と組成とがプラズマ源内の各チャネルで個別に可変することができるようにガス制御機構を設ける。本発明は、材料層を付着またはエッチングする方法も企図する。エッチング過程においては、半導体ウェハがプラズマ反応チャンバ内に装着されたチャック上に置かれる。チャックは、プラズマ源とは距離を隔てて装着され、半導体ウェハの中心がプラズマ源内の中央チャネルと対向するようにする。半導体ウェハをプラズマ源のチャネル構造に相対して配置することにより、プラズマ源により生成されるプラズマの密度と組成が変動するために、半導体ウェハ全体のエッチング速度が局部的に制御される。そのため、半導体ウェハの上にある材料層のエッチング速度を、半導体ウェハの直径全体にわたり個別に可変することができる。
【0010】
付着過程においては、半導体ウェハをチャック上に置き、材料層を半導体ウェハ上に付着する。プラズマ源との位置的な相対関係のために、半導体ウェハの直径全体にわたってプラズマ密度と組成とを可変することにより、均一な厚みを持つ材料層を付着させることができる。
【0011】
RF電力の密度および周波数の局所的制御と共に、処理ガスの流量および組成の局所的制御を通じて、本発明の誘導結合高周波プラズマ・リアクタにより、エッチング過程中に処理パラメータ制御の度合を高めることができる。さらに、本発明のリアクタと方法は、大径の基板上にある材料層のエッチング速度または厚みを高精度に制御する手段を提供する。従って、本発明により行われる局部的なプラズマ密度の制御を通じて、大径の半導体ウェハを均一に処理することができる。
【0012】
図1には、ICP リアクタ10を図示する。誘導結合高周波プラズマ・リアクタ10は、チャック14を収納する処理チャンバ12を備える。プラズマ源16は、処理チャンバ12の上部に、チャック14と対向位置に存在する。処理チャンバ12には、RF電源システム18からRF電力が供給される。後述されるように、RF電源システム18には、それぞれが個別の電力レベルと周波数とで動作することのできる複数の独立したRF発電器が含まれる。処理チャンバ12には、ガス供給システム20からの処理ガスも供給される。後述されるように、ガス供給システム20は、複数の独立したガス供給ライン内に、処理チャンバ12に処理ガスを供給することができる。処理チャンバ12内の真空は、真空システム22により制御される。反応生成物および処理ガスは、真空パネル24を通じて処理チャンバ12から回収される。真空パネル24は、好適な配置では、処理チャンバ12内のチャック14下方にあり、真空ライン26に結合される。その他の処理チャンバの設計も可能であること、また異なる真空ポート構造も可能であることは、当業者には理解頂けよう。また、チャック14の温度制御は冷却システム(図示せず)により行うことができる。液体または気体の冷媒を、チャック14内に埋め込まれた冷却チャネルを通じて運ぶことができる。
【0013】
動作中は、半導体ウェハ28がチャック14上に置かれ、処理ガスがガス供給システム20から処理チャンバ12内に導入される。真空システム22により、所望の真空圧が処理チャンバ12内で得られ、RF電源システム18からRF電力が印加されてプラズマ30を加熱する。プラズマ・エッチングの場合には、半導体ウェハ28に対するプラズマ30内の電離種の衝突エネルギは、RFバイアス電源32からチャック14に対してRFバイアスをかけることによりさらに制御される。
【0014】
図1に示されるように、プラズマ源16は多数のチャネルを含み、各チャネルには個別のガス供給ライン34,35,36によりガスが供給される。図2は、プラズマ源16の一部分を分解断面図に示したものである。ガス供給ライン36は、内部ガス・プレナム40を通じて中央のチャネル38に供給する。ガス・オリフィス42は、中央のチャネル38と内部ガス・プレナム40との導通を行う。同様に、ガス供給ライン35は、外部ガス・プレナム46を通じて、第1チャネル44に処理ガスを供給する。
【0015】
処理ガスは、図3の上面図に示される、円形のプレナム・キャップ40を通じて中央チャネル38と第1チャネル44とに分配される。プレナム・キャップ50は、中央チャネル38にガスを分配する内部ガス・プレナム40を収納する。それに対応して、プレナム・キャップ52は、外部ガス・プレナム46にガスを分配する。ガス供給ライン36は、その中央部で、プレナム・キャップ50に付着される。ガス供給ライン35は、図3に示されるように数多くの部位でプレナム・キャップ52に取り付けることができる。同様に、ガス・オリフィス43は、第1チャネル44の円形の形状周囲の多くの位置に設けられる。
【0016】
図2および図3に示されるように、第1チャネル44は、中央チャネル38と同心円上にある。本発明のある実施例においては、プラズマ源16内の別のチャネルも、中央チャネル38および第1チャネル44と同心円上に配置される。たとえば、図1に図示される最も外側のチャネルは、第1チャネル44と同心円上にある。連続的に同心円上に配置することにより、プラズマ30の所望の度合の空間的制御に応じて、多くのチャネルをプラズマ源16内に構成することができる。
【0017】
図2に示されるように、中央のRFコイル54は中央チャネル38を囲む。さらに第1RFコイル56が第1チャネル44を囲む。中央RFコイル54も第1RFコイル56も、RF電源システム18により個別に制御される。各RFコイルは、個別の電力レベルとRF周波数とを、囲まれたチャネル内の処理ガスに供給することができる。RFコイル54,56は、各チャネル内の処理ガスとは、誘電性ハウジング58により隔てられる。RFコイル内を伝わる電流が、処理ガス種に誘導結合し、各チャネル内のプラズマを加熱する。各RFコイルに個別に給電し、各チャネルに個別に処理ガスを供給することにより、プラズマ源16内の各チャネル内で、プラズマ密度と組成を個別に調整することができることを当業者は認識されよう。プラズマ源16の同心円チャネル設計により、プラズマの密度と組成を局所的に可変することのできるかなりの度合の制御を行うことができるが、本発明により設計されるICP リアクタのその他の実施例を図4および図5に示す。半導体ウェハ28に加えられるプラズマ条件は、プラズマ源16の部分と半導体ウェハ28の表面との間の隔離距離を可変することにより、さらに制御することができる。図4に示すように、中央チャネル38は、半導体ウェハ28に近接するが、第1チャネル44は半導体ウェハ28から縦方向に隔てられる。
【0018】
図5に代替の構造を示す。本発明のこの実施例においては、中央チャネル38は第1チャネル44よりも長く、半導体ウェハ28から縦方向に隔てられる。プラズマ源16の部品とエッチングされている半導体ウェハとの間の縦方向の隔離距離を可変することにより、さらに制御がおこなわれ、半導体ウェハの表面全体でプラズマ条件を可変する。さらに、プラズマ条件の可変と、チャック14に印加されるRFバイアスの度合の可変とを組み合わせると、半導体ウェハ28に対するイオン衝突をはるかに高精度に制御することができる。
【0019】
本発明のさらに別の実施例においては、プラズマ源16内の各コイルの外側にRFシールドを配置する。図5に示されるように、中央のRFシールド60は、中央RFコイル54を囲み、第1RFシールド62は第1RFコイル56を囲む。RFシールド60,62により、プラズマ源16内で個別に給電されるコイル間のRF干渉が最小限に抑えられる。RFシールドは、アルミニウムなどの導電性材料から構築するか、あるいはフェライト材料などの高透磁性強磁性材料から構築することができる。
【0020】
構築材料を適切に選択することにより、RFシールド60,62は、磁界をシールドが囲むRFコイルの直近領域にとどめることにより、各チャネル内の磁界を強化することができる。図5の特定のICP リアクタの実施例においてはシールド60,62が図示されるが、シールド60,62は、本発明により企図される任意のプラズマ源構造内に同様に組み込むことができることを当業者には理解頂けよう。
【0021】
半導体基板上の材料層のエッチングに適用される本発明のICP リアクタの工程制御機能について説明する。図6には、半導体ウェハ28の一般的描写の上面図が示される。半導体ウェハ28は、半径「R 」と周縁「P 」を特徴とする全体に円形の幾何学形状を有する。半導体ウェハ28は、半導体ウェハ28の表面上に配置され、半径距離により特定される複数の位置64によりさらに特徴化することができる。半径距離は、ゼロから周縁P の半径距離まで可変する。
【0022】
図7は、半導体ウェハ28の一部分の断面図である。材料層66が、半導体ウェハ28の表面上を覆う。本発明の方法は、集積回路装置の製造に通常用いられる多くの異なる種類の材料の除去を企図する。たとえば、材料層66は、多結晶シリコンなどの半導体材料または屈折金属ケイ化物などとすることができる。また、材料層66は、アルミニウム,シリコンとのアルミニウム合金,シリコンおよび銅とのアルミニウム合金,元素銅などの導電性材料とすることができる。さらに、材料層66は、二酸化シリコン,窒化シリコン,酸窒化シリコン,酸窒化ホウ素などの誘電性材料でもよい。
【0023】
本発明を実現するにあたり、材料層66が半導体材料の場合は、塩素,塩化水素,塩素化含ハロゲン炭素化合物,フッ素およびフッ化化合物,クロロフルオロカーボン,臭素,臭化水素,ヨウ素,ヨウ化水素などのハロゲンおよびハロゲン化処理ガスと、それらの混合物とを材料のエッチングに用いることができる。また、材料層66が誘電性材料の場合は、フッ素,フッ化水素,フッ素化含ハロゲン炭素化合物などとそれらの混合物とを用いることができる。材料層66が導電性材料の場合は、処理ガスとしては、フッ素化化合物並びに塩素および塩素化臭素化合物がある。
【0024】
材料層66のエッチングを実行するために、半導体ウェハ28は、図6および図7で「C 」と示される中心点が、プラズマ源16内の中央チャネル38とほぼ縦方向に整合するようにICP リアクタ10のチャック14上に配置される。半導体ウェハ28がプラズマ源16の同心円チャネルと位置的に整合されると、半導体基板28全体の位置64における局所的なエッチング速度は、プラズマ源16により生成される空間的に可変するプラズマ条件により個別に制御することができる。この方法で、材料層66のエッチング速度の半径方向の制御が行われ、周縁部P に近い材料層66は、中心点C における材料層66の部分と、半導体ウェハ28全体の各所64における材料層66の部分と同時にエッチングされる。
【0025】
プラズマ付着の場合には、材料層66などの材料層が半導体ウェハ28上に付着される。付着するためには、処理ガスをガス供給システム20から処理チャンバ12内に導入して、これによりプラズマ誘導反応が起こり、半導体ウェハ28上に薄膜層を形成する。たとえば、多結晶シリコンなどの半導体材料を付着しようとする場合は、シランなどのシリコン含有ガスまたはジクロロシランなどのハロゲン化シランを導入する。二酸化シリコンまたは窒化シリコンなどの誘電性材料を付着しようとする場合は、テトラエチルオルトシラン(TEOS),ハロゲン化シランおよびアンモニアなどの処理ガスを導入することができる。さらに、屈折金属または屈折金属ケイ化物材料などは、屈折金属含有ガスを導入することにより付着することができる。
【0026】
上記の説明はICP リアクタ10において材料層をエッチングまたは付着するために本発明により利用することのできる多くの異なる種類の処理ガスの代表的なものに過ぎないことを当業者には理解頂けよう。本発明は、ICP リアクタ内で形成することのできる任意の、すべての材料の付着およびエッチングを企図するものである。
【0027】
材料層66の付着を実行するには、半導体ウェハ28は、図6および図7で「C 」と示される中心点が、プラズマ源16内の中央チャネル38とほぼ縦方向に整合するようにICP リアクタ10のチャック14上に配置される。半導体ウェハ28がプラズマ源16の同心円チャネルと位置的に整合されると、半導体基板28全体の位置64における局所的な付着速度は、プラズマ源16により生成される空間的に可変するプラズマ条件により個別に制御することができる。この方法で、材料層66の付着速度の半径方向の制御が行われ、周縁部P に近い材料層66は、中心点C における材料層66の部分と、半導体ウェハ28全体の各所64における材料層66の部分と同時に形成される。
【0028】
当業者は、あまり苦労せずに本発明を実行することができ、本発明の動作上の利点を充分に認識することができると思われる。従って、以下の例は本発明を単に説明するに過ぎず、いかなる意味でも本発明を制限するものではない。
例I
半導体基板28は、まず化学蒸着工程を経て、その上に材料層66を付着させる。半導体基板28は、次にICP リアクタ10内のチャック14上に置かれる。処理ガスは、エッチングされる材料層の組成に応じて選択される。たとえば、材料層66が多結晶シリコンの場合は、塩素などのハロゲン・ガスおよび塩化水素および臭化水素などの水素化ハロゲンガスが、不活性ガス希釈液と共に導入される。ガス供給システム20からの総ガス流量は40ないし200sccmの値に調整され、真空システム22は、処理チャンバ12内で約1ないし10ミリトールの処理圧力を得るように調整される。次にRF電源システム18から、プラズマ源16内のRFコイル54,56にRF電力が印加される。好ましくは、約100ないし5000ワットのRFがRFコイル54,56に印加される。さらに、約0ないし5000ワットのRFがRFバイアス電源32からチャック14に印加される。その後、材料層のプラズマ・エッチングが実行されて完了する。
例II
半導体基板28が、ICP リアクタ10内のチャック14上に置かれる。処理ガスは、付着される材料層の組成に応じて選択される。たとえば、材料層66がエピタキシャル・シリコンの場合は、水素およびシランが、約3:1の流量比で処理チャンバ12内に導入される。ガス供給システム20からの総ガス流量は約40sccmの値に調整され、真空システム22は、処理チャンバ12内で約1ないし25ミリトールの処理圧力を得るように調整される。次にRF電源システム18から、プラズマ源16内のRFコイル54,56にRF電力が印加される。好ましくは、約500ないし1500ワットのRFが、約13.56MHz の周波数でRFコイル54,56に印加される。さらに、チャック14を摂氏約400ないし700度の温度に維持しながら、約0ないし−60ボルトの直流をチャック14に印加する。その後、材料層のプラズマ付着が実行されて完了する。
以上、本発明により上記の利点を完全に満足する誘導結合高周波プラズマ・リアクタおよび材料層のエッチング方法が提供されたことは明らかである。本発明は、特定の図例を参照して説明および図示されたが、本発明をこれらの図例に制限する意図はない。本発明の精神から逸脱することなく変形および改良が可能であることは当業者には認識頂けよう。たとえば、本発明は、ゲート電極,電気接触,電気相互接続部などの種々の装置構造を作成する目的であらかじめ規定されたリソグラフィック・パターンを有する材料層のエッチングを企図する。さらに、本発明は、半導体装置内に薄膜層を形成するために用いられる広範囲の材料の付着またはエッチングを行うために、多くの異なる種類の化学薬品を用いる。従って、本発明は、添付の請求項およびその等価物の範囲に入るこれらすべての変形および修正を包含するものである。
【図面の簡単な説明】
【図1】本発明のある実施例により配置された誘導結合高周波プラズマ・リアクタの概略図である。
【図2】本発明により配置されたプラズマ源の一部分の断面図である。
【図3】本発明のプラズマ源に処理ガスを送るのに適したガス・プレナムの上面図である。
【図4】図1に図示された誘導結合高周波プラズマ・リアクタに用いられるプラズマ源の代替の実施例の断面図である。
【図5】図1に図示される誘導結合高周波プラズマ・リアクタで用いられるのに適したプラズマ源のさらに別の実施例の断面図である。
【図6】半導体ウェハの一般的描写の上面図である。
【図7】本発明の誘導結合高周波プラズマ・リアクタ内でエッチングされる上部材料層を有する半導体ウェハの一部分の断面図である。説明を簡素に明瞭にするために、図面内に示される要素は、必ずしも同尺で描かれているわけではないことを理解頂きたい。たとえば、いくつかの要素の寸法は、互いに誇張されている。さらに、適切と思われる場合には、対応する要素を示すために図面内で参照番号が反復して用いられる。
【符号の説明】
10 誘導結合高周波プラズマ・リアクタ
12 処理チャンバ
14 チャック
16 プラズマ源
18 RF電源システム
20 ガス供給システム
22 真空システム
24 真空パネル
26 真空ライン
28 半導体ウェハ
30 プラズマ
32 RFバイアス電源
34,35,36 ガス供給ライン

Claims (5)

  1. 半導体装置を作成する方法であって:
    第1プラズマ発生領域と第2プラズマ発生領域とを有する誘導結合プラズマ・リアクタ(10)を準備する段階であって、前記第1プラズマ発生領域の周縁部を前記第2プラズマ発生領域が囲む、ところの段階;
    前記誘導結合プラズマ・リアクタ(10)内に半導体基板(28)を配置する段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて、前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階であって、前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に発生する段階は、前記第1プラズマ発生領域に関連する第1ソレノイドRFコイル(54)に電力を印加する段階および前記第2プラズマ発生領域に関連する第2ソレノイドRFコイル(56)に電力を印加する段階を含み、前記第2ソレノイドRFコイル(56)は前記第1ソレノイドRFコイル(54)を囲む、ところの段階;および
    前記プラズマ(30)を用いて前記誘導結合プラズマ・リアクタ(10)内で前記半導体基板(28)を処理する段階;
    を具備することを特徴とする方法。
  2. 半導体装置を作成する方法であって:
    第1プラズマ発生領域と第2プラズマ発生領域とを有する誘導結合プラズマ・リアクタ(10)を準備する段階であって、前記第1プラズマ発生領域および前記第2プラズマ発生領域は同心的であり、前記第1プラズマ発生領域において誘導的にプラズマを発生するための電力は第1電源から給電されかつ前記第2プラズマ発生領域において誘導的にプラズマを発生するための電力は第2電源から給電される、ところの段階;
    前記プラズマ・リアクタ(10)内に半導体基板(28)を配置する段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて、前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階であって、前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階は、さらに、第1ソレノイドRFコイル(54)に前記第1電源からRF電力を印加する段階および第2ソレノイドRFコイル(56)に前記第2電源からRF電力を印加する段階を含み、前記第2ソレノイドRFコイル(56)は第1ソレノイドRFコイル(54)を囲む、ところの段階;および
    前記プラズマ(30)を用いて、前記プラズマ・リアクタ(10)内で前記半導体基板(28)を処理する段階;
    を具備することを特徴とする方法。
  3. 半導体装置を作成する方法であって:
    第1プラズマ発生領域と第2プラズマ発生領域とを有する誘導結合プラズマ・リアクタ(10)を準備する段階であって、前記第1プラズマ発生領域および前記第2プラズマ発生領域は同心的であり、前記第1プラズマ発生領域において誘導的にプラズマを発生するための電力は第1電源から給電されかつ前記第2プラズマ発生領域において誘導的にプラズマを発生するための電力は第2電源から給電される、ところの段階;
    前記プラズマ・リアクタ(10)内に半導体基板(28)を配置する段階であって、前記半導体基板(28)はその上に形成された材料層を有する、ところの段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて、前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階であって、前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階は、さらに、第1ソレノイドRFコイル(54)に前記第1電源からRF電力を印加する段階および第2ソレノイドRFコイル(56)に前記第2電源からRF電力を印加する段階を含む、ところの段階であって:
    前記第1電源からRF電力を前記第1ソレノイドRFコイルに印加する段階は前記第1電源から前記第1ソレノイドRFコイルに電流を供給する段階を含み、前記第1ソレノイドRFコイルに供給される電流は処理ガスと誘導的に結合して前記第1プラズマ発生領域にプラズマを発生し;かつ
    前記第2電源からRF電力を前記第2ソレノイドRFコイルに印加する段階は前記第2電源から前記第2ソレノイドRFコイルに電流を供給する段階を含み、前記第2ソレノイドRFコイルに供給される電流は処理ガスと誘導的に結合して前記第2プラズマ発生領域にプラズマを発生する、ところの段階;および
    前記プラズマ(30)を用いて前記材料層をエッチングする段階;
    を具備することを特徴とする方法。
  4. 半導体装置を作成する方法であって:
    第1プラズマ発生領域と第2プラズマ発生領域とを有する誘導結合プラズマ・リアクタ(10)を準備する段階であって、前記第1プラズマ発生領域および前記第2プラズマ発生領域は同心的であり、前記第1プラズマ発生領域において誘導的にプラズマを発生するための電力は第1電源から給電されかつ前記第2プラズマ発生領域において誘導的にプラズマを発生するための電力は第2電源から給電される、ところの段階;
    前記プラズマ・リアクタ(10)内に半導体基板(28)を配置する段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて、前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階であって、前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階は、さらに、第1ソレノイドRFコイル(54)に前記第1電源からRF電力を印加する段階および第2ソレノイドRFコイル(56)に前記第2電源からRF電力を印加する段階を含む、ところの段階であって:
    前記第1電源からRF電力を前記第1ソレノイドRFコイルに印加する段階は前記第1電源から前記第1ソレノイドRFコイルに電流を供給する段階を含み、前記第1ソレノイドRFコイルに供給される電流は処理ガスと誘導的に結合して前記第1プラズマ発生領域にプラズマを発生し;かつ
    前記第2電源からRF電力を前記第2ソレノイドRFコイルに印加する段階は前記第2電源から前記第2ソレノイドRFコイルに電流を供給する段階を含み、前記第2ソレノイドRFコイルに供給される電流は処理ガスと誘導的に結合して前記第2プラズマ発生領域にプラズマを発生する、ところの段階;および
    前記プラズマ(30)を用いて前記半導体基板(28)上に材料層を付着する段階;
    を具備することを特徴とする方法。
  5. 半導体装置を作成する方法であって:
    第1プラズマ発生領域と第2プラズマ発生領域とが同心円上にあり、前記第1プラズマ発生領域において誘導的にプラズマを発生するためのRF電力は第1RF電源から給電され、かつ前記第2プラズマ発生領域において誘導的にプラズマを発生するためのRF電力は第2RF電源から給電され、前記第1プラズマ発生領域が第1ガス流量および第1ガス組成によって構成される第1ガス供給部を有し、前記第2プラズマ発生領域が第2ガス流量および第2ガス組成によって構成される第2ガス供給部を有する誘導結合プラズマ・リアクタ(10)を準備する段階;
    前記誘導結合プラズマ・リアクタ(10)内に半導体基板(28)を配置する段階;
    前記第1プラズマ発生領域と前記第2プラズマ発生領域とを用いて、前記誘導結合プラズマ・リアクタ(10)内にプラズマ(30)を誘導的に生成する段階;および
    前記プラズマ(30)を用いて前記誘導結合プラズマ・リアクタ(10)内で前記半導体基板(28)を処理する段階;
    を具備することを特徴とする方法。
JP04988897A 1996-02-22 1997-02-18 誘導結合プラズマ・リアクタとその方法 Expired - Lifetime JP3959145B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US605697 1996-02-22
US08/605,697 US5683548A (en) 1996-02-22 1996-02-22 Inductively coupled plasma reactor and process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006339461A Division JP4654176B2 (ja) 1996-02-22 2006-12-18 誘導結合プラズマ・リアクタ

Publications (2)

Publication Number Publication Date
JPH09237698A JPH09237698A (ja) 1997-09-09
JP3959145B2 true JP3959145B2 (ja) 2007-08-15

Family

ID=24424805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04988897A Expired - Lifetime JP3959145B2 (ja) 1996-02-22 1997-02-18 誘導結合プラズマ・リアクタとその方法

Country Status (8)

Country Link
US (1) US5683548A (ja)
EP (1) EP0792947B1 (ja)
JP (1) JP3959145B2 (ja)
KR (1) KR100386388B1 (ja)
DE (1) DE69734619T2 (ja)
MX (1) MX9700586A (ja)
SG (1) SG63686A1 (ja)
TW (1) TW373226B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088509A (ja) * 1996-02-22 2007-04-05 Freescale Semiconductor Inc 誘導結合プラズマ・リアクタとその方法

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH1081973A (ja) * 1996-03-18 1998-03-31 Hyundai Electron Ind Co Ltd 誘導結合形プラズマcvd装置
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
KR100505176B1 (ko) * 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
DE19643865C2 (de) * 1996-10-30 1999-04-08 Schott Glas Plasmaunterstütztes chemisches Abscheidungsverfahren (CVD) mit entfernter Anregung eines Anregungsgases (Remote-Plasma-CVD-Verfahren) zur Beschichtung oder zur Behandlung großflächiger Substrate und Vorrichtung zur Durchführung desselben
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP3483725B2 (ja) * 1997-04-02 2004-01-06 株式会社日立製作所 プラズマ処理装置及び処理方法
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
JP3814813B2 (ja) * 1997-09-01 2006-08-30 株式会社エフオーアイ プラズマ発生装置
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JP4104193B2 (ja) * 1997-11-14 2008-06-18 株式会社エフオーアイ プラズマ処理装置
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP4001355B2 (ja) * 1998-03-02 2007-10-31 株式会社エフオーアイ プラズマ発生装置
JP3868620B2 (ja) * 1998-03-02 2007-01-17 株式会社エフオーアイ プラズマ発生装置
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
JP3567736B2 (ja) * 1998-05-25 2004-09-22 株式会社日立製作所 プラズマ処理装置
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
JP4046207B2 (ja) * 1998-08-06 2008-02-13 株式会社エフオーアイ プラズマ処理装置
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
JP4056144B2 (ja) * 1998-09-10 2008-03-05 株式会社エフオーアイ プラズマ処理装置
US6218251B1 (en) 1998-11-06 2001-04-17 Advanced Micro Devices, Inc. Asymmetrical IGFET devices with spacers formed by HDP techniques
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6348389B1 (en) * 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6165567A (en) * 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
JP3385528B2 (ja) 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
ATE394789T1 (de) * 1999-11-15 2008-05-15 Lam Res Corp Behandlungsvorrichtungen
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
DE19960092A1 (de) * 1999-12-14 2001-07-12 Bosch Gmbh Robert Beschichtungsverfahren
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
WO2001065895A2 (en) * 2000-03-01 2001-09-07 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
JP2002008996A (ja) * 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
JP4120561B2 (ja) * 2000-10-03 2008-07-16 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
US7510664B2 (en) * 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
JP4471514B2 (ja) * 2001-02-26 2010-06-02 株式会社エフオーアイ プラズマ処理装置
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US6893971B2 (en) * 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
KR200253559Y1 (ko) * 2001-07-30 2001-11-22 주식회사 플라즈마트 회전방향으로 균일한 플라즈마 밀도를 발생시키는유도결합형 플라즈마 발생장치의 안테나구조
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
KR100481311B1 (ko) * 2002-09-19 2005-04-07 최대규 플라즈마 프로세스 챔버
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
KR100469890B1 (ko) * 2002-10-24 2005-02-02 주식회사 아이피에스 건식식각용 반도체 제조장치
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
KR100532365B1 (ko) * 2003-04-10 2005-11-30 주식회사 아이피에스 균일한 플라즈마를 제공하는 유도 결합형 다중 코일플라즈마 소스
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050255245A1 (en) * 2004-01-13 2005-11-17 Fanton Mark A Method and apparatus for the chemical vapor deposition of materials
KR100661350B1 (ko) * 2004-12-27 2006-12-27 삼성전자주식회사 Mems 소자 패키지 및 그 제조방법
JP4961111B2 (ja) * 2005-02-28 2012-06-27 富士フイルム株式会社 光電変換膜積層型固体撮像素子とその製造方法
KR100709354B1 (ko) * 2005-06-17 2007-04-20 삼성전자주식회사 다채널 플라즈마 가속장치
KR100689848B1 (ko) * 2005-07-22 2007-03-08 삼성전자주식회사 기판처리장치
JP4593413B2 (ja) 2005-09-15 2010-12-08 株式会社日立ハイテクノロジーズ プラズマ処理方法及び処理装置
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
JP4094040B2 (ja) * 2006-08-18 2008-06-04 株式会社エフオーアイ プラズマ発生装置
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP2008091750A (ja) * 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc アッシング装置
WO2008100314A1 (en) * 2007-02-15 2008-08-21 Applied Materials, Inc. System and method for chemical vapor deposition process control
US8382900B2 (en) * 2007-02-15 2013-02-26 Applied Materials, Inc. Localized linear microwave source array pumping to control localized partial pressure in flat and 3 dimensional PECVD coatings
WO2008099896A1 (ja) 2007-02-16 2008-08-21 Foi Corporation 誘導コイル、プラズマ発生装置およびプラズマ発生方法
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
GB0713821D0 (en) 2007-07-17 2007-08-29 P2I Ltd A plasma deposition apparatus
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5262206B2 (ja) 2008-03-12 2013-08-14 豊田合成株式会社 Iii族窒化物半導体層の製造方法及びiii族窒化物半導体発光素子の製造方法
US7994724B2 (en) * 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
WO2013036619A2 (en) * 2011-09-07 2013-03-14 Applied Materials, Inc. Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
DE102012017453A1 (de) 2012-09-04 2014-03-06 Manz Ag Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats
CN103906338B (zh) * 2012-12-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
KR101526507B1 (ko) * 2013-11-15 2015-06-09 피에스케이 주식회사 기판 처리 장치 및 방법
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
JP6857799B2 (ja) * 2016-03-29 2021-04-14 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法、電子デバイスの製造方法
KR102015381B1 (ko) * 2017-03-29 2019-08-29 세메스 주식회사 플라즈마 발생 유닛 및 이를 포함하는 기판 처리 장치
KR101932859B1 (ko) * 2017-10-16 2019-03-20 성균관대학교산학협력단 플라즈마 소스 및 이를 이용한 플라즈마 발생장치
US11177067B2 (en) * 2018-07-25 2021-11-16 Lam Research Corporation Magnetic shielding for plasma sources
JP7169885B2 (ja) * 2019-01-10 2022-11-11 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR102189337B1 (ko) * 2019-07-17 2020-12-09 주식회사 유진테크 플라즈마 처리 장치
US11150120B2 (en) * 2019-09-22 2021-10-19 Applied Materials, Inc. Low temperature thermal flow ratio controller
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59129772A (ja) * 1983-01-18 1984-07-26 Ushio Inc 光化学蒸着装置
DE3830249A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088509A (ja) * 1996-02-22 2007-04-05 Freescale Semiconductor Inc 誘導結合プラズマ・リアクタとその方法
JP4654176B2 (ja) * 1996-02-22 2011-03-16 住友精密工業株式会社 誘導結合プラズマ・リアクタ

Also Published As

Publication number Publication date
JPH09237698A (ja) 1997-09-09
US5683548A (en) 1997-11-04
DE69734619D1 (de) 2005-12-22
EP0792947A3 (en) 1999-04-14
KR970063563A (ko) 1997-09-12
SG63686A1 (en) 1999-03-30
MX9700586A (es) 1997-08-30
EP0792947B1 (en) 2005-11-16
TW373226B (en) 1999-11-01
KR100386388B1 (ko) 2003-08-14
DE69734619T2 (de) 2006-06-08
EP0792947A2 (en) 1997-09-03

Similar Documents

Publication Publication Date Title
JP3959145B2 (ja) 誘導結合プラズマ・リアクタとその方法
MXPA97000586A (es) Reactor de plasma acoplado inductivamente yproceso para fabricar un dispositivo semiconductor
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
KR100274306B1 (ko) 에칭방법
TWI469238B (zh) 電漿蝕刻處理裝置及電漿蝕刻處理方法
US5429070A (en) High density plasma deposition and etching apparatus
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
US5681418A (en) Plasma processing with inductive coupling
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
US8662010B2 (en) Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
WO2016013418A1 (ja) 被処理体を処理する方法
JPH10189296A (ja) 平行板電極プラズマリアクタ
CN118335584A (zh) 边缘环的温度及偏压控制
JP5250611B2 (ja) 誘導結合プラズマ・リアクタ
US20210175090A1 (en) Etching method and etching apparatus
US20040163595A1 (en) Plasma processing apparatus
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
JPH09245993A (ja) プラズマ処理装置及びアンテナの製造方法
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
JP3138899B2 (ja) プラズマ処理装置
KR20210033442A (ko) 에칭 방법 및 에칭 장치
JP3797975B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040422

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040727

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20050127

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20041217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050802

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051109

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070514

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100518

Year of fee payment: 3

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D03

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100518

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130518

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term