KR100445018B1 - 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치 - Google Patents

고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치 Download PDF

Info

Publication number
KR100445018B1
KR100445018B1 KR10-1999-7006914A KR19997006914A KR100445018B1 KR 100445018 B1 KR100445018 B1 KR 100445018B1 KR 19997006914 A KR19997006914 A KR 19997006914A KR 100445018 B1 KR100445018 B1 KR 100445018B1
Authority
KR
South Korea
Prior art keywords
cleaning
substrate
chamber
gas
support
Prior art date
Application number
KR10-1999-7006914A
Other languages
English (en)
Other versions
KR20000070665A (ko
Inventor
아민마이클에스.
힐만조셉티.
Original Assignee
도쿄 엘렉트론 가부시키가이샤
도쿄 일렉트론 아리조나 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤, 도쿄 일렉트론 아리조나 인코포레이티드 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20000070665A publication Critical patent/KR20000070665A/ko
Application granted granted Critical
Publication of KR100445018B1 publication Critical patent/KR100445018B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

CVD 장치(10)는, 장치(10)의 공정실(25)의 가스 유입 구조에 선택적으로 접속이능한 세정 가스 소스(23, 24)가 구비되며, 이에 의해 수소 함유량이 체적 비율 20 퍼센트 내지 80 퍼센트인 수소 및 아르곤 혼합물을 공급한다. 선택적으로 작동가능한 450 ㎒ RF 에너지 소스(71, 71a)는 가스 내 플라즈마에 에너지를 가하기 위해 공정실(25)에 결합된다. 선택적으로 작동가능한 13.56 ㎒ RF 에너지 소스(72)는 MF 에너지 소스(71, 71a)와 독립적으로 제어할 수 있고 웨이퍼 지지체(40)와 공정실 양극(26) 사이에서 접속되며, 지지체 상의 웨이퍼(75)에 100 볼트 이하, 적합하게는 15 내지 35의 음전압으로 바이어스되도록 제공된다. 히터(42)는 웨이퍼(75)를 약 550℃의 온도로 가열한다. 적합하게는, 터보 분자 펌프(32)는 3 내지 12 sccm의 속도 및 1mTorr 내지 10 Torr의 압력을 유지하면서 세정 가스를 펌프하는데 사용된다.

Description

고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치{Method and Apparatus for Metallizing High Aspect Ratio Silicon Semiconductor Device Contacts}
실리콘 콘텍트들은 반도체 디바이스들 상의 많은 특징부들 중 하나를 형성한다. 반도체 디바이스 상의 실리콘 콘텍트의 전형적인 구조는, 디바이스 상의 1 미크론 또는 그 이상의 두께를 가지는 절연층을 통하여, 콘텍트를 형성하는 실리콘의 전기적 도전성 기초층 상에 노출된 영역에까지 연장하는 초미세(sub-micron) 폭의 구멍 또는 통로(via)이다. 반도체 디바이스 제조에 있어서, 이러한 실리콘 콘텍트들은 텅스텐 또는 알루미늄과 같은 도전성 금속이 인가되는 금속화층을 형성하는 티타늄(Ti) 또는 티타늄 질화물(TiN)과 같은 금속 또는 금속 화합물의 박막으로 먼저 코팅되며, 절연층을 통하여 도전체를 형성하도록 구멍을 채운다. Ti 또는 TiN 막은 때때로 스퍼터(sputter) 코팅과 같은 물리적 증착 공정에 의해 인가되지만, 구멍 또는 통로의 고종횡비가 지향성 스퍼터 코팅 공정의 효과를 억제할 수 있는 고종횡비 콘텍트들을 위해서는 흔히 화학적 증착(CVD)에 의해 Ti 및 TiN 막들이 인가된다. 이러한 공정 중 하나는, 노출된 실리콘 콘텍트 표면들 상에 티타늄 규화물을 형성하기 위해 아르곤 및 수소 플라즈마가 존재하는 곳에서 웨이퍼 표면에 TiCl4를 반응시킴으로써 Ti 막의 인가를 포함하며, 따라서, TiN층으로 티타늄 규화물을 코팅하는 공정실(chamber) 내로의 질소 도입으로 표면에 TiN CVD 반응을 유발한다.
Ti/TiN 막은 막이 그 위에 인가되는 실리콘 콘텍트와의 효과적인 접착 및 도전성 인터페이스를 형성하며, 또한 구멍을 채울 덮개(overlying blanket) 또는 평탄화층의 형성을 촉진한다. 평탄화층이 텅스텐인 곳에서, 이러한 인가에 현재 사용된 공정은 TiN층 상에 텅스텐을 응집시키는 것에 의한 WF6감소를 가지는 CVD 공정이며, 흔히는 덮개 텅스텐 막으로 표면을 평탄화함에 의해서 구멍들 및 통로들을 채운다. 이러한 반도체 제조 공정에서, 구멍 또는 통로의 저면에서 Ti/TiN 막과 실리콘 콘텍트 사이 접합부의 저항성은 낮게 유지되어야 한다. 실리콘 콘텍트 표면 상의 산화물들 및 다른 불순물들은 콘텍트의 저항성을 상승시키며, 따라서, 구멍의 저면에서, 특히 콘텍트의 크기가 작은 곳에서 실리콘 표면으로부터 세정되어야 한다.
이들 고종횡비 반도체 디바이스들 내의 실리콘 콘텍트들을 세정하는 방법들에 초점을 맞추어 연구가 진행되어 왔다. 다단계 공정들 및 불화수소산 담금 공정들과 같은 습식 화학 기술들이 현재 산업 표준으로 사용되고 있지만, 구멍들이 1/4 미크론 또는 그 이하의 크기들을 가지면 이들 습식 세정 방법들은 흔히 이들 좁고 깊은 구멍들 및 통로들의 저면들에서 콘텍트 표면들을 세정함에 있어 충분히 효과적이지 못함은 물론 웨이퍼를 오염시킬 수도 있는 미립자들을 제공한다.
실리콘 콘텍트들을 세정하는 원위치(in situ) 방법들, 즉, 디바이스들을 포함하는 웨이퍼들이 금속 증착 직전에 금속화가 이루어질 공정 장치 내의 적소에서 세정되는 방법들은, 대기를 통하여 증착 모듈 내로의 운송 동안 실리콘 콘텍트들 상에 자연적인 산화물 형성을 감소시키고, 미립자가 없는 환경을 제공할 수 있다는 극적인 흥미가 되어왔다. 그러나, 종래 기술의 원위치 방법들은 효과적이지 못하다. 콘텍트 및 통로 레벨 양측에서 표면들을 세정하는 원위치 방법 중 하나는 플라즈마 내의 아르곤과 같은 불활성(inert) 가스를 사용하는 물리적 에칭(etching) 공정을 포함한다. 이러한 플라즈마들은 전형적으로, 전극들을 반대로 바이어싱(biasing) 하여 전자들이 가스를 통해 이동하도록 하고, 양 아르곤 이온들의 플라즈마를 생성하기 위하여 그들의 전자들의 가스 원자들을 제거함으로써 생성된다. 전극들 중 하나는 전형적으로, 세정될 표면이 부착되는 음극 조립품이다. 플라즈마 내에 생성되는 양 아르곤 이온들은 웨이퍼 표면에 인가된 음 바이어스에 의해 웨이퍼 표면으로 가속되며, 여기에서 이온들은 표면에 부딪치고 따라서, 재료를 웨이퍼로부터 제거하도록 운동량 전달에 의해 재료를 웨이퍼 표면으로부터 제거한다. 플라즈마들은 일반적으로 공정실 벽들의 구조 및 공정실 내의 다른 물리적 구조에 의해서 또는, 에칭될 표면에 걸쳐 전극들을 생성하는 플라즈마를 트래핑(trap)하는 자기장들에 의해서, 플라즈마 에칭될 표면에 인접하여 제한된다.
플라즈마 에칭과 같은 물리적 공정들은 웨이퍼의 표면에 및 웨이퍼 상에 형성되는 디바이스들에 손상을 야기하는 충격을 일으킨다. 종래에는, 기판과 고밀도 플라즈마 사이에서 낮은 스퍼터링 전압차를 유지하는 것 즉, 소위 "연성 에칭(soft etch)" 공정이 에칭될 표면에 잠재적 손상의 일부를 최소화하기에 충분하지만, 초미세 규모의 특징부들을 가지는 본 디바이스들에서는, 이러한 연성 에칭 전압들이 여전히 이온들에 충분한 에너지를 주어 웨이퍼들 상의 디바이스들의 일부에 손상을 가할 수 있다. 이러한 연성 에칭 공정 중 하나는, 플라즈마가 가속 바이어스 전압과 독립적으로 전력이 공급되는 플라즈마 세정 방법을 사용하며, 이는 바이어스 전압의 독립적인 제어를 제공하여, 특징부들이 지나치게 작지 않은 곳에서 공정이 효과적으로 진행되기에 적합한 밀도인 플라즈마를 유지하는 동안에 낮은 스퍼터링 에너지가 사용될 수 있도록 한다. 그럼에도 불구하고, 이러한 낮은 에너지 스퍼터 세정 공정들은 여전히, 현재 제조 중인 매우 높은 종횡비 디바이스들에 대하여 여러 단점들을 가진다. 스퍼터링과 같은 물리적 공정들은 이러한 고종횡비 구멍들 및 통로들의 측벽들로부터 저면들의 콘텍트 영역들 상으로 재료들을 재증착시킬 수 있다. 더욱이, 깊은 구멍들 및 통로들의 저면들에서 콘텍트들 상의 스퍼터링 수율들은 낮으며, 이는 세정 공정을 부적합하거나 최소한 매우 느리게 만든다.
스퍼터링 이온들의 에너지로부터 최소한의 손상을 가하면서 반도체 웨이퍼 표면을 세정할 필요성은, 전극 사이클로트론 거주(electron cyclotron residence; ECR)에 의해 생성된 것과 같은 고밀도 저에너지 플라즈마들을 사용하여 접근되어 왔다. 그러나 ECR 플라즈마 소스들은, 고가이고 세정 위치 또는 세정 위치 근처의 다소 이격된 위치 중 어느 한 곳에서 상당한 공간을 차지하는 마이크로파 발생기들 및 다른 복잡한 구성요소들을 포함한다. 이들 ECR 발생기들은 하류 방향으로 및 세정될 웨이퍼의 표면에 대향하여 흐르도록 하는 고밀도 플라즈마를 생성한다. 이러한 ECR 플라즈마들은, 이온들이 표면 손상을 감소시키기에 충분히 낮은 에너지로 웨이퍼 표면에 충돌하도록, 저전압에서 바이어스된 웨이퍼의 표면에 접촉가능하다. 그러나, 낮은 스퍼터링 수율과 따라서 통로들의 저면들에서 콘텍트들을 효과적으로 세정하는 것이 어려운 것은 순수한 물리적 에칭 공정들의 잔존하는 결점이다.
낮은 스퍼터링 수율은 특히, 수소계(hydrogen-based) 실리콘 콘텍트 세정 공정을 제공하기 위해 수소 라디칼들의 요소와 같은 확산성 화학적 요소를 에칭에 부가하는 연구로 이끌었다. ECR 플라즈마 소스들을 사용하는 수소계 세정은 CVD-Ti 증착에 앞서 아르곤/H2플라즈마를 사용하는 실리콘 콘텍트들의 성공적 세정을 설명하였다. 그러나, 그럼에도 불구하고 이격 위치된 ECR 소스들은 위에서 설명한 바와 같이 치수가 크고 복잡하며 고가의 장비를 요구한다.
따라서, 디바이스들에 손상을 가하지 않으면서 고종횡비 콘텍트들을 효과적으로 세정하기 위한, 최소한 ECR 소스 만큼의 이익들과, 더욱 단순한 장치를 제공하고, 바람직하게는 후속 금속화 코팅이 인가되는 동일한 장치 또는 공정실에서 수행될 수 있는 장치 및 방법이 여전히 요구된다.
본 발명은 반도체 웨이퍼 기판들 상에 형성되는 반도체 디바이스들 상의 고종횡비 실리콘 콘텍트들(high aspect ratio silicon contacts)을 금속화하기 위한 화학적 증착에 관한 것이며, 더욱 상세하게는, 막의 형성을 향상시키고 공정에 의해 금속화된 콘텍트들의 저항성을 감소시키기 위해, 티타늄 또는 티타늄 질화물과 같은 재료들의 화학적 증착에 의해, 고종횡비 콘텍트들을 준비 및 세정하고 이러한 콘텍트들을 금속화시키기 위한 장치 및 공정들에 관한 것이다.
도 1은 본 발명의 바람직한 실시예에 따른 고종횡비 콘텍트 세정 특징부들을 포함하는 CVD 장치의 개략도.
도 2는 고종횡비 콘텍트들을 갖는 웨이퍼의 단면을 도시하는 도 1의 부분 확대도.
본 발명의 기본적 목적은 종래 기술의 문제점들, 특히 위에서 설명한 문제점을 해결하는 고종횡비 실리콘 콘텍트들을 세정하는 방법을 포함하는 CVD 금속화 공정을 제공하는 것이다.
본 발명의 목적은 웨이퍼들이 포함하는 디바이스들에 손상을 가하지 않으면서 반도체 웨이퍼들 상의 고종횡비 특징부들 저면들에서 콘텍트들을 세정하기 위한 장치 및 방법을 제공하는 것이다. 본 발명의 특정 목적은 CVD 장치에서, 바람직하게는 후속 코팅이 인가되는 CVD 공정실에서 이러한 콘텍트 예비세정을 포함하는, 특히 티타늄 및/또는 티타늄 질화물 금속화층의 인가를 위한 CVD 금속화 방법 및 장치를 제공하는 것이다.
본 발명의 보다 특별한 목적은, 후속 코팅이 인가되는 환경 및 그 주위의 인접한 곳에서 세정을 수행할 수 있는, 반도체 웨이퍼들의 고종횡비 특징부들에서 콘텍트들의 세정을 위해 제공되는 방법 및 장치를 제공하는 것이다.
본 발명의 다른 목적은, 단순하고 복잡하거나 비싸지 않은 장치로 고종횡비 특징부들에서 콘텍트들을 세정하기 위한 장치 및 방법을 제공하는 것이며, 또한 더욱 비싸고 더욱 큰 ECR 플라즈마 소스들의 이익들을 제공하지만 단순한 장치 내에서 및, 바람직하게는 콘텍트들의 후속 코팅이 인가되는 동일한 장치 또는 공정실 내에서 이러한 웨이퍼들 상의 디바이스들에 손상을 가하지 않으면서 반도체 웨이퍼들 상의 고종횡비 콘텍트들을 효과적으로 세정할 수 있는 장치 및 방법을 제공하는 것이 본 발명의 목적에 포함될 수 있다.
본 발명의 원리들에 따르면, 금속화, 특히 CVD에 의해 Ti 또는 TiN으로 금속화하기에 앞서 고종횡비 콘텍트들을 세정하는 방법이 제공되며, 여기서 플라즈마는 결합된 RF 에너지에 의해서, 바람직하게는 예를 들어 약 450 ㎑의 중파 RF 에너지에 의해서 형성된다. 또한 바람직하게는, 장치는 웨이퍼 지지체와 공정실 저면 사이에서 접속된 예를 들어 13.56 ㎒의 제 2 및 고주파 에너지 소스를 포함한다. 웨이퍼는 HF 소스에 의해, 바람직하게는, 플라즈마를 유지하는 결합된 MF 소스로부터의 파워와는 독립적으로 바이어스된다. 이러한 바이어스는 바람직하게는 100 볼트보다 작으며, 바람직하게는 50 볼트보다 작다.
본 발명의 일실시예에 따른 방법은 본 출원의 양수인에게 양도되고 본 명세서에 참고로 포함된 미국 특허 제 5,391,281호에 기술된 것과 같은 이중 주파수 플라즈마 발생기를 사용한다. 공정실의 형상은 바람직하게는 원통형이며 금속화층이 증착되는 것에 의한 웨이퍼 지지체 또는 플래튼과 CVD 장치의 관련 요소들을 포함한다. 이러한 CVD 장치는 바람직하게는 미국 특허 제 5,370,729호에 기술된 유형의 것이며, 이는 본 출원의 양수인에게 양도되고 본 명세서에 참고로 포함된다. 바람직하게는, 플라즈마 세정 및 CVD 장치가 단일의 공정실에서 결합된다.
본 발명의 바람직한 실시예에 따르면, Ti/TiN의 CVD에 의한 금속화에 연관하여, 실리콘 콘텍트를 예비세정하기 위한 장비 및 공정은, 고속으로 수소를 펌핑하기 위한 터보 분자 펌프를 가지는 연성 에칭 구비 모듈을 사용하며, 동시에 공정실 벽은 미국 특허 제 5,391,281호에 기술된 플라즈마 발생기에서와 같이 플라즈마를 제거하기 위한 돌기부를 가지지 않고, 따라서 웨이퍼 상부 총 플라즈마 체적을 증가시키고 수소 라디칼 형성 효과를 증가시킨다. 더욱 바람직하게는, 시스템은 수소 가스를 3 내지 12 sccm(standard cubic centimeters per minute; 분당 표준 입방 센티미터)으로, 적합하게는 아르곤에 추가하여 MF RF 에너지가 가해진 플라즈마 내에서 수소 가스를 공급하도록 구조화된다. 수소 가스의 부가는 웨이퍼 상부의 플라즈마 체적 내에서 직접 전극 여기(excitation) 및 충돌 공정들을 통해 수소 라디칼들을 생성한다. 라디칼들은 웨이퍼의 실리콘 표면을 세정하기 위해 하류 방향 및 가열된 웨이퍼의 표면 상으로 확산된다. 가스 내에 존재하는 수소를 가진 세정 공정의 화학적 또는 반응적 성질은 높은 지향성 물리적 스퍼터링의 불이익들을 극복하는 구멍들 및 통로들에서 확산 세정 작업을 촉진한다.
수소 함유 플라즈마에서, 아르곤 함유량은 수소 함유 가스 내에서 플라즈마 점화의 유지를 돕기 위해 적어도 충분히 높은 수준에서, 바람직하게는 총 가스 흐름 체적의 최소한 10 내지 20 퍼센트에서 바람직하게 유지된다. 그렇지 않으면, 아르곤 함유량은 가능한 한 낮아야 한다. 바람직하게는, 웨이퍼는 최소한 400℃, 바람직하게는 약 550℃의 온도로 웨이퍼를 유지시키는 가열된 플래튼 상에서 지지된다. 웨이퍼는 또한 세정시 다소의 물리적 스퍼터링을 이용하기 위해 바이어스될 수 있다. 세정 단계들 동안 압력은 바람직하게 1 mTorr 내지 10 Torr 범위 내에서 유지된다. 20 퍼센트보다 많이 낮은 수소 가스 혼합물을 사용하는 것은 아르곤으로의 물리적 에칭의 부적합한 효과들을 충분히 감소시키는데 바람직하지 않으며, 20 퍼센트보다 많이 낮은 아르곤을 사용하는 것은 수소 플라즈마를 유지하지 않는다는 것이 밝혀졌다. 20 내지 80 퍼센트의 수소 범위 내에서, 최소 아르곤 함유량이 선택될 수 있으며, 이는 수소 내에 플라즈마를 유지하는 다양한 CVD 장치들의 요소들에 따라 가변적이다. 다른 응용들 및 공정실 구조를 위해, 가스의 이상적인 성분은 가변적일 것이지만, 아르곤으로부터의 에칭에 기여를 최소화하면서 수소로 인한 에칭 비율을 최대화하도록 경험적으로 선택될 수 있다.
본 발명은, 수소 라디칼들이 OH 족들의 형성을 통하여 SiO2를 감소시키기 위해 작용하도록, 활성화된 수소 환경에의 노출에 의해 실리콘 표면을 변형시키는 잇점을 갖는다. 또한 수소는 CH 족들의 형성에 의해 표면 탄소를 제거한다. 또한 이러한 수소는 실리콘 표면에 보호막을 형성하는 것으로 밝혀 졌으며, 금속 증착에 앞서 산화 또는 표면 변형을 방지하는 작용을 하는 Si-H 결합을 남긴다. 이는 후속 금속화, 특히 Ti 또는 TiN 금속화가 세정기 및 더욱 안정된 실리콘 표면으로 인한 매우 양호한 접합 특성들을 형성하도록 허용한다.
또한 본 발명은, 웨이퍼 온도, 수소-아르곤 비율, 바이어스 전압 및, RF 플라즈마 파워의 변수들과 같은, 연성 에칭 공정의 다양한 변수들을 제어함에 의해 상이한 에칭 비율들이 얻어질 수 있는 방법을 제공한다. 플라즈마에 수소가 존재함으로써, 아르곤에 의한 물리적 에칭으로 인한 총 에칭 비율로의 기여가 감소되며, 저 에너지 에칭에 의해 효과적으로 세정되고, 따라서, 웨이퍼 상의 디바이스들이 손상되는 가능성은 더욱 낮아지는 결과를 얻는다.
본 발명의 바람직한 설명되는 실시예에서, 그 잇점들은, 에너지의 두 개의 RF 주파수들 즉, 공정실에 결합된 중파 RF 중 하나와 기판에 에너지를 가하기 위해 저전압 독립적 제어 바이어스에 접속된 HF 중 하나를 결합시킴에 의해 제공되며, 세정될 표면의 상류 방향으로 플라즈마를 생성한다. 공정실은 바람직하게는, 가스가 표면에 대향하여 및 가로질러 하류 방향으로 흐름에 따라 세정될 표면으로부터 이격된 차단되지 않은 개방 공간에서 플라즈마가 생성되도록 하는 가스 흐름 구조가 구비된다. 가스는 아르곤과 수소와 같은 비활성 가스의 혼합물을 포함하며, 공정실에 주입되어 터보 분자 펌프에 의해 진공에서 유지된다. 세정될 표면은 작은 수치, 예를 들어 -15 내지 -35 볼트로 개별적으로 바이어스되며, 바람직하게는 세정 동안 예를 들어 약 550℃까지 가열된다. 바람직하게, 공정실은 세정 작업 이후에 표면에 금속화 코팅을 가하기 위해 제공된 CVD 장치의 공정실이다. 이러한 CVD 장치는 가스 흐름 및 온도를 제어하기 위해 사용될 수 있는 특징부들이 구비되며, 본 발명의 각 단계는 이하 적합하게 선택된 방법의 단계들에 대한 상세한 설명 및 도면의 상세한 설명으로부터 분명해질 것이다.
도 1은 본 발명의 고종횡비 콘텍트 세정 특징부들이 구현되는 CVD 장치(10)를 도시한다. 장치(10)는, 화학적 증착(CVD; chemical vapor deposition) 반응부(reactor; 16)에 가스들을 공급하기 위한 유입로들(12)에 접속되는 탱크들 및 유량 제어기들을 가지는 가스 서플라이(11)를 포함한다. 서플라이(11)는 도시된 실시예에서, 티타늄(Ti)이나 티타늄 질화물(TiN)의 증착을 위한 CVD 공정에서 화학 반응을 일으킬 수 있는, 티타늄 4염화물(TiCl4) 및 암모니아(NH3)와 같은 반응 가스들의 탱크들(21, 22)을 포함한다. 또한, 서플라이(11)는 불활성 가스 아르곤(Ar)의 탱크(23) 및 수소 가스(H2)의 탱크(24)를 포함하며, 이는 본 발명에 의해 제공된 세정 공정을 수행하기 위해 사용된다. 탱크들(21 내지 24)은 선택 제어성 밸브들(13)을 통해 유입로들(12)를 통해, 반응부(15)의 공정실(25)을 밀폐시키는 밀봉된 하우징 벽(26)의 가스 유입 포트들(16)에 접속된다. 탱크들(21 내지 24)로부터 공급된 가스들에 추가하여, 서플라이(11)는 텅스텐 헥사플루오라이드(WF3), 수소(H2) 및, 시레인(SiH4)의 탱크들(27, 24, 28)과 같은 추가 반응 가스들의 탱크들로의 접속으로 또한 구비될 수 있으며, 또한 밸브들(13) 및 유입로들(12) 중 각 하나씩을 통해 반응부 벽(26)의 포트들(16)에 선택적으로 접속될 수 있다. 니트로겐 트리플루오라이드(NF3) 가스의 소스(29)와 같은 가스 소스가 공정실의 세정을 위해 유입 포트(17)에 접속될 수도 있으며, 이는 텅스텐의 증착에 사용함에 따른 증착 축적실의 플라즈마 세정에 효과적이다. 반응부는 CVD 공정을 통해 적용될 수 있는 많은 다른 막들의 증착을 위해서 및, 반도체 웨이퍼들의 제조 및 공정에 사용된 다른 공정들을 위해서 유용한 것일 수도 있다.
장치(10)는 하우징 벽(26) 저부에, 요구되는 작동 압력 수준들로 반응부(15) 내의 진공을 유지하기 위하여 반응부(15)의 공정실을 비우기 위해서 및, 비사용 반응 가스, 반응 부산물들, 세정 가스들 및, 불활성 가스들을 반응부로부터 배출시키기 위해서, 하나 또는 그 이상의 진공 펌프들에 접속되는 배출 포트(18)를 포함한다. 이러한 펌프들은 하나 또는 그 이상의 극저온 펌프들(31) 및, 본 발명의 세정 공정 수행 중에 높은 백분율의 수소를 포함하는 가스를 펌프하기 위한 터보 분자 펌프(32)를 포함할 수 있다.
펌프(31)는 공정실(25) 내에서, 웨이퍼 공정 압력들이 1 내지 100 Torr가 되도록, 반응부 세정 압력들이 0.1 내지 100 mTorr가 되도록 및, 웨이퍼 운반 압력들이 10-4Torr가 되도록 하기 위해서, 초당 400 내지 500 리터(liter)의 펌프 속도로 작동한다. 터보 분자 펌프(32)는 최소한 0.1 내지 10 Torr를 포함하는 범위의 압력들에서 1 내지 20 sccm의 수소 유속을 생성할 수 있다. 하우징 벽(26)에는 잔여 가스 분석기(19)의 접속을 위한 포트가 가스 성분들의 모니터링을 위해 또한 제공된다.
하우징 또는 반응부 벽(26)은, 바람직하게는 알루미늄과 같은 비자성 재료로 만들어지며, 때때로 일반적으로 차가운 벽 반응부로 언급되는 것을 제조하기 위해 반응부 벽의 가열 및 냉각 모두를 위한 독립적 온도 제어가 제공될 수도 있다. 하우징(26)은 그 상단에 공정실 덮개(36)를 가지며, 바람직하게는 역시 알루미늄으로 만들어진 것이고, 반응실(25)을 덮는다. 덮개(36)는 하우징(26) 상단에 대하여 공기압에 의해 봉쇄된다. 환형 혼합실 벽(37)을 가지는 덮개(36)의 내부에 유입 가스 혼합실(30)이 장착되고, 이는 공정, 예를 들어 텅스텐 증착 공정이 냉각을 요구하는 곳에서 능동적으로 냉각될 수 있으며, 벽(37)에 형성된 환형 통로(38)를 통하여 흐르도록 공급된 유체를 냉각시켜서 하우징(26) 및 공정실 덮개(36)의 온도에 독립적인, 반응 온도보다 낮은 온도로 유지함으로써 이루어진다. 하우징(26)과 마찬가지로, 혼합실 벽(37)은 (도시하지 않은) 저항 가열 요소들이 또한 구비되며, 이는 티타늄 질화물 증착과 같은 공정이 가열을 요구하는 곳인 벽과 혼합실(30)을 가열한다. 이러한 환형 벽(37)은 그 온도 제어에 높은 유연성을 제공하도록, 열적 비전도성 재료로 또는, 공정실 덮개(36)의 알루미늄 재료로부터 단열된 전도성 물질로 만들어질 수 있다. 혼합실(30)의 상부는 제거 가능한 덮개 또는 상판(39), 바람직하게는 스테인레스강에 의해 폐쇄되며, 이는 공정실 덮개(36)에 밀봉적으로 접속되어 이를 통하여 유입 포트들(16)이 장착된다. 공정실 하우징(26), 공정실 덮개(36) 및, 상판(39)은 장치(10)의 작동 동안 진공 압력 수준에서 유지되는 내부 체적을 둘러싸는 밀봉된 용기를 형성한다.
가스 혼합실(30)의 저부는 혼합실 벽(39)의 저부에 접속된 원형의 샤워헤드(showerhead; 35)에 의해 폐쇄된다. 샤워헤드(35)는, 구멍들의 패턴을 가지며 고도로 폴리싱된 보다 낮은 표면을 가지는 가공가능한 세라믹 재료 또는 알루미늄으로 만들어질 수 있으며 공정실 내에서 공정중인 웨이퍼 영역의 더 높은 반응 온도로부터 방사열의 흡수를 지연시킨다. 샤워헤드(35)는 선택적으로 통기성 재료나 세라믹 판으로 형성될 수 있다. 웨이퍼 지지체 또는 수용체(40)는 공정실 내부에 제공되며, 바람직하게는 샤워헤드(35) 바로 아래에 축방향으로 정렬된다. 수용체(40)는 바람직하게는 하우징 벽(26)의 저부를 통하여 수직으로 연장하는 구동축(50) 상에서 공정실(25) 내부에 회전식으로 부착되며, 수용체 구동 지지 프레임(47)에 의해서 지지되고, 지지 프레임은 베어링(52)에서 하우징(26) 저부에 고정되며, 강유체성(ferrofluidic) 밀봉부(54)에 의해 둘러싸인다. 축(50)에는, 구동 벨트(57)를 통하여 수용체 회전 구동 모터(58)의 출력과 구동적으로 접속되는 구동 풀리(56)가 고정된다. 수용체(40)는 웨이퍼 상방향의 원형 지지면(41)을 가지며, 표면(41) 상의 웨이퍼 온도를 반응 또는 공정 온도로 상승시키기 위한 히터(42)를 포함한다. 히터(42)는 바람직하게 전원(43)에 의해 에너지를 받는 저항 가열 유형이며, 표면(41) 상의 웨이퍼 온도를 최소 1000℃까지 상승시킬 수 있다.
가스 혼합실(30)은 복수의 동심 중공 관상 링들(33)이 구비되며, 바람직하게는 유입 포트들(16)의 각각에 접속되며, 링들(33)의 각각은 그들을 따라서 및 수용체(40) 축과 일직선인 공정실(25) 축의 중심선 둘레에서 이격된 복수의 구멍들을 가진다.
공정실(25)은 바람직하게는 플라즈마 전극(60)이 구비되며, 바람직하게는 니켈과 같은 전도성 재료로부터 샤워헤드(35)를 공정실 덮개(37)에 고정된 원통형 석영 절연체(61)에 부착되도록 제작함으로써 형성된다. 이러한 전극은 플라즈마를 발생시키기 위해 RF 소스로부터 무선 주파수(radio frequency) 전기 에너지에 의해 에너지를 받는다. 전극(60)은 공정실의 플라즈마 세정을 위해 NF3에 에너지를 가하는데 사용된다. 플라즈마 세정을 위해서, RF 에너지는 전극(60)과, 적합한 절연 재료의 전기 절연체(66) 상의 공정실 하우징(26)에 부착된 공정실(25) 베이스에 제공되는 저부 플라즈마 세정 전극(65) 사이에 접속된다. 전극(65)은 공정실(25)의 공정부와 진공 배출 포트(18) 사이에서 원시 가스 흐름 조절부로서 사용되는 환형 링의 형상이다. 두 개의 추가적 조절부들(68, 69)은 전극(65)과 하우징(26) 저부 사이에 제공된다.
전극(60)은 또한 전극(60)과 수용체(40) 사이에서 접속되는 RF 발생기(71)에 의해 에너지를 받으며, 본 발명의 실시예에 따라서 웨이퍼(75)를 공정하기 위해 평행 판 플라즈마 발생기를 형성한다. 발생기는 MF 에너지, 예를 들어 450㎑에서 인가하여 웨이퍼(75)에 인접한 플라즈마를 생성할 수 있다.
상술한 바와 같이 평행한 판 플라즈마 발생 전극(60)으로서 샤워헤드(35)를 사용하는 것에 대안적으로, 또는 전극(60)에 부가적으로, RF 에너지는 도 2에 또한 도시된 바와 같이 유도 코일(70)의 사용을 통하여 공정실 내부에 유도적으로 결합될 수 있다. 코일(70)로부터 공정실(25) 내부로의 에너지 결합을 돕기 위해, 공정실과 하우징(26) 사이에 석영 라이너(liner; 67)가 제공된다. 예를 들어 450㎑의 주파수에서 작동하는 중파(MF) RF 발생기(71a)는 코일(70)을 가로질러 연결된다. 발생기(71a)에 의해 에너지를 받을 때, 코일(70)로부터의 전기 에너지는 웨이퍼 지지체 또는 수용체(40)와 샤워헤드(35) 사이의 공간에서 공정실(25) 내부에 유도적으로 결합되어 이러한 공간에 플라즈마를 생성한다.
평행한 판 전극(60) 또는 플라즈마 발생을 위한 유도 결합 코일(70) 중 하나를 사용하여, 기판(75)에 바이어스가 바람직하게 제공된다. 바이어스 전압을 제공하기 위해서, 발생기(72)는 바람직하게는 예를 들어 13.56㎒의 고주파(HF) RF 에너지를 발생시키도록 작동가능하게 제공되며, 수용체(40)와 공정실 벽(26)과 같은 공정실 양극 사이에서 접속된다. 작동될 때, 발생기(72)는 충전을 야기하는 수용체(40)에 전기 에너지를 인가하여, 수용체(40)로부터 공간으로의 흐름은 그에 의해 100 볼트 이하의 낮은 음 바이어스를 수용체(40)에 가하며, 수용체(40) 상에 지지될 때 웨이퍼(75)에 낮은 에너지들에서 플라즈마로부터 양이온들을 흡인한다.
상술한 바와 같이 구성된 장치(10)는 도 2에 확대 도시된 웨이퍼(75)와 같은 반도체 웨이퍼들 상의 고종횡비 콘텍트들을 효과적으로 세정함에 의해 본 발명의 공정을 수행하도록 작동한다. 도 2에서, 웨이퍼(75)는, 예를 들어 1 미크론의 두께를 가지는 실리콘 이산화물(SiO2) 층(77)과 같은 일반적인 절연층이 그 위에서 증착되는 실리콘(Si) 베이스 또는 기판 층(76)을 포함한다. 절연 SiO2층(77)이 형성되는 동안 에칭 또는 다른 공정에 의해, 전도 실리콘층(76) 하면을 노출시키기 위해 층(77)을 통해 지나가는 다수의 구멍들(78) 또는 비어들이 형성된다. 구멍(78)의 저부에서 노출된 영역은 "금속화"로서 언급되는 공정에 의해서 전기 전도체에 접속되어야 하는 콘텍트(80)를 이룬다. 금속화 공정에서, Ti 또는 TiN의 얇은 층(81)은 전형적으로 콘텍트들(80) 상에서 증착되며, 콘텍트 표면에 텅스텐과 같은 전도성 재료의 덮개 층(82)이 증착되어 구멍들(78)을 채우고 SiO2층(77)을 통하여 연장하는 콘텍트를 형성한다. Ti 및 TiN 층은 텅스텐 및 실리콘 층(76) 사이에서 결합 및 전기 전도성을 향상시킨다.
SiO2층 및 구멍들(78)의 패턴을 형성하는 공정은 전형적으로 하나 또는 그 이상의 공정 장치들에서 수행되며, 이들은 다른 후속 공정을 위하여 산소 함유 환경을 통해 CVD 장치(10)로 운반된다. 일부 공정을 마친 웨이퍼들(75)의 이러한 운반 동안, 산화물들은 콘텍트들(80) 상에 형성되기 쉬우며, 이는 콘텍트(80)의 Si 상에 Ti 또는 TiN의 효과적인 증착을 방해할 수 있고 콘텍트에서 저항성을 증가시킬 수 있다. 본 발명의 공정에 의하여 콘텍트(80)는, 적합하게는 Ti 또는 TiN 층이 증착되는 동일한 공정실(25) 내에서, CVD에 의해 Ti 또는 TiN 층의 증착을 위한 진공 환경 속으로 도입된 후에 H2플라즈마 에칭에 의해 세정된다.
본 발명의 공정의 바람직한 실시예에 따르면, Si의 기판 베이스 층을 가지며, 구멍들의 패턴(80)을 가진 Si층(76)을 덮는, SiO2와 같은 절연층(77)으로 덮여지는 웨이퍼(75)는, 장치(10)의 CVD 반응부(15)의 공정실(25)에서 수용체(40) 상에 위치된다. 그 후 공정실(25)은, Ar 소스(23)로부터 아르곤 가스로 씻어냄으로써 및, 극저온 펌프(31)를 사용하여 공정실(25)을 약 10-4Torr의 진공으로 펌핑함으로써 세정된다. 공정실(25)이 원하지 않는 가스가 세정될 때, 소스들(24, 23)로부터의 H2및 Ar 가스들의 혼합물은 혼합실(30)로 진입되며 그로부터 샤워헤드(35)를 통하여 공정실(25)로 진입된다. 이러한 가스 혼합물은 수용체(40)에 부착된 웨이퍼(75)의 중심을 향하여 흐르게 되고, 그 후 중심으로부터 반경 방향으로 멀어지며, 그 후 하부 전기적 조절부들(65, 68, 69)를 돌아서 배출 포트(18)를 통하여 흐른다. 흐름 속도는 바람직하게는 H2의 3 내지 12 sccm의 범위이며, 혼합물에서 H2는 20 내지 80 퍼센트이다. 히터(42)는 에너지를 받아서 웨이퍼(75)의 온도를 최소 400℃, 바람직하게는 550℃까지 상승시킨다.
H2및 Ar 가스 혼합물의 흐름이 발생되면, 발생기(71 또는 71a)는 활성화되어 샤워헤드(35)와, 수용체(40) 상단에서 지지되는 웨이퍼(75) 표면 사이에서 가스 혼합물 내의 플라즈마에 에너지를 준다. 혼합물의 H2혼합물은 바람직하게는 약 80 %로 유지되며, 이는 혼합물 내의 플라즈마가 발화되도록 제공하고 유지될 수 있다. 발생기(71a)로부터의 에너지는 전형적으로 450㎑의 MF 대역에 있다. 수용체(40)는 이러한 세정 공정 동안 회전될 필요가 없다. 공정의 상태들은 약 60 초 동안 유지된다. 웨이퍼(75)는, 전형적으로 13.56㎒에서 작동하는 HF 발생기(72)의 에너지에 의해, 100 볼트 이하, 바람직하게는 50 볼트 이하의 음전압으로 바이어스된다. 바람직하게, 바이어스 전압은 15 내지 35 볼트의 음전압에서 유지된다. 공정 동안, 수소가 풍부한 플라즈마가 형성되며, 웨이퍼(75)의 표면을 가로질러 흐르게 되어, 수소 이온들이 생성되고, 수소 이온들을 흡인하고 다소 가속시키기 위해 바이어스되는 웨이퍼(75)의 표면으로 흡인된다. 이들 이온들은 콘텍트들로부터 산화물들을 세정하며, 콘텍트들(80)의 표면 상에 수소-수소 결합들을 형성한다.
상술된 공정의 세정 단계들 후에 및, 대기에 웨이퍼(75)가 노출되기 전에, Ti 또는 TiN CVD 공정이 수행되어 세정된 콘텍트들 상에 막을 증착시킨다. 본 발명의 바람직한 실시예에서, Ti 또는 TiN CVD 공정은 공정실(25)에서 수행된다. Ti 코팅 공정의 수행에서, TiCl4는 소스(21)로부터 밸브들(13), 유입로들(12) 및, 유입 포트들(16)을 통해서, 소스들(23, 24)로부터의 Ar 및 H2와 함께, 혼합실(30)로 흐르게 되며, 혼합실(30)로부터 공정실(25)로 흘러, 웨이퍼(75) 표면을 가로질러 흐르게 된다. 공정실(25) 내부에서 가열된 웨이퍼(75)와 접촉할 때, 수소는 TiCl4를 감소시켜 본 발명의 원리들에 따라 플라즈마 세정된 기판 표면에 Ti를 생성한다. 바람직하게는 Ti 형성 공정 이후에 수행되는 TiN 코팅 공정을 위해, NH3가 소스(22)로부터, 가열된 웨이퍼(75)의 표면으로 흐르게 되며, 더욱 TiCl4를 감소시키고 웨이퍼 표면 상에 TiN 층을 형성한다. Ti 및 TiN 코팅 공정들을 위해, 웨이퍼(75)는 CVD 반응을 유지하기에 충분한 반응 온도로 가열되어 원하는 Ti 또는 TiN 막을 증착시키며, 반응 온도는 전형적으로 450℃ 내지 650℃의 범위에 있다. CVD 공정은 약 10 Torr의 압력에서 수행되며, 약 1 분의 시간 동안 약 2000 sccm의 전체 반응 가스의 유속으로 수행된다.
Ti 또는 TiN 층의 증착 후에, 텅스텐 층이 전형적으로 인가된다. 이는 공정실(25)에서 수행될 수도 있으나, 일반적으로 장치(10)이 동일한 진공 환경에 접속되는, 반응부(15)와 유사한 반응부의 유사한 공정실에서 더욱 편리하게 수행될 것이다. 이러한 텅스텐 증착 공정은 바람직하게는 본 명세서에 참조로 포함된 미국 특허 제 5,434,110 및 제 5,342,652에서 설명된 공정들에 따라 수행된다.
위에서 설명된 본 발명의 장치 및 공정의 바람직한 실시예들의 설명으로부터, 본 발명의 사상들을 벗어나지 않는 한도 내에서 개시된 실시예들에 변형들 및 추가들이 가능함이 당업자에게 명백할 것이다.

Claims (48)

  1. 금속화에 앞서 반도체 기판 상의 콘텍트들을 세정하고, 상기 콘텍트들을 금속화하기 위한 장치에 있어서, 상기 장치는:
    세정실을 포함하는 적어도 하나의 진공실과,
    상기 세정실 내의 기판 지지체와,
    수소 함유량이 체적의 20 내지 80 퍼센트인, 수소와 아르곤을 필수적으로 포함하는 세정 가스 혼합물을 상기 세정실에 제공하기 위해 상기 세정실에 접속된 세정 가스 소스와,
    약 1 mTorr 내지 10 Torr의 대략적인 압력을 유지하기 위해 상기 적어도 하나의 실(chamber)에 접속된 진공 펌프와,
    상기 가스 혼합물 내에 플라즈마를 생성하기 위해 상기 세정실에 접속된 에너지 소스와,
    기판의 상기 콘텍트들 상에 금속화 층을 인가함으로써 상기 기판을 코팅하기 위해 구성된 실을 포함하는 상기 적어도 하나의 실 및,
    히터에 의해 적어도 400℃로 상기 기판을 가열하는 동안, 플라즈마로 상기 기판 상의 상기 콘텍트들을 플라즈마 처리하고, 그 후 상기 플라즈마 처리에 후속하여 상기 장치의 상기 진공으로부터 상기 기판을 제거하지 않고 상기 기판 상의 상기 콘텍트들 상에 금속화 막을 증착시키기 위해서, 상기 세정 가스 소스, 상기 에너지 소스 및, 상기 히터를 제어하도록 프로그램된 제어기를 포함하는, 세정 및 금속화 장치.
  2. 제 1 항에 있어서, 상기 세정 가스 소스는 3 내지 12 sccm의 속도로 세정 가스의 흐름을 생성하도록 구성되는, 세정 및 금속화 장치.
  3. 제 1 항에 있어서, 상기 기판을 코팅하기 위해 구성된 상기 실은 상기 세정실로부터 분리된 코팅실인, 세정 및 금속화 장치.
  4. 제 3 항에 있어서, 상기 실은, 상기 실을 둘러싸고, 상기 콘텍트들을 플라즈마 처리하기 위해 상기 가스 혼합물 내에 유도적으로 결합된 플라즈마를 생성하기 위해 상기 에너지 소스가 접속된 코일이 구비되는, 세정 및 금속화 장치.
  5. 제 4 항에 있어서, 상기 에너지 소스는 RF 에너지 소스인, 세정 및 금속화 장치.
  6. 제 4 항에 있어서, 상기 에너지 소스는 중파 RF 에너지 소스인, 세정 및 금속화 장치.
  7. 제 4 항에 있어서, 상기 기판에 바이어스를 가하기 위해 상기 기판 지지체에 접속된 고주파 RF 에너지 소스를 더 포함하는, 세정 및 금속화 장치.
  8. 제 1 항에 있어서, 상기 기판을 코팅하기 위해 구성된 상기 실은 상기 세정실과 동일한 실인, 세정 및 금속화 장치.
  9. 제 8 항에 있어서, 상기 실 내에서 상기 플라즈마를 발생시키기 위해 상기 에너지 소스에 결합된 상기 실 내에 평행판 전극을 더 포함하는, 세정 및 금속화 장치.
  10. 제 9 항에 있어서, 상기 에너지 소스는 RF 에너지 소스인, 세정 및 금속화 장치.
  11. 제 9 항에 있어서, 상기 에너지 소스는 중파 RF 에너지 소스인, 세정 및 금속화 장치.
  12. 제 11 항에 있어서, 상기 기판에 바이어스를 가하기 위해 상기 기판 지지체에 접속된, 상기 중파 에너지와 별도로 제어가능한 고주파 RF 에너지 소스를 더 포함하는, 세정 및 금속화 장치.
  13. 제 9 항에 있어서, 상기 기판에 바이어스를 가하기 위해 상기 기판 지지체에 접속된 고주파 RF 에너지 소스를 더 포함하는, 세정 및 금속화 장치.
  14. 제 1 항에 있어서, 상기 기판을 코팅하기 위해 구성된 상기 실은 화학적 증착에 의해 상기 기판 상의 콘텍트들에 금속화 코팅을 인가하기 위해 구성된 CVD 실인, 세정 및 금속화 장치.
  15. 제 1 항에 있어서, 상기 기판을 코팅하기 위해 구성된 상기 실은, 티타늄 및 질소 함유 가스의 가스 소스들이 제공되며, 상기 기판 상의 상기 콘텍트들에 티타늄 질화물 금속화 코팅을 형성하도록 동작할 수 있는, 세정 및 금속화 장치.
  16. 제 1 항에 있어서, 상기 기판을 코팅하기 위해 구성된 상기 실은 상기 세정실로부터 분리된 코팅실인, 세정 및 금속화 장치.
  17. CVD 장치에 있어서:
    운반 메커니즘을 갖는 진공 운반 모듈과,
    상기 운반 모듈에 접속된 게이트를 갖는 CVD 진공 공정실과,
    상기 공정실에 위치되고 지지체 상의 기판에 대향하여 가스의 흐름을 인도하도록 방향설정된 가스 유입(inlet) 구조와,
    티타늄 또는 티타늄 질화물을 필수적으로 포함하는 막을 상기 기판 상에 증착시키기 위해 CVD 반응을 일으킬 수 있는 반응 가스를 공급하기 위해, 상기 가스 유입 구조에 선택적으로 접속될 수 있는 반응 가스 소스와,
    진공 세정실, 상기 세정실 내의 기판 지지체 및, 상기 운반 모듈에 접속된 게이트를 갖는 세정 모듈과,
    상기 세정 모듈 내의 가스 흐름 포트들과,
    수소 및 아르곤을 필수적으로 포함하며 상기 수소 함유량이 체적의 20 퍼센트 내지 80 퍼센트인 가스 혼합물을 공급하기 위해, 상기 가스 흐름 포트들에 선택적으로 접속될 수 있는 세정 가스 소스와,
    상기 세정실을 둘러싸는 코일과,
    상기 실 내의 상기 수소 및 아르곤 가스 혼합물에 유도적으로 결합된 플라즈마를 생성하기 위해 상기 코일을 통해 상기 실 내로 유도 결합을 위해 상기 코일에 접속된 RF 에너지 소스와,
    상기 실 내의 가스에서 플라즈마에 에너지를 주기 위해 상기 실에 결합된 선택적으로 작동가능한 중파 RF 에너지 소스와,
    세정 및 CVD 동안 상기 지지체 상의 기판을 적어도 400℃의 온도로 가열하기 위해 위치된 히터 및,
    상기 장치가 운반 암(arm)으로 기판을 상기 세정실 내로 위치시키도록 작동시키고, 수소 및 아르곤 가스 혼합물의 상기 가스 내에서 플라즈마에 에너지를 가하여 상기 기판 상의 콘텍트들을 세정시키고, 상기 기판을 진공 하에서 상기 세정실로부터 상기 CVD 공정실로 운반하고, 티타늄 또는 티타늄 질화물을 필수적으로 포함하는 막을 상기 기판 상에 증착시키기 위해 상기 CVD 공정실 내에 CVD 반응을 생성하기 위한 제어 수단을 포함하는, CVD 장치.
  18. 제 17 항에 있어서, 상기 세정실의 가스 흐름 포트들에 접속되고, 상기 세정 가스 소스로부터 상기 가스 유입 구조를 통하여 상기 실 내로, 상기 유입 구조로부터 상기 기판 지지체로의 하류 방향으로 및, 상기 기판 지지체로부터 펌프를 통하여 상기 실 외부로의 상기 세정 가스의 흐름을 유발하도록 작동가능한 터보 분자 펌프를 더 포함하는, CVD 장치.
  19. 제 17 항에 있어서, 상기 세정실의 세정 포트들에 접속되고, 상기 세정 가스 소스로부터 상기 가스 유입 구조를 통하여 상기 실 내로, 상기 가스 유입 구조로부터 상기 기판 지지체로의 하류 방향으로 및, 상기 기판 지지체로부터 펌프를 통하여 상기 실 외부로의 상기 세정 가스의 흐름을 유발하도록 작동가능하며, 상기 실내에서 1 mTorr 내지 10 Torr 사이의 압력을 유지하는 펌프를 더 포함하는, CVD 장치.
  20. 제 17 항에 있어서, 상기 세정실에 접속되고, 상기 세정 가스 소스로부터 상기 가스 유입 구조를 통하여 상기 실 내로, 상기 가스 유입 구조로부터 상기 기판 지지체를 가로질러 3 내지 12 sccm의 속도로 하류 방향으로 및, 상기 기판 지지체로부터 펌프를 통하여 상기 실 외부로의 상기 세정 가스의 흐름을 유발하도록 작동가능한 펌프를 더 포함하는, CVD 장치.
  21. 제 17 항에 있어서, 상기 중파 RF 에너지 소스의 상기 중파 RF 에너지는 약 450㎑의 주파수를 가지는, CVD 장치.
  22. 제 17 항에 있어서, 상기 중파 RF 에너지 소스와 독립적으로 제어가능하고, 상기 기판 지지체에 접속되고, 상기 지지체 상의 기판을 100 볼트 이하의 크기를 갖는 전압으로 바이어스하도록 구성된, 선택적으로 작동가능한 고주파(HF) 에너지 소스를 더 포함하며,
    RF 에너지를 생성하는 상기 HF 에너지 소스의 상기 HF 에너지는 약 13.56㎒의 주파수를 가지는, CVD 장치.
  23. 제 17 항에 있어서, 상기 중파 RF 에너지 소스와 독립적으로 제어가능하고, 상기 기판 지지체에 접속되고, 상기 지지체 상의 기판을 약 100 볼트의 크기를 갖는 전압으로 바이어스하도록 구성된, 선택적으로 작동가능한 고주파(HF) 에너지 소스를 더 포함하는, CVD 장치.
  24. 제 17 항에 있어서, 상기 중파 RF 에너지 소스와 독립적으로 제어가능하고, 상기 기판 지지체에 접속되고, 상기 지지체 상의 기판을 바이어스하도록 구성된, 선택적으로 작동가능한 고주파(HF) 에너지 소스를 더 포함하는, CVD 장치.
  25. 제 17 항에 있어서, 상기 히터는 세정 동안 상기 기판을 400℃ 내지 800℃의 온도로 가열하기 위해 상기 제어 수단에 의해 제어되는, CVD 장치.
  26. 제 17 항에 있어서, 상기 히터는 세정 동안 상기 기판을 약 550℃의 온도로 가열하기 위해 작동가능한, CVD 장치.
  27. 반도체 기판 상의 실리콘 콘텍트들을 인시츄(in-situ) 세정 및 금속화하는데 사용하기 위한 CVD 장치에 있어서, 상기 장치는:
    CVD 진공 공정실과,
    상기 CVD 진공 공정실 내의 기판 지지체와,
    상기 실 내에 위치되고 상기 지지체 상의 기판에 대향하여 가스의 흐름을 유도하도록 방향설정된 가스 유입 구조와,
    상기 가스 유입 구조에 선택적으로 접속될 수 있고, 티타늄 또는 티타늄 질화물을 필수적으로 포함하는 막을 상기 기판 상에 증착시키기 위하여 CVD 반응을 일으킬수 있는 반응 가스를 공급하기 위한 반응 가스 소스와,
    상기 CVD 진공 공정실 내에서 인시츄로 상기 지지체에 장착된 기판 상의 실리콘 콘텍트들을 세정하기 위한 수단으로서, 상기 수단은 상기 가스 유입 구조에 선택적으로 접속될 수 있는 세정 가스 소스와 상기 실에 접속된 진공 펌프를 포함하며, 상기 세정 수단은 약 1 mTorr 내지 10 Torr의 대략적인 압력에서, 수소 함유량이 체적의 20 퍼센트 내지 80 퍼센트인 수소와 아르곤을 필수적으로 포함하는 상기 소스로부터의 세정 가스 혼합물을 상기 실 내부로 및 상기 지지체 상의 기판을 가로질러 흐르도록 하기 위한 수단을 포함하는, 상기 세정 수단과,
    상기 CVD실 내에 상기 기판 지지체에 평행하게 연장하는 전극과,
    상기 실 내의 상기 가스 혼합물에서 플라즈마에 에너지를 가하기 위해 상기 실 내부로 중파 RF 에너지를 결합하도록 상기 지지체와 상기 전극 사이에 접속된 중파 RF 에너지 소스와,
    세정 및 CVD 동안 상기 지지체 상의 기판을 적어도 400℃의 온도로 가열시키도록 위치된 히터와,
    상기 CVD 진공 공정실 내부에서 인시츄로 상기 기판 상의 상기 실리콘 콘텍트들을 세정하기 위해, 상기 히터, 중파 RF 에너지 소스 및, 상기 세정 수단에 관련된 히터를 제어하기 위한 수단 및,
    티타늄 또는 티타늄 질화물 막을 상기 기판 상에 증착시키기 위해 CVD 반응을 일으키기 위해서 상기 기판 상의 상기 콘텍트들의 상기 인시츄 세정에 후속하여 상기 지지체 상의 상기 기판을 가열하는 동안에, 상기 반응 가스 소스를 제어하기 위한 수단을 포함하는, CVD 장치.
  28. 제 27 항에 있어서, 상기 흐르게 하는 수단은 상기 세정 가스 내의 상기 수소를 3 내지 12 sccm의 속도로 흐르게 하는 수단을 포함하는, CVD 장치.
  29. 제 27 항에 있어서, 상기 중파 RF 에너지 소스는 약 450 ㎑의 주파수로 중파 RF 에너지를 발생시키도록 작동가능한, CVD 장치.
  30. 제 27 항에 있어서, 상기 히터는 세정 동안 상기 기판을 약 400℃ 내지 800℃의 온도로 가열하기 위한 수단을 포함하는, CVD 장치.
  31. 제 27 항에 있어서, 상기 히터는 세정 동안 상기 기판을 약 550℃의 온도로 가열하기 위한 수단을 포함하는, CVD 장치.
  32. 반도체 웨이퍼 상의 실리콘 콘텍트들을 세정하고 금속화하는 인시츄 방법에 있어서, 상기 방법은:
    CVD 공정실의 웨이퍼 지지체 상에 실리콘 콘텍트들을 가지는 반도체 웨이퍼를 위치시키는 단계와,
    수소 함유량이 체적의 20 퍼센트 내지 80 퍼센트 사이인 수소 및 아르곤의 가스 혼합물을 필수적으로 포함하는 세정 가스를 1 mTorr 내지 10 Torr의 압력에서 상기 실 내부로 및 상기 지지체 상의 상기 웨이퍼를 가로질러 흐르게 하는 단계와,
    상기 웨이퍼 지지체와 상기 웨이퍼 지지체에 평행하게 연장하는 전극 사이에서 접속된 에너지 소스로 중파 RF 에너지를 상기 실 내에 결합하여, 상기 웨이퍼 상의 상기 실리콘 콘텍트들을 세정하기 위해 상기 세정 가스 내의 플라즈마에 에너지를 가하는 단계와,
    상기 중파 RF 에너지와 독립적으로 제어가능한 고주파 RF 에너지를 상기 웨이퍼 지지체에 공급하여, 상기 지지체 상의 웨이퍼를 바이어스하는 단계 및,
    상기 지지체 상의 상기 웨이퍼를 적어도 400℃의 온도로 가열하는 단계와,
    그 후, CVD 반응을 일으키기 위해 상기 지지체 상의 웨이퍼를 가열하는 동안 티타늄을 포함하는 반응 가스를 상기 실 내부로 도입하여, 상기 웨이퍼 상에 티타늄 또는 티타늄 화합물 막을 증착시키는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  33. 삭제
  34. 삭제
  35. 제 32 항에 있어서, 상기 고주파 RF 에너지를 공급하는 단계는 약 13.56㎒의 주파수에서 상기 고주파 RF 에너지를 공급하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  36. 제 32 항에 있어서, 상기 바이어스하는 단계는 50 볼트 이하의 크기를 갖는 전압으로 상기 웨이퍼를 바이어스하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  37. 제 32 항에 있어서, 상기 바이어스하는 단계는 상기 실 내의 양극에 대하여 -15 내지 -35 볼트의 전압으로 상기 웨이퍼를 바이어싱하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  38. 삭제
  39. 삭제
  40. 제 32 항에 있어서, 상기 바이어스하는 단계는 100 볼트 이하의 크기를 갖는 전압으로 상기 웨이퍼를 바이어스하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 제 32 항, 제 36 항 또는 제 40 항 중 어느 한 항에 있어서, 상기 흐르게 하는 단계는 3 내지 12 sccm의 속도로 상기 세정 가스 내의 상기 수소를 흐르게 하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  46. 제 32 항, 제 36 항 또는 제 40 항 중 어느 한 항에 있어서, 상기 중파 에너지를 결합하는 단계는 약 450㎑의 주파수로 중파 RF 에너지를 결합하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  47. 제 32 항, 제 36 항 또는 제 40 항 중 어느 한 항에 있어서, 상기 가열하는 단계는 세정 동안 400℃ 내지 800℃의 온도로 상기 웨이퍼를 가열하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
  48. 제 32 항, 제 36 항 또는 제 40 항 중 어느 한 항에 있어서, 상기 가열하는 단계는 세정 동안 약 550℃의 온도로 상기 웨이퍼를 가열하는 단계를 포함하는, 세정 및 금속화 인시츄 방법.
KR10-1999-7006914A 1997-01-31 1997-12-11 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치 KR100445018B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/791,954 US5834371A (en) 1997-01-31 1997-01-31 Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US08/791,954 1997-01-31
US8/791,954 1997-01-31

Publications (2)

Publication Number Publication Date
KR20000070665A KR20000070665A (ko) 2000-11-25
KR100445018B1 true KR100445018B1 (ko) 2004-08-18

Family

ID=25155346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7006914A KR100445018B1 (ko) 1997-01-31 1997-12-11 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치

Country Status (7)

Country Link
US (2) US5834371A (ko)
JP (1) JP3606588B2 (ko)
KR (1) KR100445018B1 (ko)
AU (1) AU5799498A (ko)
DE (1) DE19782253T1 (ko)
GB (1) GB2337766B (ko)
WO (1) WO1998034445A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100960864B1 (ko) 2007-12-24 2010-06-08 주식회사 테라세미콘 플라즈마 화학기상 증착장치
KR20180001453A (ko) * 2016-06-24 2018-01-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 가스 처리 방법 및 기억 매체

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
JP3189771B2 (ja) * 1997-11-26 2001-07-16 日本電気株式会社 半導体装置の製造方法
TW507015B (en) * 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
US6143362A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Chemical vapor deposition of titanium
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
TW589398B (en) * 1998-05-20 2004-06-01 Samsung Electronics Co Ltd Filtering technique for CVD chamber process gases and the same apparatus
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6417041B1 (en) * 1999-03-26 2002-07-09 Advanced Micro Devices, Inc. Method for fabricating high permitivity dielectric stacks having low buffer oxide
GB2349392B (en) * 1999-04-20 2003-10-22 Trikon Holdings Ltd A method of depositing a layer
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4459329B2 (ja) * 1999-08-05 2010-04-28 キヤノンアネルバ株式会社 付着膜の除去方法及び除去装置
US6335282B1 (en) * 1999-08-26 2002-01-01 Micron Technology, Inc. Method of forming a titanium comprising layer and method of forming a conductive silicide contact
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO2001033618A1 (fr) * 1999-10-29 2001-05-10 Matsushita Electric Industrial Co., Ltd. Procede de nettoyage de substrat et procede de fabrication de dispositif semi-conducteur
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
TW535192B (en) * 1999-11-16 2003-06-01 Tokyo Electron Ltd Substrate processing unit and processing method
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US20010051487A1 (en) * 2000-04-26 2001-12-13 Yuichi Hashimoto Method for making organic luminescent device
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR20030019613A (ko) * 2000-07-28 2003-03-06 동경 엘렉트론 주식회사 성막방법
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7094614B2 (en) 2001-01-16 2006-08-22 International Business Machines Corporation In-situ monitoring of chemical vapor deposition process by mass spectrometry
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
KR20020087535A (ko) * 2001-05-14 2002-11-23 삼성전자 주식회사 화학증기증착 쳄버의 개스공급장치
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
JP4540926B2 (ja) * 2002-07-05 2010-09-08 忠弘 大見 プラズマ処理装置
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
WO2004013661A2 (en) * 2002-08-02 2004-02-12 E.A. Fischione Instruments, Inc. Methods and apparatus for preparing specimens for microscopy
US20040055708A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies Richmond, Lp Apparatus and method for in-situ cleaning of borosilicate (BSG) and borophosphosilicate (BPSG) films from CVD chambers
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US20040216843A1 (en) * 2003-05-01 2004-11-04 Kuang-Chung Peng Plasm etching device
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI240328B (en) * 2004-08-27 2005-09-21 Univ Nat Cheng Kung Pretreatment process of substrate in micro-nano imprinting technology
TWI552797B (zh) * 2005-06-22 2016-10-11 恩特葛瑞斯股份有限公司 整合式氣體混合用之裝置及方法
KR101297917B1 (ko) 2005-08-30 2013-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 대안적인 불화 붕소 전구체를 이용한 붕소 이온 주입 방법,및 주입을 위한 대형 수소화붕소의 형성 방법
US7432209B2 (en) * 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20080202419A1 (en) * 2007-02-27 2008-08-28 Smith John M Gas manifold directly attached to substrate processing chamber
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
JP2008263093A (ja) * 2007-04-13 2008-10-30 Tokyo Electron Ltd エッチング方法、エッチングシステムおよびエッチング装置
US7894037B2 (en) * 2007-07-30 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
CN101981661A (zh) 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理***中离子源的清洗
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US8815635B2 (en) 2010-11-05 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of photoelectric conversion device
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
WO2014100047A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for cleaning substrate structures with atomic hydrogen
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US10100409B2 (en) 2015-02-11 2018-10-16 United Technologies Corporation Isothermal warm wall CVD reactor
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US11239060B2 (en) * 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5391281A (en) * 1993-04-09 1995-02-21 Materials Research Corp. Plasma shaping plug for control of sputter etching
JP3436776B2 (ja) * 1993-08-09 2003-08-18 忠弘 大見 ウエハ洗浄装置及び洗浄方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100960864B1 (ko) 2007-12-24 2010-06-08 주식회사 테라세미콘 플라즈마 화학기상 증착장치
KR20180001453A (ko) * 2016-06-24 2018-01-04 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 가스 처리 방법 및 기억 매체
KR101898388B1 (ko) 2016-06-24 2018-09-12 도쿄엘렉트론가부시키가이샤 가스 처리 장치, 가스 처리 방법 및 기억 매체

Also Published As

Publication number Publication date
JP2002505804A (ja) 2002-02-19
WO1998034445A1 (en) 1998-08-06
US5834371A (en) 1998-11-10
JP3606588B2 (ja) 2005-01-05
GB2337766A (en) 1999-12-01
KR20000070665A (ko) 2000-11-25
DE19782253T1 (de) 1999-12-02
US6143128A (en) 2000-11-07
GB9917626D0 (en) 1999-09-29
GB2337766B (en) 2001-10-10
AU5799498A (en) 1998-08-25

Similar Documents

Publication Publication Date Title
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US5855685A (en) Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method
JP4467191B2 (ja) ガス分配システムを有するcvd処理チャンバ及びそれを用いた膜の堆積方法
KR100232040B1 (ko) 플라즈마 cvd장치 및 방법과 드라이에칭장치 및 방법
US5824158A (en) Chemical vapor deposition using inductively coupled plasma and system therefor
US6071573A (en) Process for precoating plasma CVD reactors
US6544380B2 (en) Plasma treatment method and apparatus
US4668365A (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
TWI469238B (zh) 電漿蝕刻處理裝置及電漿蝕刻處理方法
JP3959145B2 (ja) 誘導結合プラズマ・リアクタとその方法
US5015330A (en) Film forming method and film forming device
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
US6333269B2 (en) Plasma treatment system and method
US20050221000A1 (en) Method of forming a metal layer
US8662010B2 (en) Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
EP1100980A2 (en) Processing system and method for chemical vapor deposition
JPH0740569B2 (ja) Ecrプラズマ堆積方法
US20060081183A1 (en) Plasma treatment processing apparatus
US20040242012A1 (en) Method of plasma treatment
JPH0987851A (ja) マイクロ波プラズマ処理装置及び処理方法
US6358324B1 (en) Microwave plasma processing apparatus having a vacuum pump located under a susceptor
JPH09312297A (ja) 薄膜のプラズマアニール
US6016765A (en) Plasma processing apparatus
JPH06196410A (ja) プラズマ処理装置
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110630

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee