JP5500810B2 - 多層配線構造に空隙を形成する方法 - Google Patents

多層配線構造に空隙を形成する方法 Download PDF

Info

Publication number
JP5500810B2
JP5500810B2 JP2008263151A JP2008263151A JP5500810B2 JP 5500810 B2 JP5500810 B2 JP 5500810B2 JP 2008263151 A JP2008263151 A JP 2008263151A JP 2008263151 A JP2008263151 A JP 2008263151A JP 5500810 B2 JP5500810 B2 JP 5500810B2
Authority
JP
Japan
Prior art keywords
trench
layer
dielectric
film
conformal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008263151A
Other languages
English (en)
Other versions
JP2009152544A (ja
Inventor
シア リークン
シュー フイウェン
バルセアヌ ミヘアラ
マギー リー シェック メイイー
アール. ウィッティー デレック
マサッド イシェム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009152544A publication Critical patent/JP2009152544A/ja
Application granted granted Critical
Publication of JP5500810B2 publication Critical patent/JP5500810B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は概して集積回路の製作に関する。より具体的には、本発明の実施形態は、低誘電定数を有する誘電材料を含む多層配線構造を形成する方法に関する。
関連技術の説明
[0002]集積回路形状は、このようなデバイスが数十年前に最初に導入されて以来、劇的に縮小している。これ以来、集積回路は概して(しばしばムーアの法則と称される)18ヶ月サイズルールに従っており、これは、2年ごとにチップのデバイス数が2倍になるということを意味している。今日の製作設備は、0.1μm形状サイズを有するデバイスを定期的に製造しており、将来の設備はやがて、より小型の形状サイズを有するデバイスを製造しているだろう。
[0003]デバイス形状の継続的縮小は、低誘電定数(k)値を有する膜に対する要求を生成しているが、これは、隣接する金属ライン間の容量結合が、集積回路でのデバイスサイズをさらに縮小するために、削減されなければならないからである。とりわけ、約3.0未満の誘電定数を有する絶縁体が望ましい。このような低誘電定数を有する絶縁体の例は、多孔性誘電体、炭素ドープ酸化シリコンおよびポリテトラフルオロエチレン(PTFE)を含んでいる。
[0004]多孔性炭素ドープ酸化シリコン膜を生成するために使用されてきた方法の1つは、有機シリコン化合物、および熱的に不安定な種や揮発性基を備える化合物を備えるガス混合物から膜を堆積した後、堆積膜を事後処置して、堆積膜から、有機基などの熱的に不安定な種や揮発性基を除去するためのものであった。熱的に不安定な種や揮発性基を堆積膜から除去することは膜にナノメートルサイズの間隙を作成し、これは膜の誘電定数を、例えば約2.5に低下させる。
[0005]ナノメートルサイズの間隙からなる大きな空隙の形成はさらに誘電定数を低下させるが、これは、空気がおよそ1の誘電定数を有しているからである。しかしながら、大型空隙の形成に使用された熱プロセスは複数の問題を有している。例えば、熱的除去はこの構造にストレスを作成し、これは安定性の問題を表している。
[0006]したがって、集積回路の形状の継続的縮小および従来の方法における既存の問題に関して、3.0未満の誘電定数を有する誘電層を形成する方法が必要とされている。
発明の概要
[0007]本発明は概して、より小型の特徴部に封入されている均一な空隙を含む多層配線構造を含む多層配線構造を形成する方法を提供する。
[0008]一実施形態は、第1の誘電層にトレンチを形成するステップであって、空隙が該第1の誘電層に形成されることになるステップと、該トレンチにコンフォーマル誘電バリア膜を堆積するステップであって、該コンフォーマル誘電バリア膜が、該第1の誘電層に該空隙を形成する際に使用されるウェットエッチング化学薬品に対するバリアとして作用するように構成されている低k誘電材料を備えるステップと、該コンフォーマル低k誘電層に金属拡散バリア膜を堆積するステップと、該トレンチを充填するために導電材料を堆積するステップとを備える、半導体構造に導電ラインを形成する方法を提供する。
[0009]別の実施形態は、第1の誘電層にトレンチを形成するステップであって、該トレンチが、導電材料をこの中に保有するように構成されているステップと、該トレンチに第1のコンフォーマル誘電バリア膜を堆積するステップと、該トレンチを充填するために第1の導電材料を堆積するステップと、該第1の誘電層を露出するために該第1の導電材料を平坦化するステップと、該導電材料に第1の自己整合型キャップ層を形成するステップと、該第1の導電材料および該第1の誘電層上に第1の多孔性誘電バリアを堆積するステップと、該第1の多孔性誘電バリアを介してウェットエッチング溶液を使用して該第1の誘電層を除去することによって該トレンチ間に空隙を形成するステップであって、該第1のコンフォーマル誘電バリア膜が該ウェットエッチング溶液に対するバリアおよびエッチングストップとして作用するステップとを備える、空隙を有する誘電構造を形成する方法を提供する。
[0010]さらに別の実施形態は、第1の誘電層にトレンチを形成するステップであって、角度付き側壁を有する該トレンチが底部では狭く、開口では広いステップと、該トレンチに第1のコンフォーマル誘電バリア膜を堆積するステップと、該トレンチを充填するために第1の導電材料を堆積するステップと、該第1の誘電層を露出するために該第1の導電材料を平坦化するステップと、該第1の導電材料周辺に逆トレンチを形成するために該第1の誘電層を除去するステップであって、該逆トレンチは角度付き側壁を有しており、また開口では狭く、底部では広いステップと、逆トレンチに第1の非コンフォーマル誘電層を堆積することによって該逆トレンチの少なくとも一部に空隙を形成するステップであって、特定の値より大きなアスペクト比を有する該逆トレンチに空隙が形成されているステップとを備える、空隙を有する誘電構造を形成する方法を提供する。
[0011]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約されている本発明に関するより特定的な説明は実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を許容可能であるため、この範囲を制限するものと見なされるべきではない点に注目する。
[0018]理解を容易にするために、同一参照番号が、可能ならば、図面に共通の同一要素を指し示すために使用されてきた。一実施形態に開示されている要素は、具体的な引用なしに他の実施形態で効果的に利用可能であることが想定されている。
詳細な説明
[0019]本発明の実施形態は概して、多層配線構造に空隙を形成する方法を提供する。空隙は概して、金属構造が、例えばダマシン構造のトレンチレベルで緊密にパックされているエリアに形成される。コンフォーマル低k誘電バリア膜が、空隙周辺に機械的サポートを提供し、かつ空隙形成中のウェットエッチング化学薬品および湿気から金属構造を保護するために、金属構造周辺に堆積される。一意の多孔性低k誘電層が除去可能な層間誘電(ILD)層上に形成される。多孔性誘電バリアは、ウェットエッチング化学薬品の浸透を許容し、かつILD層の除去およびこの中への空隙の形成を可能にする皮膜として作用する。高密度誘電バリアは次いで多孔性誘電バリア上に堆積される。低ストレス低kILD層は高密度誘電バリア上に堆積されてもよく、次のレベルで構造を形成するために誘電体を提供する。低ストレスILD層は、多層配線構造内の空隙の形成によってもたらされるストレスを低減する。別の実施形態では、非コンフォーマル低k誘電層が、側壁が傾斜されている金属構造周辺に堆積されており、空隙は、金属構造が緊密にパックされている非コンフォーマル低k層の一部内に形成されてもよい。
多孔性誘電バリアを介する空隙の形成
[0020]図1A〜図1Jは、本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。図4は、図1A〜図1Jに示されている処理シーケンスに従ったプロセス200を図示している。
[0021]トランジスタなどのデバイスが半導体基板101上に形成された後、ビア層102が基板101上に形成されてもよい。ビア層102は通常、導電要素(ビア)103をこの中に形成している誘電膜である。導電要素103は、基板101に形成されたデバイスと電気的に連通するように構成されている。通常は導電材料および誘電体のトレンチ層およびビア層を交互に含んでいる多層配線構造がビア層102上に形成されて、基板101のデバイスに回路を提供する。トレンチ層は概して、導電ラインを形成している誘電膜のことである。ビア層は、一方のトレンチ層から別のトレンチ層への電気経路を提供する小型金属ビアを有する1層の誘電体である。
[0022]プロセス200は、ビア層102上に多層配線構造を形成する方法を提供する。
[0023]ステップ201において、図1Aに示されているエッチングストップ層104がビア層102上全体に堆積されて、第1の誘電層105、例えば二酸化シリコン層がエッチングストップ層104上に堆積される。エッチングストップ層104は、後続のエッチングステップ中にビア層102を保護し、かつ誘電拡散バリアとして作用するように構成されている。エッチングストップ層104はシリコンカーバイド層であってもよい。
[0024]ステップ202において、トレンチ106が誘電層105およびエッチングストップ層104に形成される。トレンチ106は、エッチングが続く、フォトレジストを使用するパターニングなどの、当業者に公知の任意の従来の方法を使用して形成されてもよい。
[0025]ステップ204において、コンフォーマル誘電バリア膜107が、トレンチ106の側壁を含む基板の上部表面全体に堆積される。コンフォーマル誘電バリア膜107は、後にトレンチ106に形成される銅線などの金属構造を、後続プロセス中のウェットエッチング化学薬品および湿気から保護するためのバリア層として作用するように構成されている。加えて、コンフォーマル誘電バリア膜107はまた、空隙が形成された後に、トレンチ106に形成されている金属構造に機械的サポートを提供する。一実施形態では、コンフォーマル誘電バリア膜107は低k誘電バリア材料、例えば窒化ホウ素(BN)、窒化シリコン(SiN)、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)、窒化シリコンホウ素(SiBN)またはこれらの組み合わせを備えている。
[0026]一実施形態では、コンフォーマル誘電バリア膜107は、プラズマ化学気相堆積(PECVD)プロセスによって形成された、約5.0未満のk値を具備する窒化ホウ素(BN)層である。コンフォーマル誘電バリア膜107は約10Å〜約200Åの厚さを有することがある。窒化ホウ素層の堆積は、ホウ素含有前駆体からホウ素含有膜を形成するステップと、このホウ素含有膜を窒素含有前駆体で処置するステップとを備えてもよい。ホウ素含有膜の形成はプラズマによって、またはこれなしで実行可能である。ホウ素含有前駆体はジボラン(B)、ボラジン(B)、またはボラジンのアルキル置換誘導体であってもよい。ホウ素含有膜の処置は、プラズマプロセス、紫外線(UV)硬化プロセス、熱アニーリングプロセスおよびこれらの組み合わせからなる群より選択されてもよい。窒素含有前駆体は窒素ガス(N)、アンモニア(NH)またはヒドラジン(N)であってもよい。窒化ホウ素膜の堆積に関する詳細な説明は、2007年5月23日に出願された「Boron Nitride and Boron−Nitride Derived Materials Deposition Method」(代理人整理番号第11996号)と題された米国仮特許出願第60/939,802号に見られ、これは参照によって本明細書に組み込まれている。
[0027]ステップ206において、金属拡散バリア108がコンフォーマル誘電バリア膜107上に形成される。金属拡散バリア108は、トレンチ106および誘電構造に後に近接して堆積される金属ライン間の拡散を防止するように構成されている。金属拡散バリア108はタンタル(Ta)および/または窒化タンタル(TaN)を備えてもよい。
[0028]ステップ208において、トレンチ106は、図1Bに示されているように、1つ以上の金属を備える導電ライン109によって充填されてもよい。一実施形態では、スパッタリングステップが、金属拡散バリア108およびコンフォーマル誘電バリア膜107をトレンチ106の底部壁の全体または一部から除去するために実行されてもよく、導電ライン109は、ビア層102の導電要素103と直接接触可能である。導電ライン109の堆積は、導電シード層を形成するステップと、導電シード層上に金属を堆積するステップとを備えてもよい。導電ライン109は、銅(Cu)、アルミニウム(Al)、または所望の伝導率の任意の適切な材料を備えてもよい。
[0029]ステップ210において、化学的機械的研磨(CMP)プロセスが導電ライン109、金属拡散バリア108およびコンフォーマル誘電バリア膜107に実行されて、誘電層105が、図1Cに示されているように露出される。
[0030]ステップ212において、自己整合型キャップ層110が導電ライン109上に形成される。自己整合型キャップ層110は無電解堆積を使用して形成されてもよく、また導電ライン109の露出表面にのみ形成されてもよい。自己整合型キャップ層110は、空隙形成で使用されるウェットエッチング化学薬品から導電ライン109を保護し、かつ導電ライン109の上部表面全体への種の拡散を防止するためのバリアとして構成されている。自己整合型キャップ層110は銅および酸素両方の拡散を防止可能である。銅を備える導電ライン109について、自己整合型キャップ層110は、コバルト(Co)、タングステン(W)またはモリブデン(Mo)、リン(P)、ホウ素(B)、レニウム(Re)およびこれらの組み合わせを含有する多様な組成を備えてもよい。自己整合型キャップ層110の形成に関する詳細な説明は、「Adhesion and Minimizing Oxidation on Electroless Co Alloy Films for Integration with Low k Inter−Metal Dielectirc and Etch Stop」と題された米国特許公報第2007/0099417号に見られ、これは参照によって本明細書に組み込まれている。
[0031]ステップ214において、多孔性誘電バリア111が導電ライン109およびコンフォーマル誘電バリア膜107上に堆積される。多孔性誘電バリア111は、k<4.0の低k誘電バリアであってもよい。多孔性誘電バリア111は透過性であり、希釈フッ化水素(DHF)溶液などのエッチング溶液を、第1の誘電層105などの除去可能な層に浸透させて、その下に空隙を形成することができる。多孔性誘電バリア111は炭素が豊富であり、疎水性である。多孔性誘電バリア111は概して、エッチング溶液との接触がこの構造に影響を与えない程度の低ウェットエッチングレートを有している。一実施形態では、低ウェットエッチングレートが、多孔性誘電バリア111におけるSi−O結合を削減または排除することによって達成されてもよい。一実施形態では、多孔性誘電バリア111はまた、導電ライン109における銅などの金属に対する拡散バリア層として作用することもある。一実施形態では、多孔性誘電バリア111は疎水性であるため、ウェットエッチングプロセスからの残渣および汚染を最小化することができる。一実施形態では、多孔性誘電バリア111の疎水性は、多孔性誘電バリア111における炭素含有量をコントロールすることによって取得されてもよい。
[0032]一実施形態では、多孔性誘電バリア111は、シリコン酸素結合(Si−O)なしの、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)またはこれらの組み合わせを備えている。一実施形態では、多孔性誘電バリア111は、約10Å〜約100Åの厚さを有することがある。別の実施形態では、多孔性誘電バリア111は、約50Å〜約300Åの厚さを有することがある。
[0033]多孔性誘電バリア111は、シリコンおよび炭素を含有する前駆体を使用する化学気相堆積法を使用して形成されてもよい。一実施形態では、低密度プラズマ条件が、多孔性誘電バリア111を形成するために使用される。一実施形態では、多孔性誘電バリア111は、参照によって本明細書に組み込まれている「Method of Improving Stability in Low k Barrier Layers」と題された米国特許第6,790,788号における低kシリコンカーバイド層の堆積方法に類似の、水素を備える処理ガスと酸素フリー有機シリコン化合物を反応させることによって堆積されたシリコンカーバイド層であってもよい。
[0034]多孔性誘電バリアの形成方法に関する詳細な説明は、2007年10月9日に出願され、「Method to Obtain Low K Dielectric Barrier with Superior Etch Resistivity」と題された米国特許出願(代理人整理番号第11498号)に見られ、これは参照によって本明細書に組み込まれている。実施例1は、多孔性誘電バリア111を堆積するための例示的レシピを列挙している。
実施例1
[0035]シリコンカーバイドを有する多孔性誘電バリアを堆積するためのPECVD堆積プロセスは、トリメチルシラン(TMS、(CHSiH)およびエチレン(C)の組み合わせを備える前駆体を使用するステップを備えている。TMS/エチレン比を含むプロセス条件は、炭素の原子パーセンテージが15%以上になるように設定される。一実施形態では、エチレン/TMS比は約1:1〜約8:1であり、TMS/エチレン前駆体およびキャリアガスの流量は約5sccm〜約10,000sccmであり、温度は約350℃でる。これらの条件について、チャンバ圧力は約10ミリトール〜約1気圧であり、プラズマ生成の無線周波数(RF)電力は約15W〜約3,000Wであり、処理中の基板に前駆体を提供するように構成されている、基板とシャワーヘッド間の間隔は約200ミル〜約2000ミルである。
[0036]図4を参照すると、ステップ216において、空隙が形成されることになるエリアを露出するためにパターンが生成されてもよい。フォトレジスト層112が多孔性誘電バリア111上に堆積される。パターンは次いで、図1Dに示されているように、フォトレジスト層112で現像され、ホール113を介して多孔性誘電バリア111の一部を露出する。このパターンは、導電ライン109間の距離が特定の範囲にあるエリアに空隙を制限するために使用される。例えば、空隙は、近接する導電ライン109の距離が5nm以上であるエリアに制限されることがある。空隙は、緊密にパックされている導電ライン109間の誘電体のk値を低下させるためにもっとも効果的である。加えて、ピッチが大きい導電ライン109、またはビア層におけるビアなどの、かなり離れた金属構造間の空隙の形成は、機械的構造の一体性に影響を与えることがある。したがって、パターンは、特定の範囲に空隙を制限するように、本ステップで形成される。一実施形態では、空隙は近接する導電ライン109間に形成されてもよく、この場合導電ライン109間の距離は約5nm〜約200nmである。
[0037]ステップ218において、ウェットエッチングプロセスが実行される。第1の誘電層105の一部は、ホール113によって露出されている多孔性誘電バリア111を介してDHF溶液などのエッチング溶液に接触しており、また、図1Eに示されているように、空隙114を形成するために完全または部分的にエッチングされている。一実施形態では、DHF溶液は水6に対してフッ化水素1を備えている。バッファ化されたフッ化水素(BHF、NHF+HF+HO)などの他のウェットエッチング化学薬品もまた、多孔性誘電バリア111を介して第1の誘電層105をエッチングするために使用されてもよい。例示的なエッチング方法は、「Etch Process for Etching Microstructures」と題された米国特許第6,936,183号に見られ、これは参照によって本明細書に組み込まれている。図1Eに矢印で示されているように、エッチング溶液は多孔性誘電バリア111を介して第1の誘電層105に達し、エッチング生成物は多孔性誘電バリア111を介して除去される。
[0038]エッチングプロセスは、第1の誘電層105を囲むコンフォーマル誘電バリア膜107、エッチングストップ層104および多孔性誘電バリア111によってコントロールされる。コンフォーマル誘電バリア膜107および多孔性誘電バリア111はまた空隙114に均一な構造を提供する。クリーニングプロセスには、エッチングプロセスのフォトレジストおよび残渣を除去するためのエッチングプロセスが続いてもよい。
[0039]ステップ220において、図1Fに示されている高密度誘電バリア115は、空隙形成の完了時に多孔性誘電バリア111に堆積される。高密度誘電バリア115は、導電ライン109における銅などの金属の拡散、および空隙114への湿気の移行を防止するように構成されている。高密度誘電バリア115は、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)、窒化ホウ素(BN)、窒化シリコンホウ素(SiBN)、窒化シリコンホウ素カーバイド(SiBCN)またはこれらの組み合わせなどの薄い低k誘電バリア膜を備えてもよい。一実施形態では、高密度誘電バリア115は約20Å〜約500Åの厚さを有している。別の実施形態では、高密度誘電バリア115は約50Å〜約200Åの厚さを有する。
[0040]ステップ222において、ILD層116が高密度誘電バリア115上に堆積されている。任意の適切な誘電材料がILD層116として使用されてもよい。一実施形態では、ILD層116は、トレンチ層間の誘電定数k<2.7の低kかつ低ストレス誘電体である。ILD層116の低ストレスによってILD層116は、空隙114の形成によって生成されたストレスを吸収および/または中和することができる。ILD層116はまた、この構造をサポートするための良好な機械的特性を有している。一実施形態では、ILD層116は約100Å〜約5,000Åの厚さを有している。ILD層116は炭素ドープ二酸化シリコン、シリコンオキシカーバイド(SiO)またはこれらの組み合わせであってもよい。ILD層116の形成方法は、「Low Temperature Process to Produce Low−K Dielectrics with Low Stress by Plasma−Enhanced Chemical Vapor Deposition(PECVD)」と題された米国特許公報第2006/0043591号に見られ、これは参照によって本明細書に組み込まれている。
[0041]ステップ224において、エッチングストップ層127がILD層116上に形成される。エッチングストップ層127は、ILD層116上の後続トレンチ層に空隙を形成する際に使用されるウェットエッチング化学薬品からILD層116を保護するように構成されている。一実施形態では、エッチングストップ層127はシリコンカーバイドを備えてもよい。
[0042]ステップ226において、第2の誘電層117がエッチングストップ層127上に形成される。第2の誘電層117は第1の誘電層105に類似していてもよい。一実施形態では、第2の誘電層117は二酸化シリコンを備えている。
[0043]ステップ227において、図1Fに示されているように、従来の二重ダマシン構造118は、新たなビア層および新たなトレンチ層をそれぞれこの中に形成するためにILD層116および第2の誘電層117に形成されてもよい。二重ダマシン構造の形成についての詳細な説明は、「Method of Fabricating a Dual Damascene Interconnect Structure」と題された米国特許出願公報第2006/0216926号に見られ、これは参照によって本明細書に組み込まれている。
[0044]図1G〜図1Jに示されているように、ステップ204〜218は、第2の誘電層117に形成されている導電ライン121間に空隙126を形成するために反復されてもよい。コンフォーマル誘電バリア膜107に類似のコンフォーマル誘電バリア膜119は、バリア層108に類似の金属拡散バリア層120の堆積前に、二重ダマシン構造118に堆積されてもよい。導電ライン121は、パンチスルーステップ後にダマシン構造118に形成されてもよい。自己整合型キャップ層110に類似のキャップ層122、および多孔性誘電バリア111に類似の多孔性誘電バリア123がCMPプロセス後に形成されてもよい。フォトレジスト層124は、多孔性誘電バリア123と、フォトレジストに形成されているパターンとに堆積されてもよく、フォトレジスト層124のホール125を介して第2の誘電層117の一部を露出する。次いでウェットエッチングプロセスが、空隙126を形成するために使用される。
[0045]同様に、空隙は、上記プロセスを使用して各順次誘電層の選択領域に形成されてもよい。
[0046]上記空隙形成プロセスは、従来の空隙形成方法、例えば熱分解に対して複数の利点を有している。
[0047]まず、コンフォーマル誘電バリア107および119などのコンフォーマル低k誘電バリアは、順次ステップで使用されている湿気および化学溶液から銅などの金属を保護するための良好な誘電バリアとして作用するのみならず、空隙形成後に導電ラインに機械的サポートを提供する。
[0048]第2に、熱分解と比較して、本発明の実施形態は、均一な空隙を形成するために選択的ウェットエッチング方法を使用する。特に、SiOなどの形成されている誘電体を除去して空隙を形成するために、DHFおよびBHFなどのウェットエッチング化学薬品が使用される。熱分解は選択的でなくてもよい。全ての使い捨て材料は除去されたりダメージを与えられたりすることになり、またこの構造における任意の残りの使い捨て材料は、後続のプロセスステップに信頼性の問題を招くことがある。本発明で使用されているウェットエッチング方法は選択的であってもよく、またフォトリソグラフィおよびパターニングステップを介して選択エリアにのみ適用してもよい。したがって、空隙のエリアパーセンテージおよび場所は、所望の誘電値ならびに必要な機械的強度を満たすように設計可能である。例えば、空隙は、2つの隣接する金属ライン間のピッチ長が10nm〜200nmである高密度金属エリアに形成されてもよい。
[0049]第3に、低ストレス低誘電層が、スタック全体のストレスを最小化するために層間誘電体で使用されており、またこれは、配線構造全体に強力な機械的サポートを提供する。
[0050]第4に、ウェットエッチング化学薬品に対して透過的な多孔性誘電バリア膜が、ウェットエッチング溶液を除去可能な誘電層に浸透させて、その下に空隙を形成するための皮膜として使用される。
[0051]第5に、バリア層115などの薄い高密度密封誘電バリア膜が、拡散ならびに湿気の浸透を防止するために多孔性誘電バリア膜の上部に堆積される。
非コンフォーマル誘電層への空隙の形成
[0052]本発明の実施形態はまた、導電ライン間のトレンチに非コンフォーマル誘電層を堆積することによって空隙を生成する方法を提供する。角度付き側壁を具備するトレンチは、コントロールエッチングプロセスによって誘電層に形成されてもよい。側壁は、トレンチが底部より広い開口を有するように角度が付けられている。コンフォーマル誘電バリアが、ウェットエッチング化学薬品からのバリアを提供するためにトレンチ表面に堆積される。角度付き側壁を具備するトレンチは次いで、導電ラインを形成する導電材料で充填される。導電ライン周辺の誘電層は除去されて、導電ライン間に逆トレンチを残す。導電ライン間の逆トレンチは、底部より狭い開口を具備する角度付き側壁を有する。非コンフォーマル誘電層は次いで、導電ライン間のトレンチに堆積される。堆積プロセスは、空隙が狭いトレンチ内に形成するようにコントロールされてもよい。固体誘電層が形成されるが、この場合トレンチは広い。したがって、空隙形成は、マスクを使用せずに当然選択的である。2つの例示的処理シーケンスについて後述する。
シーケンス1
[0053]図2A〜図2Jは、本発明の一実施形態に従って多層配線構造を形成する処理シーケンス240中の基板スタックの断面図を概略的に図示している。図5は、図2A〜図2Jに示されている処理シーケンス240に従った処理ステップを図示している。
[0054]図2Aに示されているように、トランジスタなどのデバイスが半導体基板101上に形成された後、ビア層102が基板101上に形成されてもよい。導電要素103は、基板101に形成されているデバイスと電気連通するように構成されている。エッチングストップ層104は次いでビア層102上全体に堆積される。第1の誘電層105、例えば二酸化シリコン層がエッチングストップ層104上に堆積される。
[0055]ステップ242において、角度付き側壁132を具備するトレンチ131は、フォトレジスト130に形成されているパターンを介するエッチングプロセスによって生成される。エッチングプロセスは概して、垂直壁を具備するトレンチを形成する際に使用される従来のエッチングプロセスと比較して異方性ではない。一実施形態では、等方性プラズマエッチングプロセスが、角度付き側壁132を具備するトレンチ131を形成するために使用されてもよい。側壁132の角度は、処理パラメータ、例えばバイアス電力レベルを調整することによってチューニング可能である。一実施形態では、トレンチ131の対向する側壁132間の角度αは、約5°〜約130°の範囲であってもよい。
[0056]ステップ244において、コンフォーマル誘電バリア膜133は、図2Bに示されているように、エッチングストップ層104およびフォトレジスト130の一部を除去した後にトレンチ131に堆積される。コンフォーマル誘電バリア膜133は、後にトレンチ131に形成される銅線などの金属構造をプロセス中の湿気および/または化学薬品から保護するためのバリア層として作用するように構成されている。加えて、コンフォーマル誘電バリア膜133はまた、周辺に空隙が形成された後、トレンチ131に形成されている金属構造に機械的サポートを提供する。一実施形態では、コンフォーマル誘電バリア膜133は窒化シリコン(SiN)を備えている。コンフォーマル誘電バリア膜133は、窒化ホウ素(BN)、窒化シリコン(SiN)、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)、窒化シリコンホウ素(SiBN)またはこれらの組み合わせなどの任意の適切な低k誘電材料を備えてもよい。コンフォーマル誘電バリア膜133は、コンフォーマル誘電バリア膜107を堆積するために、図4のステップ204に説明されている類似のプロセスを使用して堆積されてもよい。
[0057]ステップ246において、金属拡散バリア134が、図2Bに示されているように、コンフォーマル誘電バリア膜133上に形成される。金属拡散バリア134は、後にトレンチ131およびこの構造に近接して堆積される金属ライン間の拡散を防止するように構成されている。高密度誘電バリアはタンタル(Ta)および/または窒化タンタル(TaN)を備えてもよい。
[0058]ステップ248において、トレンチ131は、図2Cに示されているように、1つ以上の金属を備える導電ライン135によって充填されてもよい。一実施形態では、スパッタリングステップが、トレンチ131の底部壁の全部または一部から金属拡散バリア134およびコンフォーマル誘電バリア膜133を除去するために実行されてもよく、導電ライン135はビア層102の導電要素103と直接接触することができる。導電ライン135の堆積は、導電シード層を形成するステップと、導電シード層上に金属を堆積するステップとを備えてもよい。導電ライン135は、銅(Cu)、アルミニウム(Al)、または所望の伝導率を具備する任意の適切な材料を備えてもよい。
[0059]ステップ250において、化学的機械的研磨(CMP)プロセスが、導電ライン135、金属拡散バリア134およびコンフォーマル誘電バリア膜133に対して実行され、誘電層105が、図2Cに示されているように露出される。
[0060]ステップ252において、自己整合型キャップ層136が導電ライン135上に形成される。自己整合型キャップ層136は、導電ライン135の上部表面における種の拡散を防止するバリアとなるように構成される。自己整合型キャップ層136は、銅および酸素両方の拡散を防止可能である。自己整合型キャップ層136は、無電解堆積を使用して形成されてもよく、また導電ラインの露出表面上にのみ形成されてもよい。自己整合型キャップ層136は、空隙形成で使用されるウェットエッチング化学薬品から導電ライン135を保護し、かつ導電ライン135の上部表面への種の拡散を防止するためのバリアとなるように構成されている。自己整合型キャップ層136は、銅および酸素両方の拡散を防止可能である。導電ライン135は銅を備えているため、自己整合型キャップ層136は、コバルト(Co)、タングステン(W)またはモリブデン(Mo)、リン(P)、ホウ素(B)、レニウム(Re)およびこれらの組み合わせを含有する多様な組成を備えてもよい。自己整合型キャップ層136の形成についての詳細な説明は、「Adhesion and Minimizing Oxidation on Electroless Co Alloy Films for Integration with Low k Inter−Metal Dielectirc and Etch Stop」と題された米国特許公報第2007/0099417号に見られ、これは参照によって本明細書に組み込まれている。
[0061]ステップ254において、エッチングプロセスが、図2Dに示されているように、第1の誘電層105を除去して導電ライン135間に逆トレンチ137を形成するために実行されてもよい。逆トレンチ137は、逆トレンチ137を開口で狭く、かつ底部で広くする角度付き側壁138を有している。ウェットまたはドライエッチングプロセスは、第1の誘電層105を除去するために使用可能である。逆トレンチ137はエッチングストップ層104およびコンフォーマル誘電バリア膜133と整列され、これらはエッチング中にそれぞれビア層102および導電ライン135を保護する。
[0062]ステップ256において、非コンフォーマル誘電層139が、図2Eに示されているように、角度付き側壁を具備する逆トレンチ137に堆積される。非コンフォーマル誘電層139は、基板スタックの構造をサポートするための良好な機械的特性を具備する低k、例えばk≦2.7の低ストレス層間誘電膜を備えている。逆トレンチ137の狭い開口は、逆トレンチ137のアスペクト比が特定の値より高い場合、非コンフォーマル誘電層139に空隙140を形成する開口付近でピッチオフさせる。トレンチのアスペクト比は概して、トレンチ高さ対トレンチ幅の比のことである。したがって、空隙140は、狭い逆トレンチ137内に形成される。非コンフォーマル誘電層139の固体層は、広い逆トレンチ137に形成されてもよい。結果として、角度付き側壁は空隙形成に対して当然の選択性を提供する。パターニングは必要ないため、コストを節約できる。
[0063]逆トレンチ137の側壁間の角度および逆トレンチ137のアスペクト比は、空隙140の場所をコントロールするために調整可能である。トレンチの側壁間の角度は、後続のCMPプロセスが空隙のシールを破壊しないように空隙の垂直位置をコントロールするためにチューニングされてもよい。例えば、空隙は、トレンチの側壁間の角度が増大する場合に最小のアスペクト比でトレンチに形成してもよい。一実施形態では、空隙140は、相互に約10nm〜約200nmの距離を有する隣接する導電ライン135間に形成されてもよい。
[0064]空隙140を導電ライン135の上部表面の下方に位置決めして、空隙140がCMPプロセス後に、上に形成されている後続層に露出されないようにすることが望ましい。一実施形態では、非コンフォーマルILD層139は約100Å〜約5000Åの厚さを有することがある。
[0065]一実施形態では、非コンフォーマル誘電層139は、炭素ドープ二酸化シリコン、シリコンオキシカーバイド(SiO)またはこれらの組み合わせを備える低k誘電材料である。類似の誘電層の形成方法は、「Method of Depositing a Low K Dielectric with Organo Silane」と題された米国特許第6,054,379号にみられ、これは参照によって本明細書に組み込まれている。
[0066]ステップ258において、化学的機械的研磨(CMP)プロセスが、図2Fに示されているように、自己整合型キャップ層136を露出するために非コンフォーマル誘電層139に実行される。空隙140はCMPステップ後に依然としてシールされている。
[0067]ステップ260において、高密度誘電バリア141は、図2Fに示されているように、非コンフォーマル誘電層133上に堆積されてもよい。高密度誘電バリア141は、導電ライン135における銅などの金属の拡散、および空隙140からの種の移行を防止するように構成されている。高密度誘電バリア141は、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)、窒化ホウ素(BN)、窒化シリコンホウ素(SiBN)、窒化シリコンホウ素カーバイド(SiBCN)またはこれらの組み合わせなどの薄い低k誘電バリアを備えてもよい。一実施形態では、高密度誘電バリア115は約20Å〜約200Åの厚さを有している。
[0068]ステップ262において、ILD層142は、図2Fに示されているように、高密度誘電バリア141に堆積される。ILD層142は、この中にビアを形成するためにトレンチ層と誘電層間に誘電体を提供する、k<2.7の低k誘電体である。ILD層142はまた低ストレス膜であってもよい。一実施形態では、ILD層142は約100Å〜約5,000Åの厚さを有する。ILD層142は、炭素ドープ二酸化シリコン、シリコンオキシカーバイド(SiO)またはこれらの組み合わせであってもよい。ILD層142の形成方法は、「Method of Depositing a Low K Dielectric with Organo Silane」と題された米国特許第6,054,379号に見られ、これは参照によって本明細書に組み込まれている。
[0069]ステップ264において、エッチングストップ層153がILD層142上に形成される。エッチングストップ層153は、ILD層142上の後続トレンチ層に空隙を形成する際に使用されるウェットエッチング化学薬品からILD層142を保護するように構成されている。一実施形態では、エッチングストップ層153はシリコンカーバイドを備えてもよい。
[0070]ステップ266において、第2の誘電層143は、図2Gに示されているように、エッチングストップ層153上に堆積されてもよい。第2の誘電層143は、新たなトレンチ層用のトレンチをこの中に形成するように構成されている。第2の誘電層143は第1の誘電層105に類似していてもよい。一実施形態では、第2の誘電層143は二酸化シリコンを備えている。
[0071]ステップ268において、図2Gに示されているように、二重ダマシン構造144は、それぞれ新たなビア層および新たなトレンチ層をこの中に形成するためにILD層142および第2の誘電層143に形成されてもよい。二重ダマシン構造144は、二重ダマシン構造144のトレンチが角度付き側壁145を有するように第2の誘電層143のエッチングがチューニングされる点を除いて、従来のダマシンプロセスを使用して形成されてもよい。二重ダマシン構造の形成についての詳細な説明は、「Method of Fabricating a Dual Damascene Interconnect Structure」と題された米国特許出願公報第2006/0216926号に見られ、これは参照によって本明細書に組み込まれている。
[0072]図2G〜図2Jに示されているように、ステップ244〜258は、第2の誘電層143に形成されている導電ライン148間に空隙152を形成するために反復されてもよい。コンフォーマル誘電バリア膜133に類似のコンフォーマル誘電バリア膜146は、金属拡散バリア134に類似の金属拡散バリア層147の堆積前に二重ダマシン構造144に堆積されてもよい。導電ライン148は、導電ライン148が導電ライン135に電気的に接続されるように、パンチスルーステップ後にダマシン構造144に形成されてもよい。キャップ層136に類似のキャップ層149はCMPプロセス後に形成されてもよい。第2の誘電層143は次いで除去されて、導電ライン148間に角度付き側壁を具備するトレンチ150を形成する。非コンフォーマル層139に類似の非コンフォーマル誘電層151が次いで堆積されて、高アスペクト比を有するトレンチ150内に空隙152を形成する。非コンフォーマル誘電層151はCMPプロセスに付され、かつ後続プロセスを準備する。
[0073]類似のプロセスが、空隙が望まれる後続トレンチ層ごとに実行されてもよい。
シーケンス2
[0074]図3A〜図3Fは、本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス280中の基板スタックの断面図を概略的に図示している。図6は、図3A〜図3Fに示されている処理シーケンス280に従った処理ステップを図示している。
[0075]プロセスシーケンス280は、図3A〜図3Cに示されているように、処理シーケンス240のステップ242〜254に類似のステップ242〜254を備えている。ビア層102は基板101上に形成されてもよい。導電要素103は、基板101に形成されているデバイスと電気的に連通するように構成されている。エッチングストップ層104は次いでビア層102上全体に堆積される。第1の誘電層105はエッチングストップ層104上に堆積される。角度付き側壁132を具備するトレンチ131は第1の誘電層105内に形成される。コンフォーマル誘電バリア膜133および金属拡散バリア134は後に堆積される。導電ライン135はトレンチ131に形成される。CMPプロセスが実行され、導電ライン135上への自己整合型キャップ層136の形成が続く。第1の誘電層105は次いで除去されて、導電ライン135間に逆トレンチ137を形成する。逆トレンチ137は、開口が底部よりも狭い角度付き側壁138を有している。
[0076]ステップ254に続くステップ286において、コンフォーマル誘電バリア膜160が、図3Dに示されているように、逆トレンチ137および導電ライン135上、つまり上部表面全体に堆積される。コンフォーマル誘電バリア膜160は、導電ライン135などの金属構造と、トレンチ137に後に形成される空隙を保護するためのバリア層として作用するように構成される。一実施形態では、コンフォーマル誘電バリア膜160は低k誘電バリア材料、例えば窒化シリコン(SiN)、シリコンカーバイド(SiC)、窒化シリコンカーバイド(SiCN)、窒化シリコンホウ素(SiBN)またはこれらの組み合わせを備えている。一実施形態では、コンフォーマル誘電バリア膜160は約10Å〜約200Åの厚さを有することがある。コンフォーマル誘電バリア膜160の組成および形成は、図4のステップ204に説明されているコンフォーマル誘電バリア膜107に類似していることもある。
[0077]ステップ288において、非コンフォーマルILD層161がコンフォーマル誘電バリア膜160上に堆積される。非コンフォーマルILD層161の堆積は、図5のステップ256に説明されている非コンフォーマルILD層139の堆積に類似していることもある。空隙162が、高アスペクト比を有するトレンチ137の非コンフォーマルILD層161に形成されてもよい。非コンフォーマルILD層161の堆積に続くCMPプロセスは、導電ライン136や自己整合型キャップ層136を露出するために非コンフォーマルILD層161まで研磨しないため、空隙162の場所は逆トレンチ137内に制限されなくてもよく、堆積プロセスに柔軟性を提供することができる。図3Dに示されているように、空隙162は導電ライン135の上部の上部表面より高く配置されてもよい。一実施形態では、非コンフォーマルILD層161は約100Å〜5,000Åの厚さを有してもよい。
[0078]ステップ290において、CMPプロセスが非コンフォーマルILD層161に実行され、非コンフォーマルILD層161は次のステップでは平らであり、導電ライン135を後続トレンチ層に接続するために導電ライン135およびビア層を収容するのに十分な厚さを有している。
[0079]ステップ292において、エッチングストップ層166が非コンフォーマルILD層161上に形成される。エッチングストップ層166は、ILD層161上の後続トレンチ層に空隙を形成する際に使用されるウェットエッチング化学薬品からILD層161を保護するように構成されている。一実施形態では、エッチングストップ層166はシリコンカーバイドを備えてもよい。
[0080]ステップ294において、第2の誘電層163が、図3Eに示されているように、エッチングストップ層166上に堆積される。第2の誘電層163は、新たなトレンチ層に対するトレンチを形成するように構成されている。一実施形態では、第2の誘電層163は二酸化シリコンを備えている。別の実施形態では、エッチングストップ層は第2の誘電層163と非コンフォーマルILD層161間に堆積されてもよい。
[0081]ステップ296において、図3Fに示されているように、二重ダマシン構造164が非コンフォーマルILD層161および第2の誘電層163に形成されてもよい。二重ダマシン構造164は、非コンフォーマルILD層161に形成されているビア164aと、第2の誘電層163に形成されているトレンチ164bとを備えている。二重ダマシン構造164は、トレンチ164bのトレンチが角度付き側壁165を有するように第2の誘電層163のエッチングがチューニングされる点を除いて従来のダマシンプロセスを使用して形成されてもよい。
[0082]プロセスシーケンス280のステップ244〜252は、新たなビア層および新たなトレンチ層の形成を完了させるために反復されてもよい。
[0083]類似のプロセスが新たなビアおよびトレンチ層ごとに実行されてもよく、この場合空隙が誘電構造で所望されている。
[0084]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態がこの基本的範囲から逸脱せずに考案されてもよく、またこの範囲は以下の特許請求の範囲によって判断される。
本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 本発明の別の実施形態に従って多層配線構造を形成する処理シーケンス中の基板スタックの断面図を概略的に図示している。 図1A〜図1Jに示されている処理シーケンスに従った処理ステップを図示している。 図2A〜図2Jに示されている処理シーケンスに従った処理ステップを図示している。 図3A〜図3Fに示されている処理シーケンスに従った処理ステップを図示している。
符号の説明
101…基板、102…ビア層、103…導電要素、104…エッチングストップ層、105…第1の誘電層、106…トレンチ、107…コンフォーマル誘電バリア膜、108…金属拡散バリア、109…導電ライン、110…自己整合型キャップ層、111…多孔性誘電バリア、112…フォトレジスト層、113…ホール、114…空隙、115…高密度誘電バリア、116…ILD層、117…第2の誘電層、118…ダマシン構造、119…誘電バリア、120…金属拡散バリア層、121…導電ライン、122…キャップ層、123…多孔性誘電バリア、124…フォトレジスト層、125…ホール、126…空隙、127…エッチングストップ層、130…フォトレジスト、131…トレンチ、132…角度付き側壁、133…バリア膜、134…金属拡散バリア、135…導電ライン、136…自己整合型キャップ層、137…逆トレンチ、138…側壁、139…非コンフォーマル誘電層、140…空隙、141…高密度誘電バリア、142…ILD層、143…第2の誘電層、144…二重ダマシン構造、145…角度付き側壁、146…コンフォーマル誘電バリア膜、147…金属拡散バリア層、148…導電ライン、149…キャップ層、150…トレンチ、151…非コンフォーマル誘電層、152…空隙、153…エッチングストップ層、160…コンフォーマル誘電バリア膜、161…非コンフォーマルILD層、162…空隙、163…第2の誘電層、164…二重ダマシン構造、164a…ビア、164b…トレンチ、165…角度付き側壁、166…エッチングストップ層、200…プロセス、201、202、204、206、208、210、212、214、216、218、220、222、224、226、227、242,244,246,248,250,252,254,256,258,260,262,264、266,268,280,286,288,290,292,294,296…ステップ、240…処理シーケンス

Claims (10)

  1. 半導体構造に導電ラインを形成する方法であって、
    第1の誘電層にトレンチを形成するステップと、
    前記トレンチにコンフォーマル低k誘電バリア膜を堆積するステップであって、前記コンフォーマル低k誘電バリア膜がプラズマ化学気相堆積(PECVD)プロセスにより形成された窒化ホウ素(BN)膜を備え、前記コンフォーマル低k誘電バリア膜を堆積するステップが、
    ホウ素含有前駆体からホウ素含有膜を形成する工程と、
    窒素を前記ホウ素含有膜に取り込んで窒化ホウ素膜を形成するために、前記ホウ素含有膜を窒素含有前駆体で処置する工程と、を備えるステップと、
    前記コンフォーマル低k誘電バリア膜上に金属拡散バリア膜を堆積するステップと、
    前記トレンチを充填するために導電材料を堆積するステップと、
    前記第1の誘電層を露出するために前記導電材料を平坦化するステップと、
    前記導電材料上に自己整合型キャップ層を形成するステップと、
    ウェットエッチング化学薬品を使用して前記第1の誘電層を除去するステップであって、前記コンフォーマル低k誘電バリアの前記窒化ホウ素膜が、前記ウェットエッチング化学薬品に対する前記導電材料のバリアとして作用するステップと、
    を備える方法。
  2. 前記第1の誘電層を除去する前に、前記導電材料および前記第1の誘電層上に多孔性誘電バリアを堆積するステップであって、前記第1の誘電層が、前記多孔性誘電バリアを介して前記ウェットエッチング化学薬品を使用して除去されるステップをさらに備える、請求項1に記載の方法。
  3. 前記多孔性誘電バリアが、シリコンカーバイド(SiC)結合、窒化シリコンカーバイド(SiCN)結合またはこれらの組み合わせを備えており、かつシリコン酸素結合を具備していない、請求項に記載の方法。
  4. 前記多孔性誘電バリアを堆積するステップが、トリメチルシラン(TMS、(CHSiH)およびエチレン(C)の組み合わせを備える前駆体を使用してシリコンカーバイド層を堆積する工程を備える、請求項に記載の方法。
  5. 前記第1の誘電層を除去した後に非コンフォーマル誘電層を堆積するステップをさらに備えており、前記トレンチを形成するステップが角度付き側壁を具備するトレンチを形成する工程を備えており、前記トレンチが底部では狭くかつ開口では広く、前記第1の誘電層を除去するステップが前記導電材料周辺に逆トレンチを形成し、前記非コンフォーマル誘電層を堆積するステップが、特定の値より大きなアスペクト比を有する前記逆トレンチに空隙を形成する、請求項1に記載の方法。
  6. 前記トレンチの対向する角度付き側壁間の角度は約5°〜130°である、請求項に記載の方法。
  7. 前記非コンフォーマル誘電層を堆積する前に前記逆トレンチ上にコンフォーマル誘電バリア膜を堆積するステップをさらに備える、請求項に記載の方法。
  8. 空隙を有する誘電構造を形成する方法であって、
    第1の誘電層にトレンチを形成するステップであって、前記トレンチが導電材料をこの中に保有するように構成されているステップと、
    前記トレンチに第1のコンフォーマル誘電バリア膜を堆積するステップであって、前記第1のコンフォーマル誘電バリア膜がプラズマ化学気相堆積(PECVD)プロセスにより形成された窒化ホウ素(BN)膜を備え、前記窒化ホウ素膜が5未満のk値を有し、前記第1のコンフォーマル誘電バリア膜を堆積するステップが、
    ホウ素含有前駆体からホウ素含有膜を形成する工程と、
    窒素を前記ホウ素含有膜に取り込んで窒化ホウ素膜を形成するために、前記ホウ素含有膜を窒素含有前駆体で処置する工程と、を備えるステップと、
    前記トレンチを充填するために第1の導電材料を堆積するステップと、
    前記第1の誘電層を露出するために前記第1の導電材料を平坦化するステップと、
    前記導電材料上に第1の自己整合型キャップ層を形成するステップと、
    前記第1の導電材料および前記第1の誘電層上に第1の多孔性誘電バリアを堆積するステップと、
    前記第1の多孔性誘電バリアを介してウェットエッチング溶液を使用して前記第1の誘電層を除去することによって前記トレンチ間に空隙を形成するステップであって、前記第1のコンフォーマル誘電バリア膜が前記ウェットエッチング溶液に対するバリアおよびエッチングストップとして作用するステップと、
    を備える方法。
  9. 前記第1の多孔性誘電バリアが、シリコンカーバイド(SiC)結合、窒化シリコンカーバイド(SiCN)結合またはこれらの組み合わせを備えており、また一酸化シリコン(SiO)を具備していない、請求項に記載の方法。
  10. 空隙を有する誘電構造を形成する方法であって、
    第1の誘電層にトレンチを形成するステップであって、角度付き側壁を具備する前記トレンチが底部で狭くかつ開口で広いステップと、
    前記トレンチに第1のコンフォーマル誘電バリア膜を堆積するステップあって、前記第1のコンフォーマル誘電バリア膜がプラズマ化学気相堆積(PECVD)プロセスにより形成された窒化ホウ素(BN)膜を備え、前記窒化ホウ素膜が5未満のk値を有し、前記第1のコンフォーマル誘電バリア膜を堆積するステップが、
    ホウ素含有前駆体からホウ素含有膜を形成する工程と、
    窒素を前記ホウ素含有膜に取り込んで窒化ホウ素膜を形成するために、前記ホウ素含有膜を窒素含有前駆体で処置する工程と、を備えるステップと、
    前記トレンチを充填するために第1の導電材料を堆積するステップと、
    前記第1の誘電層を露出するために前記第1の導電材料を平坦化するステップと、
    前記第1の導電材料周辺に逆トレンチを形成するために前記第1の誘電層を除去するステップであって、前記逆トレンチが角度付き側壁を有しており、また開口で狭くかつ底部で広いステップと、
    前記逆トレンチに第1の非コンフォーマル誘電層を堆積することによって空隙を形成するステップであって、前記空隙が、少なくとも部分的に、特定の値より大きなアスペクト比を有する前記逆トレンチに形成されるステップと、
    を備える方法。
JP2008263151A 2007-10-09 2008-10-09 多層配線構造に空隙を形成する方法 Expired - Fee Related JP5500810B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/869,409 US20090093100A1 (en) 2007-10-09 2007-10-09 Method for forming an air gap in multilevel interconnect structure
US11/869,409 2007-10-09

Publications (2)

Publication Number Publication Date
JP2009152544A JP2009152544A (ja) 2009-07-09
JP5500810B2 true JP5500810B2 (ja) 2014-05-21

Family

ID=40523625

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008263151A Expired - Fee Related JP5500810B2 (ja) 2007-10-09 2008-10-09 多層配線構造に空隙を形成する方法

Country Status (5)

Country Link
US (1) US20090093100A1 (ja)
JP (1) JP5500810B2 (ja)
KR (1) KR101027216B1 (ja)
CN (1) CN101431047B (ja)
TW (1) TW200939394A (ja)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2910178B1 (fr) * 2006-12-15 2009-05-15 St Microelectronics Sa Procede de realisation d'un element dielectrique poreux et element dielectrique correspondant
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8951911B2 (en) * 2011-03-31 2015-02-10 Applied Materials, Inc. Process for damascene structure with reduced low-k damage
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101619682B1 (ko) * 2011-12-29 2016-05-10 인텔 코포레이션 후드층을 갖는 에어갭 인터커넥트 및 그 형성 방법
KR101898876B1 (ko) * 2012-03-02 2018-09-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
CN102683274B (zh) * 2012-06-05 2017-04-19 上海集成电路研发中心有限公司 应用于铜互连的空气间隔工艺
KR101986126B1 (ko) * 2012-07-18 2019-06-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US9490163B2 (en) * 2012-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Tapered sidewall conductive lines and formation thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102003881B1 (ko) * 2013-02-13 2019-10-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9762830B2 (en) 2013-02-15 2017-09-12 Sionyx, Llc High dynamic range CMOS image sensor having anti-blooming properties and associated methods
US9040421B2 (en) * 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
KR102037830B1 (ko) 2013-05-20 2019-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104241194B (zh) * 2013-06-20 2017-10-27 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
US9024411B2 (en) * 2013-08-12 2015-05-05 International Business Machines Corporation Conductor with sub-lithographic self-aligned 3D confinement
US9514986B2 (en) 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
CN104517894B (zh) * 2013-09-29 2018-10-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
JP6284144B2 (ja) * 2014-02-14 2018-02-28 マクセルホールディングス株式会社 電鋳品及びその製造方法
US9177931B2 (en) 2014-02-27 2015-11-03 Globalfoundries U.S. 2 Llc Reducing thermal energy transfer during chip-join processing
US9472453B2 (en) * 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9370907B2 (en) 2014-03-20 2016-06-21 Seagate Technology Llc Apparatuses and methods utilizing etch stop layers
US10490497B2 (en) * 2014-06-13 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of conductor nanowires
KR20150145823A (ko) 2014-06-19 2015-12-31 삼성전자주식회사 메모리 장치 및 그 제조 방법
US9583380B2 (en) * 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US10163792B2 (en) 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
US9991200B2 (en) * 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502293B2 (en) * 2014-11-18 2016-11-22 Globalfoundries Inc. Self-aligned via process flow
TWI590735B (zh) * 2014-12-15 2017-07-01 財團法人工業技術研究院 訊號傳輸板及其製作方法
WO2016105344A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
CN109216267A (zh) 2014-12-23 2019-01-15 英特尔公司 解耦过孔填充
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9793212B2 (en) * 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN108369923B (zh) 2015-09-23 2023-03-14 英特尔公司 防止过孔穿通的无掩模气隙
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9812353B2 (en) 2015-12-03 2017-11-07 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US9984967B2 (en) * 2015-12-21 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
SG11201806578XA (en) * 2016-02-02 2018-09-27 Tokyo Electron Ltd Self-alignment of metal and via using selective deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10490483B2 (en) 2016-03-07 2019-11-26 Micron Technology, Inc. Low capacitance through substrate via structures
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10607885B2 (en) 2016-03-30 2020-03-31 Intel Corporation Shell structure for insulation of a through-substrate interconnect
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) * 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9859212B1 (en) 2016-07-12 2018-01-02 International Business Machines Corporation Multi-level air gap formation in dual-damascene structure
US10269706B2 (en) 2016-07-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
KR102655189B1 (ko) * 2016-09-30 2024-04-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN106601667B (zh) * 2016-12-20 2019-08-20 上海集成电路研发中心有限公司 一种具有空气隙的金属互连层结构及其制备方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125239A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9793214B1 (en) * 2017-02-21 2017-10-17 Texas Instruments Incorporated Heterostructure interconnects for high frequency applications
JP6441989B2 (ja) * 2017-04-27 2018-12-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10354955B2 (en) * 2017-06-19 2019-07-16 Qualcomm Incorporated Graphene as interlayer dielectric
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102365108B1 (ko) 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR101842176B1 (ko) 2017-10-27 2018-03-26 동우 화인켐 주식회사 전극 접속 구조체 및 이를 포함하는 전자 소자
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10968522B2 (en) * 2018-04-02 2021-04-06 Elwha Llc Fabrication of metallic optical metasurfaces
JP7033999B2 (ja) * 2018-04-16 2022-03-11 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
WO2019193872A1 (ja) * 2018-04-04 2019-10-10 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10395986B1 (en) * 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10665499B2 (en) * 2018-06-28 2020-05-26 Intel Corporation Integrated circuit with airgaps to control capacitance
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102635828B1 (ko) * 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
EP3654372B1 (en) * 2018-11-13 2021-04-21 IMEC vzw Method of forming an integrated circuit with airgaps and corresponding integrated circuit
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10763160B1 (en) 2019-03-22 2020-09-01 International Business Machines Corporation Semiconductor device with selective insulator for improved capacitance
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20210012786A (ko) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11315893B2 (en) * 2020-03-25 2022-04-26 Nanya Technology Corporation Semiconductor device with composite connection structure and method for fabricating the same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US20210335607A1 (en) * 2020-04-22 2021-10-28 X-FAB Texas, Inc. Method for manufacturing a silicon carbide device
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TWI755722B (zh) * 2020-05-05 2022-02-21 力晶積成電子製造股份有限公司 半導體結構及其製造方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302641B2 (en) 2020-06-11 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned cavity strucutre
US11508585B2 (en) * 2020-06-15 2022-11-22 Taiwan Semiconductor Manufacturing Company Ltd. Methods for chemical mechanical polishing and forming interconnect structure
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11482447B2 (en) * 2020-07-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated chip having a cavity between metal features
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11652054B2 (en) 2021-04-21 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric on wire structure to increase processing window for overlying via
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11842966B2 (en) * 2021-06-23 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with inter-wire cavities
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI832655B (zh) * 2023-01-04 2024-02-11 力晶積成電子製造股份有限公司 晶片堆疊結構

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) * 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
FR2525391B1 (fr) * 1982-04-16 1985-09-13 Thomson Csf Substrat pour circuit electronique fonctionnant dans la gamme des hyperfrequences, et procede de metallisation de ce substrat
US4656052A (en) * 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US5270125A (en) * 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5897751A (en) * 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5306530A (en) * 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
KR0142150B1 (ko) * 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5483920A (en) * 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
ATE255769T1 (de) * 1997-01-21 2003-12-15 Georgia Tech Res Inst Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität
JPH10233449A (ja) 1997-02-20 1998-09-02 Nec Corp 半導体装置の製造方法
JP2000174019A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 半導体装置及びその製造方法
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
JP2002083870A (ja) * 2000-09-11 2002-03-22 Tokyo Electron Ltd 半導体装置及びその製造方法
US6984577B1 (en) * 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
JP5013353B2 (ja) * 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US6936183B2 (en) * 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
DE10238024B4 (de) * 2002-08-20 2007-03-08 Infineon Technologies Ag Verfahren zur Integration von Luft als Dielektrikum in Halbleitervorrichtungen
JP2004186403A (ja) * 2002-12-03 2004-07-02 Mitsubishi Heavy Ind Ltd 窒化ホウ素膜の成膜方法及び成膜装置
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
JP2006019401A (ja) * 2004-06-30 2006-01-19 Renesas Technology Corp 半導体装置及びその製造方法
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4106048B2 (ja) * 2004-10-25 2008-06-25 松下電器産業株式会社 半導体装置の製造方法及び半導体装置
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TW200746355A (en) * 2005-07-12 2007-12-16 St Microelectronics Crolles 2 Integration control and reliability enhancement of interconnect air cavities
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
JP2007188919A (ja) * 2006-01-11 2007-07-26 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CN100499069C (zh) * 2006-01-13 2009-06-10 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7605073B2 (en) * 2006-05-19 2009-10-20 Intel Corporation Sealants for metal interconnect protection in microelectronic devices having air gap interconnect structures
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials

Also Published As

Publication number Publication date
US20090093100A1 (en) 2009-04-09
TW200939394A (en) 2009-09-16
KR20090036524A (ko) 2009-04-14
KR101027216B1 (ko) 2011-04-06
JP2009152544A (ja) 2009-07-09
CN101431047B (zh) 2011-03-30
CN101431047A (zh) 2009-05-13

Similar Documents

Publication Publication Date Title
JP5500810B2 (ja) 多層配線構造に空隙を形成する方法
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
JP5267130B2 (ja) 半導体装置およびその製造方法
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
JP3712356B2 (ja) 成膜方法および半導体装置の製造方法
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US20090104774A1 (en) Method of manufacturing a semiconductor device
KR100541185B1 (ko) 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US20220367380A1 (en) Hardened interlayer dielectric layer
US7138333B2 (en) Process for sealing plasma-damaged, porous low-k materials
KR20220166338A (ko) 유전체 재료 충전 및 처리 방법들
JP5823359B2 (ja) 半導体装置の製造方法
JP2004214566A (ja) 半導体装置の製造方法および半導体装置
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
JP2007157959A (ja) 半導体装置の製造方法および半導体装置
US20070128553A1 (en) Method for forming feature definitions
KR20140028908A (ko) 금속 배선을 포함하는 반도체 소자의 형성방법
KR100613381B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP4643975B2 (ja) 半導体装置の製造方法
KR20210025498A (ko) 구리 확산을 저감시키기 위한 비정질층 및 이것을 형성하는 방법
TW202348825A (zh) 用於選擇性金屬沉積的選擇性抑制
WO2013123679A1 (zh) 扩散阻挡层、金属互连结构及其制造方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111007

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130716

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131015

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140311

R150 Certificate of patent or registration of utility model

Ref document number: 5500810

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees