JP4943047B2 - 処理装置及び処理方法 - Google Patents

処理装置及び処理方法 Download PDF

Info

Publication number
JP4943047B2
JP4943047B2 JP2006106107A JP2006106107A JP4943047B2 JP 4943047 B2 JP4943047 B2 JP 4943047B2 JP 2006106107 A JP2006106107 A JP 2006106107A JP 2006106107 A JP2006106107 A JP 2006106107A JP 4943047 B2 JP4943047 B2 JP 4943047B2
Authority
JP
Japan
Prior art keywords
processing
gas
flow rate
predetermined
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006106107A
Other languages
English (en)
Other versions
JP2007281225A (ja
Inventor
俊久 野沢
光司 小谷
宏治 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006106107A priority Critical patent/JP4943047B2/ja
Priority to TW96112107A priority patent/TWI463561B/zh
Priority to TW102117871A priority patent/TW201338036A/zh
Priority to CN2007800125467A priority patent/CN101416284B/zh
Priority to US12/226,089 priority patent/US8366869B2/en
Priority to PCT/JP2007/057768 priority patent/WO2007116969A1/ja
Priority to EP07741204A priority patent/EP2006893A4/en
Priority to KR1020087005619A priority patent/KR100976207B1/ko
Publication of JP2007281225A publication Critical patent/JP2007281225A/ja
Application granted granted Critical
Publication of JP4943047B2 publication Critical patent/JP4943047B2/ja
Priority to US13/729,417 priority patent/US8545711B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • H01J2237/1825Evacuating means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0368By speed of fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

本発明は、半導体ウエハ等の被処理体に対してプラズマ処理や成膜処理やエッチング処理等を施す際に使用される処理装置及び処理方法に係り、特に、処理の開始時のガスの供給やガス種の切り替えを迅速に行うようにした処理装置及び処理方法に関する。
一般に、半導体集積回路等の半導体製品を製造するためには、例えば半導体ウエハに対して成膜処理、エッチング処理、酸化拡散処理、アッシング処理、改質処理等の各種の処理を繰り返し施すことが行われている。このような各種の処理は、製品歩留まり向上の観点から半導体製品の高密度化及び高微細化に伴って、処理のウエハ面内均一性を一層高くすることが求められていると同時に、生産効率の向上のためにスループットの改善が求められている。
ここで従来の処理装置として枚葉式のプラズマ処理装置を例にとって説明する。この種のプラズマ処理装置は、例えば特許文献1、特許文献2等に開示されている。図6は従来の一般的なプラズマ処理装置を示す概略構成図である。
図6において、このプラズマ処理装置2は、真空引き可能になされた処理容器4内に半導体ウエハWを載置する載置台6を設けており、この載置台6は容器側壁より延びるL字状の支持アーム7により支持されている。そして、この載置台6に対向する天井部にマイクロ波を透過する円板状の窒化アルミや石英等よりなる天板8を気密に設けている。そして処理容器4の側壁には、容器内へ所定のガスを導入するためのガスノズル9が設けられている。
そして、上記天板8の上面に厚さ数mm程度の円板状の平面アンテナ部材10と、この平面アンテナ部材10の半径方向におけるマイクロ波の波長を短縮するための例えば誘電体よりなる遅波材12を設置している。そして、平面アンテナ部材10には多数の、例えば長溝状の貫通孔よりなるマイクロ波放射孔14が形成されている。このマイクロ波放射孔14は一般的には、同心円状に配置されたり、或いは渦巻状に配置されている。そして、平面アンテナ部材10の中心部に同軸導波管16の中心導体18を接続してマイクロ波発生器20より発生した、例えば2.45GHzのマイクロ波をモード変換器22にて所定の振動モードへ変換した後に導くようになっている。そして、マイクロ波をアンテナ部材10の半径方向へ放射状に伝播させつつ平面アンテナ部材10に設けたマイクロ波放射孔14からマイクロ波を放出させてこれを天板8に透過させて、下方の処理容器4内へマイクロ波を導入し、このマイクロ波により処理容器4内の処理空間Sにプラズマを立てるようになっている。
また、処理容器4の底部4Aには排気口24が設けられており、この排気口24には圧力制御弁26と第1及び第2の真空ポンプ28、30が途中に介設された排気通路32が接続されており、処理容器4内の雰囲気を真空引きできるようになっている。そして、このような構成において、上記処理容器4内の処理空間Sにプラズマを立てて、上記半導体ウエハWにプラズマエッチングやプラズマ成膜等のプラズマ処理を施すようになっている。
ところで、ウエハに対して所定のプロセス処理を行う場合には、一般的にはこのプロセス処理を行う準備として所定の処理ガスを処理容器4内へ流して圧力制御弁26で処理容器4内の圧力制御を行い、この圧力が予め規定された一定の圧力に安定した後にプラズマをオンして所定の処理を行うようになっている。
またウエハに対する処理の種類によっては、一枚のウエハに対して供給するガス種を切り替えながら連続的に処理を行う場合がある。このような処理は、いわゆるマルチステップ処理とも称される。このようなマルチステップ処理を行う場合には、1つのステップ処理を終了すると、処理ガスの供給を停止し、処理容器4内の残留ガスを一旦排気して、次に、次のステップ処理のための処理ガスを供給することにより再度上述した圧力安定化のための段取りを行った後に、当該ステップ処理を行うようにしている。
このようなマルチステップ処理の一例を具体的に説明する。図7は、半導体ウエハ上に積層された膜種の異なる積層膜を示す断面図であり、ここでのマルチステップ処理として上記積層膜に対してエッチングガスを変更しながらエッチング処理を施す場合を例にとって説明する。
図7において、被処理体としての半導体ウエハWの表面には、SiC膜36D、SiCO膜36C、SiO 膜36B、反射防止膜(BARC)36Aが順次積層されており、最上層にはマスクとなるパターン化されたレジスト膜38が設けられている。ここでは上述のように積層されたSiC膜36Dを除く各膜をレジスト膜38のパターンに従ってマルチステップ処理のエッチングを行うことによって、トレンチやホールを形成する。ここでは理解を容易にするために、各膜に対してガス種の異なるエッチングガスを用い、反射防止膜36AはエッチングガスAで削り、SiO 膜36BはエッチングガスBで削り、SiCO膜36CはエッチングガスCで削るものとする。
このマルチプロセス処理を行うには、まず、処理容器4内へエッチングガスAを流して反射防止膜36Aを削り、次にガス種をエッチングガスBに切り替えてSiO 膜36Bを削り、次にガス種をエッチングガスCに切り替えてSiCO膜36Cを削るようにする。例えば各膜に対するエッチング時間は、膜厚にもよるが反射防止膜36Aが30秒程度、SiO 膜36Bが60秒程度、SiCO膜36Cが60秒程度である。そして、上記したガス種を切り替える毎に前述したような直前の処理の処理ガスの排気工程と次の処理の処理ガスの圧力の安定化工程を行うようになっている。
特開平9−181052号公報 特開2002−311892号公報
ところで、上述したような処理方法では、圧力制御弁26を用いてプロセス処理の段取り(圧力安定化)を行う際に、ガスの圧縮性のために処理容器4内の圧力を安定化させるだけで必ず10秒程度要してしまい、この間は処理が実行できないので、その分、スループットを低下させる原因となっていた。
特に上述したようなマルチステップ処理の場合には、ガス種を切り替える毎に、直前のプロセス用の残留ガスの排気工程と次のプロセス用の処理ガスの圧力安定化工程とを行わなければならないので、スループットを大幅に低下させる原因になっていた。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、プロセス開始時における処理容器内の圧力安定化を迅速に行うことができると共に、ガス種の切り替え時の残留ガスの排気と処理容器内の圧力安定化を迅速に行うことができる処理装置及び処理方法を提供することにある。
請求項1に係る発明は、被処理体に対して所定の処理ガスを用いて所定の処理を行う処理装置において、前記被処理体を載置する載置台が内部に設けられた処理容器と、前記処理容器内の雰囲気を排気するための真空ポンプと圧力制御弁とを有する排気系と、前記処理容器内へ複数種類の処理ガスを噴射するガス噴射孔を有するガス噴射手段と、前記複数種類の処理ガスと前記ガス噴射手段に接続されるガス流路とを有し、前記ガス噴射手段へ前記複数種類の処理ガスを切り替えにより選択して流量制御しつつ供給するガス供給手段と、前記ガス流路と前記処理容器内とを連通して設けられると共に途中に高速排気用開閉弁が介設された高速排気用バイパス通路と、装置全体を制御する制御手段とを備え、前記制御手段は、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、前記規定流量の処理ガスを供給するように制御することを特徴とする処理装置処理装置である。
請求項2に係る発明は、被処理体に対して所定の処理ガスを用いて所定の処理を行う処理装置において、前記被処理体を載置する載置台が内部に設けられた処理容器と、前記処理容器内の雰囲気を排気するための真空ポンプと圧力制御弁とを有する排気系と、前記処理容器内へ複数種類の処理ガスを噴射するガス噴射孔を有するガス噴射手段と、前記複数種類の処理ガスと前記ガス噴射手段に接続されるガス流路とを有し、前記ガス噴射手段へ前記複数種類の処理ガスを切り替えにより選択して流量制御しつつ供給するガス供給手段と、前記ガス流路と前記排気系とを連通して設けられると共に途中に高速排気用開閉弁が介設された高速排気用バイパス通路と、装置全体を制御する制御手段とを備え、前記制御手段は、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、前記規定流量の処理ガスを供給するように制御することを特徴とする処理装置である。
このように、処理容器内に収容した被処理体に対して、所定の処理を開始する時に処理容器内の雰囲気を排気している状態で所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、規定流量の処理ガスを供給するように制御したので、プロセス開始時における処理容器内の圧力安定化を迅速に行うことができる。
この場合、例えば請求項3に規定するように、前記所定の短時間は、前記処理容器の容積と、前記所定の処理時のプロセス圧力と、前記処理容器内を前記プロセス圧力まで昇圧するまでに必要とされる時間とによって定まる。
また例えば請求項4に規定するように、前記所定の短時間は、3秒以内である。
また例えば請求項5に規定するように、前記制御手段は、前記大きな流量の処理ガスの供給と同時に前記圧力制御弁に向けて前記所定の処理のプロセス圧力に対応する弁開度に設定する指示を行う。
また例えば請求項6に規定するように、前記制御手段は、前記大きな流量の処理ガスを所定の短時間流す直前に、前記ガス流路内に残留する直前の処理の処理ガスを排出させるために前記高速排気用開閉弁を短時間だけ開状態にする。
これによれば、ガス種の切り替え時の残留ガスの排気と処理容器内の圧力安定化を迅速に行うことができる。
また例えば請求項7に規定するように、前記ガス噴射手段は、複数のガス噴射孔を有するシャワーヘッドよりなる。
また例えば請求項8に規定するように、前記被処理体を加熱するための加熱手段が設けられる。
また例えば請求項9に規定するように、前記処理容器内にプラズマを立てるプラズマ形成手段が設けられる。
請求項10に係る発明は、排気可能になされた処理容器内に複数種類の処理ガスを切り替えて選択的に供給して被処理体に対して所定の処理を施すようにした処理方法において、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記処理ガスを供給するガス流路内に残留する直前の処理時の処理ガスを高速排気用バイパス通路を介して前記処理容器内、或いは排気系へ排出し、該排出の後に前記処理ガスを切り替えて流す際に、前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給し、その後、前記規定流量の処理ガスを供給するようにしたことを特徴とする処理方法である。
本発明の関連技術は、被処理体を載置する載置台が内部に設けられた処理容器と、前記処理容器内の雰囲気を排気するための真空ポンプと圧力制御弁とを有する排気系と、前記処理容器内へ処理ガスを噴射するガス噴射孔を有するガス噴射手段と、前記ガス噴射手段へ流量制御しつつ前記処理ガスを供給するガス供給手段と、を備えた処理装置を用いて前記被処理体に対して所定の処理を行うに際して、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、前記規定流量の処理ガスを供給するように前記処理装置を制御するプログラムを記憶する記憶媒体である。
本発明に係る処理装置及び処理方法によれば、次のように優れた作用効果を発揮することができる。
処理容器内に収容した被処理体に対して、所定の処理を開始する時に処理容器内の雰囲気を排気している状態で所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、規定流量の処理ガスを供給するように制御したので、プロセス開始時における処理容器内の圧力安定化を迅速に行うことができる。
特に請求項6に係る発明によれば、制御手段は、大きな流量の処理ガスを所定の短時間流す直前に、ガス流路内に残留する直前の処理の処理ガスを排出させるために前記高速排気用開閉弁を短時間だけ開状態にするので、ガス種の切り替え時の残留ガスの排気と処理容器内の圧力安定化を迅速に行うことができる。

以下に、本発明に係る処理装置及び処理方法の一実施例の形態について添付図面を参照して説明する。
図1は本発明に係る処理装置の一例を示す構成図である。ここでは処理装置としてプラズマ処理装置を例にとって説明する。
図示するように、処理装置としてのプラズマ処理装置40は、例えば側壁や底部がアルミニウム等の導体により構成されて、全体が筒体状に成形された処理容器42を有しており、内部は密閉された処理空間として構成されて、この処理空間にプラズマが形成される。この処理容器42自体は接地されている。
この処理容器42内には、上面に被処理体としての例えば半導体ウエハWを載置する円板状の載置台44が収容される。この載置台44は、例えばアルミナ等のセラミックにより平坦になされた略円板状に形成されており、例えばアルミニウム等よりなるL字状に屈曲された支持アーム46を介して容器側壁より支持されている。
この載置台44の上面側には、内部に例えば網目状に配設された導体線を有する薄い静電チャック50が設けられており、この載置台44上、詳しくはこの静電チャック50上に載置されるウエハWを静電吸着力により吸着できるようになっている。そして、この静電チャック50の上記導体線は、上記静電吸着力を発揮するために配線52を介して直流電源54に接続されている。またこの配線52には、例えば13.56MHzのバイアス用の高周波電力を上記静電チャック50の導体線へ印加するためにバイアス用高周波電源56が接続されている。またこの載置台44内には、抵抗加熱ヒータよりなる加熱手段58が設けられており、ウエハWを必要に応じて加熱するようになっている。
また、上記載置台44には、ウエハWの搬出入時にこれを昇降させる複数、例えば3本の図示しない昇降ピンが設けられている。また上記載置台44の全体は耐熱材料、例えばアルミナ等のセラミックにより構成されている。また、この処理容器42の側壁には、この内部に対してウエハWを搬入・搬出する時に開閉するゲートバルブ48が設けられ、更に、容器底部60には、容器内の雰囲気を排出する排気口62が設けられる。
そして、上記排気口62には、処理容器42内の雰囲気を排気、例えば真空排気するために排気系64が接続されている。具体的には、上記排気系64は上記排気口62に接続された排気通路66を有している。この排気通路66の最上流側には、例えばゲートバルブよりなる圧力制御弁68が介設され、更に下流側に向けて例えばターボ分子ポンプよりなる第1の真空ポンプ70や例えばドライポンプよりなる第2の真空ポンプ72等が順次介設されている。そして、処理容器42の側壁には例えばキャパマノメータよりなる圧力検出器74が設けられており、ここで測定した容器内の圧力を上記圧力制御弁68に入力してフィードバック制御を行い得るようになっている。
そして、処理容器42の天井部は開口されて、ここに例えばAl 等のセラミック材や石英よりなるマイクロ波に対しては透過性を有する天板76がOリング等のシール部材78を介して気密に設けられる。この天板76の厚さは耐圧性を考慮して例えば20mm程度に設定される。
そして、この天板76の上面に上記処理容器42内でプラズマを立てるためのプラズマ形成手段80が設けられている。具体的には、このプラズマ形成手段80は、上記天板76の上面に設けられた円板状の平面アンテナ部材82を有しており、この平面アンテナ部材82上に遅波材84が設けられる。この遅波材84は、マイクロ波の波長を短縮するために高誘電率特性を有している。上記平面アンテナ部材82は、上記遅波材84の上方全面を覆う導電性の中空円筒状容器よりなる導波箱86の底板として構成され、前記処理容器42内の上記載置台44に対向させて設けられる。
この導波箱86及び平面アンテナ部材82の周辺部は共に処理容器42に導通されると共に、この導波箱86の上部の中心には、同軸導波管88の外管88Aが接続され、内部導体88Bは、上記遅波材84の中心の貫通孔を通って上記平面アンテナ部材82の中心部に接続される。そして、この同軸導波管88は、モード変換器90及び導波管92を介してマッチング(図示せず)を有する例えば2.45GHzのマイクロ波発生器94に接続されており、上記平面アンテナ部材82へマイクロ波を伝搬するようになっている。
上記平面アンテナ部材82は、例えば表面が銀メッキされた銅板或いはアルミ板よりなり、この円板には、例えば長溝状の貫通孔よりなる多数のマイクロ波放射孔96が形成されている。このマイクロ波放射孔96の配置形態は、特に限定されず、例えば同心円状、渦巻状、或いは放射状に配置させてもよい。
そして、上記載置台44の上方には、この処理容器42内へ処理に必要な処理ガスを噴射するためのガス噴射手段98が設けられており、このガス噴射手段98には、これに流量制御しつつ処理ガスを供給するガス供給手段100が接続されている。具体的には、このガス噴射手段98は、例えば石英製のガス流路を格子状に形成してこのガス流路の途中に多数のガス噴射孔102を形成してなるシャワーヘッドよりなっている。上記ガス噴射孔102の直径は、処理容器42内へガスを均一的に噴出するようにするために、例えば0.5mm以下に設定してコンダクタンスを少し低目に設定している。尚、このシャワーヘッドとしては全体を箱形容器状に成形して、その下面に複数のガス噴射孔を形成した構造のものもあり、その形状は特に限定されない。
また上記ガス供給手段100は、その先端部が上記ガス噴射手段98に接続されるガス流路104を有している。このガス流路104の基端部は、複数、ここでは3つに分岐されており、各分岐路にはそれぞれガス源104A、104B、104Cが接続されて、必要に応じて各ガスを供給できるようになっている。ここでは発明の理解を容易にするために、各ガス源104A〜104Cには、処理ガスとして先に図7を参照して説明した時に用いられた互いに異なるエッチングガスA〜Cがそれぞれ貯留されているものとする。尚、実際には、更にN ガス等の不活性ガスの供給源が設けられるが、ここでは図示省略している。
そして、上記各分岐路の途中には、それぞれに流れるガス流量を制御する流量制御器106A〜106Cが、それぞれ介設されると共に、各流量制御器106A〜106Cの上流側と下流側とには、それぞれ開閉弁108A、108B、108Cが介設されており、上記各ガスを必要に応じてそれぞれ流量制御しつつ流すようになっている。ここで上記流量制御器106A〜106Cとしては、上下流側の圧力差に基づいて瞬時に多量のガスを流すことができると共に、微細流量も精度良く制御することができるフローコントロールシステムを用いるのがよい。
そして、上記ガス供給手段100のガス流路104と上記処理容器42内とを連通するようにして本発明の特徴とする高速排気用バイパス通路110が設けられている。この高速排気用バイパス通路110の途中には、高速排気用開閉弁112が介設されており、必要に応じてこの通路の連通及び遮断を行うようになっている。
これにより、必要時にこのガス流路104内に残留する処理ガス(エッチングガス)を高速で処理容器42側へ排出できるようになっている。この場合、この高速排気用バイパス通路110の処理容器42内におけるガス出口110Aは、載置台44の水平レベルよりも下方側に位置させるのがよく、処理容器42内へ排出されたエッチングガスが載置台44上のウエハWに直接晒されないようにする。また上記高速排気用バイパス通路110としては、処理容器42内の圧力等にもよるが、排気のコンダクタンスを大きくするためにできるだけ内径が大きな配管、例えば内径が7mm以上の配管を用いるのが好ましい。
そして、このプラズマ処理装置40の全体の動作は、例えばマイクロコンピュータ等よりなる制御手段114により制御されるようになっており、この動作を行うコンピュータのプログラムはフロッピやCD(Compact Disc)やHDD(Hard Disk Drive)やフラッシュメモリ等の記憶媒体116に記憶されている。具体的には、この制御手段114からの指令により、各処理ガスの供給や流量制御、マイクロ波や高周波の供給や電力制御、高速排気用開閉弁112の開閉制御、プロセス温度やプロセス圧力の制御等が行われる。
次に、以上のように構成されたプラズマ処理装置40を用いて行なわれる処理方法について説明する。ここではプラズマ処理として、図7を用いて説明したように、エッチングガスA〜Cを切り替えながら供給して、図7中の反射防止膜36A、SiO 膜36B、SiCO膜36Cを順次エッチングする場合を例にとって説明する。また上述したように、以下に説明する各動作、例えば各ガスの給排や流量制御、弁開度の制御等は上記記憶媒体116に記憶されたプログラムに基づいて行われる。
まず、ゲートバルブ48を介して半導体ウエハWを搬送アーム(図示せず)により処理容器42内に収容し、図示しない昇降ピンを上下動させることによりウエハWを載置台44の上面の載置面に載置し、そして、このウエハWを静電チャック50により静電吸着する。
このウエハWは加熱手段を設けている場合には、これにより所定のプロセス温度に維持され、必要な処理ガス、例えばここではエッチング処理を行うのでガス供給手段100からガス流路104を介してエッチングガスを所定の流量で流してシャワーヘッドよりなるガス噴射手段98のガス噴射孔102より処理容器42内へ噴射して供給し、これと同時に排気系64の真空ポンプ70、72が駆動されており、圧力制御弁68を制御して処理容器42内を所定のプロセス圧力に維持する。これと同時に、プラズマ形成手段80のマイクロ波発生器94を駆動することにより、このマイクロ波発生器94にて発生したマイクロ波を、導波管92及び同軸導波管88を介して平面アンテナ部材82に供給して処理空間Sに、遅波材84によって波長が短くされたマイクロ波を導入し、これにより処理空間Sにプラズマを発生させて所定のプラズマを用いたエッチング処理を行う。
このように、平面アンテナ部材82から処理容器42内へマイクロ波が導入されると、各ガスがこのマイクロ波によりプラズマ化されて活性化され、この時発生する活性種によってウエハWの表面にプラズマによるエッチング処理が施される。またプラズマ処理に際しては、バイアス用高周波電源56より静電チャック50中の導体線へバイアス用の高周波が印加されており、これにより、活性種等をウエハ表面に対して直進性良く引き込むようにしている。
ここで上述したプラズマ処理中においては、上述したように処理容器42内の雰囲気は、排気系64の真空ポンプ70、72を駆動して真空引きされているので、処理空間Sから拡散しつつ載置台44の周辺部を下方に流れ、更に排気口62から排気系64側へ流れて行く。そして、処理容器42内の圧力は、圧力検出器74で検出され、所望のプロセス圧力を維持するように圧力制御弁68をフィードバック制御する。
さて、上述のようにして行われるエッチング処理は、ここでは前述したようにマルチステップ処理となっており、図7中に示す各膜36A〜36Cを順に同一容器内で連続してエッチングして行く。この場合、エッチング対象となる膜が異なる毎に使用するエッチングガスをエッチングガスAからエッチングガスCまで切り替えて供給することになる。すなわち、1つのエッチングステップ処理を完了する毎に、マイクロ波発生器94をオフしてプラズマを停止させると共に、その時供給していたエッチングガスの供給を停止し、残留ガスを処理容器42内やガス流路104から排除し、次に別のガス種の異なるエッチングガスを供給して、すなわちエッチングガスを切り替えて処理容器42内の圧力を安定化し、安定化した後にマイクロ波発生器94を再度オンすることによってプラズマを立てて次のエッチングステップ処理を開始する。
そして、所定の時間の処理が完了したならば、上述したような動作を繰り返し行って、連続的にエッチング処理を行うことになる。これによって、各エッチングガスA〜Cが順に切り替わって行く。尚、この間は連続的に処理容器42内は真空引きされているのは前述した通りである。上記各エッチングステップ処理を行う場合、各エッチングガスA〜C毎に流すべき規定流量(ガス流量)、プロセス圧力、プロセス時間(エッチング時間)等が予め定まっており、プログラム化されている。
ここで従来の処理方法にあっては、各エッチングステップ処理を開始するに当たって、エッチングガスを当初より定められた規定流量で一定量で流すようにしているので、このエッチングガスが処理容器42内に一杯になってプロセス圧力が安定化するまでにある程度以上の時間を要し、また、ガス供給手段100のガス流路104に直前の処理のエッチングガスが残留している場合には、この残留ガスを排除するにもある程度以上の時間を要し、スループットの低下の原因となっていた。
これに対して、本発明方法では、各エッチングステップ処理を開始するに当たって、当初は上記規定流量よりも大きな流量、例えば規定流量の3倍程度の流量のエッチングガスを所定の短時間、例えば1秒間程度供給し、その後、直ちに流量を減少させて規定流量で流すようにするので、その結果、早く圧力安定化状態にできてエッチング処理を開始でき、その分、スループットを向上させることができる。
また、上記エッチングガスを流す直前に、処理容器42内の残留ガスを排除する時に、高速排気用開閉弁112を短時間だけ開状態にしてガス流路104内に残留する直前の処理のエッチングガスを排気コンダクタンスの大きな高速排気用バイパス通路110を介して処理容器42内へ迅速に排出するようにしている。この場合、上記高速排気用バイパス通路110を設けていない場合には、ガス流路104内の残留ガスはシャワーヘッドを介して処理容器42内へ抜けることになるが、このシャワーヘッドであるガス噴射手段98の排気コンダクタンスは小さいので、上記ガス流路104内の残留ガスがシャワーヘッドを介して処理容器42内へ抜けるには比較的長時間を要してしまうので、スループットが低下してしまう。これに対して、本発明では、上記した点に関して高速排気用バイパス通路110を設けて残留ガスを迅速に排出するようにしているので、その分、スループットを向上させることができる。
上記したエッチングガスの切り替え時の態様について図2乃至図5を参照してより詳しく説明する。
図2は処理容器内の圧力の変化を示す図であり、図2(A)は従来方法の場合を示し、図2(B)は本発明方法の場合を示す。図3は処理ガスであるエッチングガスを切り替える時のタイミングチャートの一例を示す図であり、図2(B)中の一部を時間的に拡大して示している。図4は本発明方法の各工程を示すフローチャートである。ここでは一例としてエッチングガスAからエッチングガスBへ切り替える時の状態を示しており、エッチングガスBからエッチングガスCへ切り替える時も同様に行われている。
図中において、”RFオン”はプラズマが立てられてエッチング処理が実際に行われている期間を示し、”RFオン”同士の間は、直前のエッチング処理が終了してエッチングガスの切り替えが終わって処理容器42内の圧力が安定するまでの期間である。この結果、図2(A)に示す従来方法の場合には、圧力安定化までの期間Δt1は10秒程度であったのに対して、図2(A)に示す本発明の場合には圧力安定化までの期間Δt2は2秒程度まで短縮しており、スループットを大幅に向上できることが判る。
次に、上記エッチングガスの切り替え操作について、図3及び図4も参照して具体的に説明する。
図3中において、図3(A)はエッチングガスAの流量の変化を示し、図3(B)はエッチングガスBの流量の変化を示し、図3(C)は高速排気用開閉弁の開閉状況を示し、図3(D)は圧力制御弁の弁開度を示し、図3(E)は処理容器内の圧力変化を示す。
まず、直前の工程であるエッチングガスAによるエッチング処理が行われており、このガスに対して予め定められた規定流量でエッチングガスAが流されている。そして、時刻P1でエッチングガスAによるエッチング処理が完了すると(図4におけるS1のYES)、エッチングガスAを供給していたガス流路の開閉弁108Aが閉じられて、このエッチングガスAの供給を停止する(S2)。これと同時に、高速排気用開閉弁112を開状態にし(S3)、更に圧力制御弁68を十分に大きな弁開度に設定する(S4)。
これにより、処理容器42内の残留ガスを迅速に排気すると共に、上記ガス供給手段100のガス流路104内に残留するエッチングガスAを排気コンダクタンスの大きな高速排気用バイパス通路110を介して高真空になっている処理容器42内へ排出する。この際、シャワーヘッドよりなるガス噴射手段98内の残留ガスは、この直径の小さなガス噴射孔102の部分の排気コンダクタンスが小さいので、上記ガス流路104内を逆流し、更に高速排気用バイパス通路110内を通って処理容器42内へ排出されることになる。
この処理容器42内の残留ガスは直ちに排気系64側へ排出されて行く。この結果、シャワーヘッドよりなるガス噴射手段98内やガス流路104内や処理容器42内の残留ガス(エッチングガスA)は迅速に系外へ排出されることになるので、図3(E)に示すように、処理容器42内の圧力を急激に低下させることができる。この際、圧力制御弁68の弁開度は、この部分の排気コンダクタンスを十分に大きくするために通常の圧力制御範囲より大きい弁開度50%にしているが、周知のように、例えば弁開度50〜100%の範囲では排気コンダクタンスは飽和してしまってほとんど変化せず、また、弁開度100%に設定すると、その後で圧力制御のために弁開度を20%程度に設定すると、その弁開度に達するまでに弁動作時間が長くなってしまうので、上述のように弁開度50%程度に設定している。ここで弁開度とは、圧力制御弁68の弁口において実際に排気ガスが流れる領域を示し、弁口が半分開いたときには弁開度は50%であり、弁口が全開のときには弁開度は100%である。
このようにして所定の時間、例えば0.5秒程度経過したならば(S5のYES)、時刻P2において高速排気用開閉弁112を閉状態に切り替え(S6)、次の処理のためにエッチングガスB用の開閉弁108Bを開状態にしてエッチングガスBの供給を開始する(S7)。この時、このエッチングガスBの流量は、このプロセス時のために予め定められた規定流量ではなく、これよりも大きな流量、例えば上記規定流量M1の3倍の流量M2となるように流量制御器105Bを設定し、この大流量でエッチングガスBを流し始める。
これと同時に、圧力制御弁68に対しては、このエッチングガスBのプロセス時の設定圧力に対応する弁開度となるように指示を出す(S8)。このような弁開度は、設定圧力が予め定められているので、これに対応する弁開度が略定まっており、この弁開度に向けて圧力制御弁68が動作するように指示を出す。尚、プロセス時の弁開度は通常5〜20%程度の範囲で圧力制御が行われている。
この動作により、図3(E)に示すように、処理容器42内の圧力は、従来方法の場合よりも遥かに急激に上昇して行くことになる(図2(A)及び図2(B)参照)。
このように所定の短時間Δt3、例えば1秒間だけエッチングガスBを大流量で供給したならば(S9のYES)、時刻P3において、エッチングガスBの流量を、このプロセス時の規定流量M1に設定し、更に、この状態で流量制御器106Bをフィードバック制御による自動化運転に切り換える(S10)。
ここで上記エッチングガスBの大流量の供給時の流量M2の大きさは、処理容器42の容量Vと、このエッチングガスBを用いたエッチング処理のプロセス圧力Pと、処理容器42内を上記プロセス圧力まで昇圧するまでに必要とされる時間Tとによって決められる。例えば処理容器42内の容積Vを40リットル、プロセス圧力Pを1Paとすると、1気圧のエッチングガスBの必要量Vo1は概略で次の式のようになる。
Vo1=V・10 ×P・10−5=40×10 ×1×10−5=0.4[cc]
従って、処理容器42内をプロセス圧力まで昇圧するまで必要とされる時間Tを1秒とすると、次の式で表される大流量M2でエッチングガスBを流せばよいことになる。
M2=0.4×60(sec)=24sccm
尚、これらの数値は単に一例を示したに過ぎず、これに限定されないのは勿論である。
上述のように、時刻P3においてエッチングガスBの供給量を規定流量M1に設定すると、エッチングガスBの供給量は規定流量M1となり、今後、処理容器42内の圧力は僅かに変動する。そして、圧力が安定化するに要する時間Δt4、例えば0.5秒程度経過した時に(S11のYES)、時刻P4において処理容器42内の圧力が安定したものと見做して、圧力制御弁68をフィードバック制御による自動化運転に切り換え(S12)、プラズマを立ててエッチングガスBによる所定のエッチング処理を所定の時間実行する(S13)。
これ以降は、エッチングガスBによるエッチング処理が完了したならば、上述した手順と同じ手順を行って、エッチングガスCの処理へ移行することになる(S14)。
以上のように、本発明方法では、各エッチングステップ処理を開始するに当たって、当初は上記規定流量よりも大きな流量、例えば規定流量の3倍程度の流量のエッチングガスを所定の短時間、例えば1秒間程度供給し、その後、直ちに流量を減少させて規定流量で流すようにするので、その結果、早く圧力安定化状態にできてエッチング処理を開始でき、その分、スループットを向上させることができる。
また、上記エッチングガスを流す直前に、処理容器42内の残留ガスを排除する時に、高速排気用開閉弁112を短時間だけ開状態にしてガス流路104内に残留する直前の処理のエッチングガスを排気コンダクタンスの大きな高速排気用バイパス通路110を介して処理容器42内へ迅速に排出するようにしている。この場合、上記高速排気用バイパス通路110を設けていない場合には、ガス流路104内の残留ガスはシャワーヘッドを介して処理容器42内へ抜けることになるが、このシャワーヘッドであるガス噴射手段98の排気コンダクタンスは小さいので、上記ガス流路104内の残留ガスがシャワーヘッドを介して処理容器42内へ抜けるには比較的長時間を要してしまうので、スループットが低下してしまう。これに対して、本発明では、上記した点に関して高速排気用バイパス通路110を設けて残留ガスを迅速に排出するようにしているので、その分、スループットを向上させることができる。
尚、上記実施例では、高速排気用バイパス通路110の下流側のガス出口110Aを処理容器42内に臨むように設けたが、これに限定されず、この高速排気用バイパス通路110の下流側を、排気系64の排気通路66側に接続して、ガス流路104内等の残留ガスを直接的に排気系64側へ排出するようにしてもよい。しかし、ガス流路104内に残留するエッチングガスを排出する容器としての体積は、排気通路66よりも処理容器42の方が大きいので、エッチングガスの排出に伴う容器内の圧力上昇は処理容器42の方が低く抑えられ、トータル的にはガス出口110Aは処理容器42に臨むように設けることが好ましい。
また上記実施例では、高速排気用バイパス通路110を設けてガス供給手段100のガス流路104内やシャワーヘッド内の残留ガスを処理容器42側へ吸引排気して迅速に抜くようにしたが、この高速排気用バイパス通路110を設けないようにしてもよい。この場合には、新たな処理ガス(エッチングガス)の供給を開始した時の所定の短時間Δt3だけ大きな流量でエッチングガスを流すだけであって、ガス流路104内やシャワーヘッド内の残留ガスを吸引排気しない分、図2(B)に示す場合と比較して残留ガスの排出にある程度時間を要してしまうが、それでも、図2(A)に示す従来方法よりは、短時間で残留ガスの排出を行うことができる。
換言すれば、図3(C)に示す残留ガス強制排除の工程がなくなるので、残留ガスの排出のための時間、すなわち時刻P1−P2間が長くなるが、それでも図2(A)に示す従来方法の場合よりはガスの切り替えに要する時間を短くすることができる。この時の処理容器42内の圧力変化の状態は図2(C)に示されており、処理ガスの安定化までの時間Δt5は、図2(B)に示す時間Δt2よりは少し長くなってしまうが、図2(A)に示す従来方法の時間Δt1よりは短縮されて、例えば4秒程度になっており、全体的にスループットの向上を図ることができる。
また本実施例1では、所定の処理としてプラズマを用いたプラズマエッチング処理を例にとって説明したが、これに限定されず、プラズマCVD処理、プラズマALD(Atomic Layered Deposition)成膜処理、プラズマスパッタ処理、プラズマ改質処理等の全ての処理に適用することができ、特に、途中でガス種を切り替えて供給するようなマルチステップ処理に有効である。
更には、本発明は、プラズマを用いない処理、例えば熱CVD処理、ALD成膜処理、酸化拡散処理、改質処理等の全ての処理に適用でき、特に途中でガス種を切り替えて供給するような処理、例えばALD処理に特に有効である。例えば図5は本発明の処理装置の変形例を示す断面図であり、ここで本発明を熱処理装置に適用した場合を示している。尚、図1に示す構成部分と同一構成部分については同一参照符号を付してある。
この熱処理装置は、熱CVD処理や成膜ガスの切り替えを繰り返しながら一層ずつ薄膜を形成するALD成膜処理を行うものである。
ここで載置台44内にウエハ加熱用の抵抗加熱ヒータよりなる加熱手段58を埋め込んでおり、またガス噴射手段98は箱形容器状のシャワーヘッドで構成され、内部には拡散板120が設けられている。尚、上記加熱手段58として、載置台を薄い円板状に形成してこれを下方より加熱する加熱ランプを用いる場合もある。
また、ガス供給手段100の一例として、ここでは3種類の成膜ガスA、B、Cをそれぞれ貯留する3つのガス源120A、120B、120Cを有しており、これらの成膜ガスA、B、Cを切り替えて供給するようにしている。そして、ここでもガス流路104と処理容器42との間を、途中に高速排気用開閉弁112を介設した高速排気用バイパス通路110により連通するようにしている。この場合にも、先に説明した実施例と同様な作用効果を発揮することができる。
また、ここでは被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
本発明に係る処理装置の一例を示す構成図である。 処理容器内の圧力の変化を示す図である。 処理ガスであるエッチングガスを切り替える時のタイミングチャートの一例を示す図である。 本発明方法の各工程を示すフローチャートである。 本発明の処理装置の変形例を示す断面図である。 従来の一般的なプラズマ処理装置を示す概略構成図である。 半導体ウエハ上に積層された膜種の異なる積層膜を示す断面図である。
符号の説明
40 プラズマ処理装置(処理装置)
42 処理容器
44 載置台
58 抵抗加熱ヒータ(加熱手段)
64 排気系
66 排気通路
68 圧力制御弁
74 圧力検出器
80 プラズマ形成手段
82 平面アンテナ部材
94 マイクロ波発生器
98 ガス噴射手段
100 ガス供給手段
110 高速排気用バイパス通路
112 高速排気用開閉弁
114 制御手段
116 記憶媒体
W 半導体ウエハ(被処理体)

Claims (10)

  1. 被処理体に対して所定の処理ガスを用いて所定の処理を行う処理装置において、
    前記被処理体を載置する載置台が内部に設けられた処理容器と、
    前記処理容器内の雰囲気を排気するための真空ポンプと圧力制御弁とを有する排気系と、
    前記処理容器内へ複数種類の処理ガスを噴射するガス噴射孔を有するガス噴射手段と、
    前記複数種類の処理ガスと前記ガス噴射手段に接続されるガス流路とを有し、前記ガス噴射手段へ前記複数種類の処理ガスを切り替えにより選択して流量制御しつつ供給するガス供給手段と、
    前記ガス流路と前記処理容器内とを連通して設けられると共に途中に高速排気用開閉弁が介設された高速排気用バイパス通路と、
    装置全体を制御する制御手段とを備え、
    前記制御手段は、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、前記規定流量の処理ガスを供給するように制御することを特徴とする処理装置。
  2. 被処理体に対して所定の処理ガスを用いて所定の処理を行う処理装置において、
    前記被処理体を載置する載置台が内部に設けられた処理容器と、
    前記処理容器内の雰囲気を排気するための真空ポンプと圧力制御弁とを有する排気系と、
    前記処理容器内へ複数種類の処理ガスを噴射するガス噴射孔を有するガス噴射手段と、
    前記複数種類の処理ガスと前記ガス噴射手段に接続されるガス流路とを有し、前記ガス噴射手段へ前記複数種類の処理ガスを切り替えにより選択して流量制御しつつ供給するガス供給手段と、
    前記ガス流路と前記排気系とを連通して設けられると共に途中に高速排気用開閉弁が介設された高速排気用バイパス通路と、
    装置全体を制御する制御手段とを備え、
    前記制御手段は、前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給した後に、前記規定流量の処理ガスを供給するように制御することを特徴とする処理装置。
  3. 前記所定の短時間は、前記処理容器の容積と、前記所定の処理時のプロセス圧力と、前記処理容器内を前記プロセス圧力まで昇圧するまでに必要とされる時間とによって定まることを特徴とする請求項1又は2記載の処理装置。
  4. 前記所定の短時間は、3秒以内であることを特徴とする請求項1乃至3のいずれか一項に記載の処理装置。
  5. 前記制御手段は、前記大きな流量の処理ガスの供給と同時に前記圧力制御弁に向けて前記所定の処理のプロセス圧力に対応する弁開度に設定する指示を行うことを特徴とする請求項1乃至4のいずれか一項に記載の処理装置。
  6. 前記制御手段は、前記大きな流量の処理ガスを所定の短時間流す直前に、前記ガス流路内に残留する直前の処理の処理ガスを排出させるために前記高速排気用開閉弁を短時間だけ開状態にすることを特徴とする請求項1乃至5のいずれか一項に記載の処理装置。
  7. 前記ガス噴射手段は、複数のガス噴射孔を有するシャワーヘッドよりなることを特徴とする請求項1乃至6のいずれか一項に記載の処理装置。
  8. 前記被処理体を加熱するための加熱手段が設けられることを特徴とする請求項1乃至7のいずれか一項に記載の処理装置。
  9. 前記処理容器内にプラズマを立てるプラズマ形成手段が設けられることを特徴とする請求項1乃至8のいずれか一項に記載の処理装置。
  10. 排気可能になされた処理容器内に複数種類の処理ガスを切り替えて選択的に供給して被処理体に対して所定の処理を施すようにした処理方法において、
    前記所定の処理を開始する時に前記処理容器内の雰囲気を排気している状態で前記処理ガスを供給するガス流路内に残留する直前の処理時の処理ガスを高速排気用バイパス通路を介して前記処理容器内、或いは排気系へ排出し、該排出の後に前記処理ガスを切り替えて流す際に、前記所定の処理時の規定流量よりも大きな流量の処理ガスを所定の短時間だけ供給し、その後、前記規定流量の処理ガスを供給するようにしたことを特徴とする処理方法。
JP2006106107A 2006-04-07 2006-04-07 処理装置及び処理方法 Expired - Fee Related JP4943047B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2006106107A JP4943047B2 (ja) 2006-04-07 2006-04-07 処理装置及び処理方法
TW102117871A TW201338036A (zh) 2006-04-07 2007-04-04 處理裝置
TW96112107A TWI463561B (zh) 2006-04-07 2007-04-04 Processing device and processing method
US12/226,089 US8366869B2 (en) 2006-04-07 2007-04-06 Processing apparatus and processing method
CN2007800125467A CN101416284B (zh) 2006-04-07 2007-04-06 处理装置及处理方法
PCT/JP2007/057768 WO2007116969A1 (ja) 2006-04-07 2007-04-06 処理装置及び処理方法
EP07741204A EP2006893A4 (en) 2006-04-07 2007-04-06 TREATMENT METHOD AND APPARATUS
KR1020087005619A KR100976207B1 (ko) 2006-04-07 2007-04-06 처리 장치, 처리 방법 및 그 처리 장치를 제어하는 컴퓨터 프로그램을 기억하는 기억 매체
US13/729,417 US8545711B2 (en) 2006-04-07 2012-12-28 Processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006106107A JP4943047B2 (ja) 2006-04-07 2006-04-07 処理装置及び処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012020605A Division JP2012094911A (ja) 2012-02-02 2012-02-02 プラズマ処理装置及び処理方法

Publications (2)

Publication Number Publication Date
JP2007281225A JP2007281225A (ja) 2007-10-25
JP4943047B2 true JP4943047B2 (ja) 2012-05-30

Family

ID=38581248

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006106107A Expired - Fee Related JP4943047B2 (ja) 2006-04-07 2006-04-07 処理装置及び処理方法

Country Status (7)

Country Link
US (2) US8366869B2 (ja)
EP (1) EP2006893A4 (ja)
JP (1) JP4943047B2 (ja)
KR (1) KR100976207B1 (ja)
CN (1) CN101416284B (ja)
TW (2) TWI463561B (ja)
WO (1) WO2007116969A1 (ja)

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009057583A1 (ja) * 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6043046B2 (ja) * 2010-08-12 2016-12-14 東京エレクトロン株式会社 エッチングガスの供給方法及びエッチング装置
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5824372B2 (ja) 2012-01-25 2015-11-25 東京エレクトロン株式会社 処理装置及びプロセス状態の確認方法
JP5960614B2 (ja) * 2012-03-29 2016-08-02 Ckd株式会社 流体制御システム、流体制御方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104715995A (zh) * 2013-12-17 2015-06-17 中微半导体设备(上海)有限公司 一种气体供应装置及其等离子体反应装置
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6504770B2 (ja) * 2014-06-30 2019-04-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP2017017277A (ja) * 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
WO2018192668A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Material deposition arrangement, a method for depositing material and a material deposition chamber
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108593198A (zh) * 2018-04-23 2018-09-28 武汉华星光电技术有限公司 电容式隔膜真空计及干刻蚀设备腔体压力测试***
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10725484B2 (en) * 2018-09-07 2020-07-28 Mks Instruments, Inc. Method and apparatus for pulse gas delivery using an external pressure trigger
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7232651B2 (ja) * 2019-01-25 2023-03-03 東京エレクトロン株式会社 熱媒体の制御方法および熱媒体制御装置
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
WO2021199420A1 (ja) * 2020-04-03 2021-10-07 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115414868B (zh) * 2022-11-04 2023-01-13 格润化学(东营)有限公司 一种聚丙烯酰胺聚合用引发剂喷射装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59224129A (ja) 1983-06-03 1984-12-17 Hitachi Ltd 処理装置
US5180436A (en) * 1988-07-26 1993-01-19 Matsushita Electric Industrial Co., Ltd. Microwave plasma film deposition system
US5070813A (en) * 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
EP0382985A1 (en) * 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Gas purge system
JP2830978B2 (ja) * 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
JP3122175B2 (ja) * 1991-08-05 2001-01-09 忠弘 大見 プラズマ処理装置
JPH05166733A (ja) * 1991-12-12 1993-07-02 Canon Inc 非単結晶シリコン膜の形成方法および形成装置
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3233575B2 (ja) 1995-05-26 2001-11-26 東京エレクトロン株式会社 プラズマ処理装置
JP3329685B2 (ja) * 1996-05-16 2002-09-30 株式会社東芝 計測装置および計測方法
JPH1154491A (ja) * 1997-08-04 1999-02-26 Kokusai Electric Co Ltd ガス供給方法
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
JP4071449B2 (ja) * 2001-03-27 2008-04-02 株式会社東芝 センサ異常検出方法及びセンサ異常検出装置
TW503656B (en) 2001-04-03 2002-09-21 Chunghwa Picture Tubes Ltd Compensation method to improve the color temperature and color difference of plasma display panel by dynamically adjusting the intensity of input image signal
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
TW578198B (en) * 2001-08-24 2004-03-01 Asml Us Inc Atmospheric pressure wafer processing reactor having an internal pressure control system and method
US6896764B2 (en) * 2001-11-28 2005-05-24 Tokyo Electron Limited Vacuum processing apparatus and control method thereof
JP2003168680A (ja) * 2001-11-29 2003-06-13 Applied Materials Inc 気相堆積方法及び装置
KR100979575B1 (ko) * 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
CN100490073C (zh) * 2002-11-20 2009-05-20 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR100500470B1 (ko) * 2003-03-31 2005-07-12 삼성전자주식회사 고주파 파워를 이용하는 반도체소자 제조설비의 공정가스공급장치와 공정가스 공급시스템 및 그 방법
US7464581B2 (en) * 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
JP4652327B2 (ja) * 2004-05-27 2011-03-16 東京エレクトロン株式会社 基板処理装置
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7713883B2 (en) * 2005-03-08 2010-05-11 Hitachi Kokusai Electric Inc. Manufacturing method of a semiconductor device, and substrate processing apparatus
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
JP5205045B2 (ja) * 2007-12-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2010153737A (ja) * 2008-12-26 2010-07-08 Hitachi High-Technologies Corp 真空処理装置
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
KR20080037704A (ko) 2008-04-30
KR100976207B1 (ko) 2010-08-17
US20130126001A1 (en) 2013-05-23
EP2006893A4 (en) 2010-05-19
CN101416284A (zh) 2009-04-22
CN101416284B (zh) 2011-02-02
TWI463561B (zh) 2014-12-01
TW200746294A (en) 2007-12-16
US20090053900A1 (en) 2009-02-26
US8545711B2 (en) 2013-10-01
JP2007281225A (ja) 2007-10-25
WO2007116969A1 (ja) 2007-10-18
US8366869B2 (en) 2013-02-05
EP2006893A1 (en) 2008-12-24
TW201338036A (zh) 2013-09-16

Similar Documents

Publication Publication Date Title
JP4943047B2 (ja) 処理装置及び処理方法
TWI414017B (zh) Plasma processing device and plasma processing method
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
JP5728482B2 (ja) 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
JP5082338B2 (ja) エッチング方法及びエッチング装置
JP2007242668A (ja) 処理装置
JP2008211099A (ja) プラズマ処理装置及びそのクリーニング方法
US20130022760A1 (en) Plasma nitriding method
JP2012094911A (ja) プラズマ処理装置及び処理方法
WO2007052534A1 (ja) エッチング方法及びエッチング装置
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
KR20100019469A (ko) 마이크로파 플라즈마 처리 장치 및 마이크로파 플라즈마 처리 방법, 및 마이크로파 투과판
JP5410882B2 (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
KR101432415B1 (ko) 플라즈마 질화 처리 방법 및 플라즈마 질화 처리 장치
JP2007214211A (ja) プラズマ処理装置
JP2002231637A (ja) プラズマ処理装置
KR101098975B1 (ko) 기판 처리 장치
WO2006049125A1 (ja) 成膜装置及び成膜方法
JP5410881B2 (ja) プラズマ処理装置とプラズマ処理方法
JP2004022821A (ja) ドライエッチング方法および装置
JP2008010598A (ja) プラズマ処理方法及びその装置
WO2022168648A1 (ja) 基板処理方法および基板処理装置
US20230420294A1 (en) Substrate processing method and substrate processing apparatus
WO2007083653A1 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120228

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120229

R150 Certificate of patent or registration of utility model

Ref document number: 4943047

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150309

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees