JP2010535422A - マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物 - Google Patents

マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物 Download PDF

Info

Publication number
JP2010535422A
JP2010535422A JP2010519265A JP2010519265A JP2010535422A JP 2010535422 A JP2010535422 A JP 2010535422A JP 2010519265 A JP2010519265 A JP 2010519265A JP 2010519265 A JP2010519265 A JP 2010519265A JP 2010535422 A JP2010535422 A JP 2010535422A
Authority
JP
Japan
Prior art keywords
acid
composition
weight
residue
removal composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010519265A
Other languages
English (en)
Inventor
ビー. コルゼンスキー,マイケル
ジアン,ピン
セルケ,ブリタニー
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2010535422A publication Critical patent/JP2010535422A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

残渣を上に有するマイクロ電子デバイスから前記残渣を除去するための洗浄組成物および方法。フッ化物種、アミン種、および有機溶媒を実質的に含有しないこの組成物は、マイクロ電子デバイスからの、エッチング後残渣、アッシング後残渣および/またはCMP後残渣などの残渣物質の非常に有効な洗浄を達成すると同時に、マイクロ電子デバイス上に同じく存在する層間誘電材料および金属配線材料に損傷を与えない。

Description

分野
本発明は、一般には、フッ化物種を実質的に含有せず、かつ残渣を上に有するマイクロ電子デバイスから当該残渣を除去するのに有用である、残渣除去組成物に関する。
関連技術の説明
マイクロ電子デバイス産業では、残渣を上に有するデバイスから残渣を除去するための、適合性があり(compatible)かつ環境に優しいウエハ洗浄組成物の相当な需要がある。例えば、限定されないが、アルミニウム、アルミニウム/ケイ素/銅、チタン、窒化チタン、チタン/タングステン、タングステン、酸化ケイ素およびポリシリコン結晶などの様々な種類の金属およびケイ素含有材料をプラズマエッチングした結果生成される残渣を除去するために、新規な洗浄溶液が必要とされている。
現在、ヒドロキシルアミン含有(HDA)組成物は、工業的な配線工程(BEOL)洗浄製品の大多数を占める。とは言うものの、ヒドロキシルアミンをベースとする化学反応は、範囲60〜70℃の温度で最良に行われるため、それを含有する水溶液の脱イオン水総含有率は、20時間にわたって50%も減少し、それによって組成物の浴寿命が厳しく制限される。
さらに、従来のアミンベースの化学反応は、残渣、例えばエッチング後残渣を溶解することによって起こり、窒素または腐食防止剤種の有機膜がすすぎ前に、洗浄されたデバイス表面に残る。すすぎ水はこの有機膜を通って拡散し、アミンと水の組み合わせによって、金属表面上のpHを11を超えるpHにシフトし得る水酸化物種が生成される。アルミニウムおよび銅は、かかる高pH値およびアミン種の存在下にて腐食し得る。重要なことには、これらの配合物は、すすぎ水中に更なる腐食性水酸化物種を形成するのに十分な量のアミンを含有せず、それ自体が、その初期値を超えるpHの増加を受けないことから、この腐食メカニズムは、半水性洗浄化学反応(semi-aqueous cleaning chemistry)では起こらない。
半水性洗浄化学反応の一例としては、pH範囲6〜8と共に有機溶媒、水、低濃度のフッ化物および他の活性種、化学活性を制御する緩衝剤で構成されるIDEAL cleanが挙げられる。都合のよいことには、大部分の市販の半水性製品ならびにIDEAL cleanは、2〜30分と様々な工程時間と共に周囲温度付近(23〜30℃)で使用される。さらに、それを水中で直接すすぎ、すすぎ水の体積を減らすことができる。とは言うものの、IDEAL cleanの一つの不利点は、洗浄剤中にフッ化物イオンが存在するため、長い露出時間にわたって石英と適合性がないことである。したがって、石英製の浴または石英製ヒーターのいずれかを含む器具セットを有する多くの工場では、器具セットを改良または変更することなく、IDEAL cleanを使用することができない。
その目的に向かって、現在の器具セットと適合性があり、かつ残渣および/または汚染物をマイクロ電子デバイス表面から有効かつ効率的に除去する、新規な組成物が必要とされている。当技術分野における現在の組成物に対して、石英と適合性があり、浴寿命が長く、処理温度が低く、処理量が高いことから、フッ化物およびアミンを実質的に含有しない組成物が好ましい。
概要
本発明は、一般には、残渣物質を上に有するマイクロ電子デバイスから前記残渣を除去する組成物、および前記組成物を使用する方法に関する。好ましくは、この組成物は、アミン種、フッ化物種および有機溶媒を実質的に含有せず、さらに低k誘電材料および金属含有層などの下層材料のいずれにも損傷を与えることなく、エッチング後、アッシング後、および/またはCMP後残渣をマイクロ電子デバイス表面から有効に除去する。
一の態様において、少なくとも1種類の錯化剤を含む除去組成物であって、残渣物質を上に有するマイクロ電子デバイスから残渣物質を除去するのに有用な組成物が記述されている。好ましくは、その少なくとも1種類の錯化剤は、アミノカルボン酸、有機酸およびその誘導体、ホスホン酸およびその誘導体、ならびにそれらの組み合わせからなる群から選択される化合物を含む。
他の態様において、少なくとも1種類の錯化剤および少なくとも1種類の界面活性剤を含むか、それらから本質的になるか、またはそれらからなる除去組成物であって、残渣物質を上に有するマイクロ電子デバイスから残渣物質を除去するのに有用な組成物が記述されている。好ましくは、その少なくとも1種類の錯化剤は、アミノカルボン酸、有機酸およびその誘導体、ホスホン酸およびその誘導体、ならびにそれらの組み合わせからなる群から選択される化合物を含み、好ましくは、その少なくとも1種類の界面活性剤は、リン酸エステルを含む。
さらに他の態様において、サリチル酸誘導体およびホスホン酸誘導体を含むか、それらから本質的になるか、またはそれらからなる除去組成物が記述されており、前記組成物は、残渣を上に有するマイクロ電子デバイスから残渣を除去するのに有用である。
さらに他の態様は、サリチル酸誘導体、ホスホン酸誘導体、および水から本質的になるか、またはそれらからなる除去組成物に関し、前記組成物は、残渣を上に有するマイクロ電子デバイスから残渣を除去するのに有用である。
さらに他の態様は、1つまたは複数の容器内に除去組成物を形成する以下の試薬のうちの1種または複数種を含むキットに関し、前記の1種または複数種の試薬は、少なくとも錯化剤、任意選択により少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択により少なくとも1種類の緩衝剤、任意選択により少なくとも1種類の酸化防止剤からなる群から選択され、かつ前記キットは、残渣を上に有するマイクロ電子デバイスから前記残渣を除去するのに適した除去組成物を形成するように適応される。
他の態様は、残渣を上に有するマイクロ電子デバイスから前記残渣を除去する方法であって、マイクロ電子デバイスから前記残渣を少なくとも一部除去するのに十分な時間、水性除去組成物とマイクロ電子デバイスを接触させることを含む方法に関し、その除去組成物は、少なくとも錯化剤、任意選択により少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択により少なくとも1種類の緩衝剤、および任意選択により少なくとも1種類の酸化防止剤を含む。
更なる態様は、マイクロ電子デバイスを製造する方法であって、残渣および/または汚染物を上に有するマイクロ電子デバイスから前記残渣および/または汚染物を少なくとも一部除去するのに十分な時間、本明細書に記載の組成物とマイクロ電子デバイスを接触させることを含む方法に関する。
さらに他の態様は、本明細書に記載の方法を用いて製造された、改善されたマイクロ電子デバイス、およびそれが組み込まれた製品に関し、前記方法は、残渣および/または汚染物を上に有するマイクロ電子デバイスから前記残渣および/または汚染物を除去することと、本明細書に記載の方法および/または組成物を使用することと、任意選択により製品にマイクロ電子デバイスを組み込むこととを含む。
他の態様は、組成物、マイクロ電子デバイスウエハおよび残渣および/または汚染物を含む製造物品であって、その組成物が、少なくとも錯化剤、任意選択により少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択により少なくとも1種類の緩衝剤、任意選択により少なくとも1種類の酸化防止剤を含む、製造物品に関する。
他の態様、特徴および利点については、次の開示内容および添付の特許請求の範囲からより完全に明らかになるだろう。
詳細な説明とその好ましい実施形態
本発明は、一般には、残渣を上に有するマイクロ電子デバイス表面から残渣を除去するための組成物および方法に関する。好ましくは、その組成物は、フッ化物およびアミンを実質的に含有せず、デバイス表面から残渣および/または汚染物を除去するのに有用であり、かつ現在使用されている器具セットと適合性がある。有利なことには、本明細書に記載の組成物は、マイクロ電子デバイス上の低k誘電材料および金属含有材料と適合性である。
参照を容易にするために、「マイクロ電子デバイス」は、半導体基板、フラットパネルディスプレイ、相変化メモリーデバイス、太陽電池板および光起電性材料、および超小型回路、集積回路、またはコンピューターチップ用途用に製造される微小電気機械システム(MEMS)に相当する。「マイクロ電子デバイス」という用語は、限定的であることを決して意味するものではなく、最終的にマイクロ電子デバイスまたは超小型回路アセンブリとなる、あらゆる基板を含むことを理解されたい。
本明細書で使用される、「残渣」とは、限定されないが、プラズマエッチング、アッシング、化学機械研磨、ウェットエッチング、およびそれらの組み合わせなどのマイクロ電子デバイスの製造中に生じる粒子に相当する。
本明細書で使用される、「汚染物」とは、プラズマエッチング、アッシング、ウェットエッチング、または化学機械研磨工程後にマイクロ電子デバイス表面上に存在する、残渣を除く化学物質、反応および化学副生成物、および前記工程の副生成物である他のいずれかの物質に相当する。一般に、汚染物は本質的に有機物質である。
本明細書で使用される、「CMP後残渣」とは、研磨スラリーからの粒子、例えばシリカ含有粒子、スラリー中に存在する化学物質、研磨スラリーの反応副生成物、カーボンリッチ粒子(carbon-rich particle)、研磨パッド粒子、ブラシ除去粒子(brush deloading particle)、構成粒子の装置材料、銅、酸化銅、銅含有物質、アルミニウム、酸化アルミニウム、アルミニウム含有物質、有機残渣、およびCMP工程の副生成物である他の物質に相当する。
本明細書で定義される、「低k誘電材料」とは、層状マイクロ電子デバイスにおいて誘電材料として使用される材料であって、約3.5未満の誘電率を有する材料に相当する。好ましくは、低k誘電材料としては、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ化ケイ酸塩ガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料が挙げられる。低k誘電材料は様々な密度および様々な多孔度を有し得ることを理解されたい。
本明細書で定義される、「エッチング後残渣」とは、気相プラズマエッチング工程、例えばBEOLデュアルダマシン加工後に残る物質に相当する。エッチング後残渣は、本質的に有機、有機金属、有機ケイ素、または無機物質、例えば、ケイ素含有物質、炭素ベースの有機物質、および酸素およびフッ素などのエッチングガス残渣であり得る。
本明細書で定義される、本明細書で使用される「アッシング後残渣」とは、硬化フォトレジストおよび/または底層の反射防止膜(BARC)材料を除去するための酸化的または還元的プラズマアッシング後に残る物質に相当する。アッシング後残渣は、本質的に有機、有機金属、有機ケイ素、または無機物質であり得る。
「実質的に含有しない」および「含有しない」は、本明細書において2重量%未満、好ましくは1重量%未満、さらに好ましくは0.5重量%未満、最も好ましくは0.1重量%未満として定義される。
本明細書で使用される、「約」は、記載値の±5%に相当する。
本明細書で使用される、残渣を上に有するマイクロ電子デバイスから前記残渣を除去する「適性」とは、マイクロ電子デバイスからの前記残渣の少なくとも一部の除去に相当する。好ましくは、本明細書に記載の組成物を使用して、マイクロ電子デバイスから残渣の50〜85%が除去され、さらに好ましくは、残渣の少なくとも90%、またさらに好ましくは少なくとも95%、最も好ましくは少なくとも99%が除去される。
本明細書で定義される、「金属」とは、マイクロ電子デバイス上にある、タンタル、窒化タンタル、窒化チタン、チタン、ニッケル、コバルト、タングステン、およびそのケイ化物;銅含有層;アルミニウム含有層;Al/Cu層;Alの合金;Cuの合金;CoWPおよびCoWBPなどのコバルト含有層;金含有層;Au/Pt層;酸化ハフニウム;オキシケイ酸ハフニウム;酸化ジルコニウム;酸化ランタニド;チタン酸塩;その窒素ドープ類似体;ルテニウム;イリジウム;カドミウム;鉛;インジウム;セレン;銀;MoTa;およびそれらの組み合わせならびにそれらの塩に相当する。
本明細書で使用される、「フッ化物」種とは、イオンフッ化物(F)などの種に相当する。そのフッ化物種は、フッ化物種として含まれるか、またはその場で生成され得ることを理解されたい。
本明細書で定義される、「錯化剤」は、錯化剤、キレート剤、金属イオン封鎖剤、およびそれらの組み合わせであると、当業者により理解される化合物を含む。錯化剤は、本明細書に記載の組成物を使用して除去されるべき金属原子および/または金属イオンと化学的に結合するか、またはその金属原子および/または金属イオンを物理的に保持する。
本明細書で定義される、「アミン」種は、少なくとも1種類の第1級、第2級、または第3級アミン、アンモニア、および/または第4級水酸化アンモニウム化合物(例えば、水酸化アンモニウム、水酸化アルキルアンモニウム、水酸化アルキルアリールアンモニウム等)を含むが、カルボン酸基とアミン基のどちらも含む種が、この定義に従って「アミン」とみなされないことを条件とする。水酸化アルキルアンモニウム化合物は、一般式RNOH(式中、R、R、RおよびRは互いに同一または異なり、かつC−Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)である)を有する。水酸化アルキルアリールアンモニウム化合物は、一般式RNOH(式中、R、R、RおよびRは互いに同一または異なり、かつC−Cアルキル基(例えば、メチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)および置換もしくは非置換C−C10アリール基(例えば、ベンジル)である)を有する。
以下により完全に記述されているように、多種多様な具体的な配合で組成物が具体化される。
組成物の具体的な成分が下限ゼロを含む重量パーセント範囲に関して述べられている、かかるすべての組成物において、かかる成分が、組成物の様々な特定の実施形態において存在すること、または存在しないこと、ならびにかかる成分が存在する場合には、かかる成分がその中で用いられる組成物の全重量に対して0.001重量%と低い濃度で存在し得ることを理解されよう。
一般に、水性組成物は少なくとも1種類の錯化剤を含み、この組成物は、マイクロ電子デバイス表面から残渣および/または汚染物を除去するのに有用である。この組成物は好ましくは、有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。
一態様において、少なくとも1種類の錯化剤、任意選択により少なくとも1種類の腐食防止剤、任意選択によりpH緩衝剤、任意選択により少なくとも1種類の酸化防止剤、任意選択により少なくとも1種類の界面活性剤を含む組成物であって、残渣を上に有するマイクロ電子デバイスから残渣を除去するのに有用な組成物が記述されている。他の態様において、少なくとも1種類の錯化剤、少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択によりpH緩衝剤、任意選択により少なくとも1種類の酸化防止剤を含む組成物が記述されている。さらに他の態様において、少なくとも1種類の錯化剤、少なくとも1種類の界面活性剤、少なくとも1種類の腐食防止剤、任意選択によりpH緩衝剤、任意選択により少なくとも1種類の酸化防止剤を含む組成物が記述されている。この組成物は水を含み、かつ好ましくは、有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。
この態様の広範な実施において、組成物は、(i)少なくとも1種類の錯化剤;(ii)少なくとも1種類の錯化剤および少なくとも1種類の界面活性剤;または(iii)少なくとも1種類の錯化剤、少なくとも1種類の界面活性剤、および少なくとも1種類の腐食防止剤を含むか、(i)(ii)または(iii)からなるか、あるいは(i)(ii)または(iii)から本質的になり、この組成物は、有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。各実施形態において、水は一成分であり得ることを理解されたい。さらに、各実施形態において、既に存在しない限り、組成物は、少なくとも1種類の腐食防止剤、pH緩衝剤、および少なくとも1種類の酸化防止剤を含み得る。一般に、互いに対する成分の具体的な割合および量は、過度に努力することなく、当業者によって容易に決定可能なように、残渣および/加工装置に対して組成物の所望の除去作用が得られるように適切に変更される。水は脱イオン水であることが好ましい。
錯化剤は好ましくは、プラズマアッシング後にメタルラインおよびバイア上に通常見られるアルミニウム含有残渣に対する高い親和性を有する。企図されるキレート剤としては、限定されないが、アミノカルボン酸、有機酸およびその誘導体、ホスホン酸およびその誘導体、ならびにそれらの組み合わせ、例えば:(エチレンジニトリロ)四酢酸(EDTA)、ブチレンジアミン四酢酸、(1,2−シクロヘキシレンジニトリロ)四酢酸(CyDTA)、ジエチレントリアミンペンタ酢酸(DTPA)、エチレンジアミンテトラプロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸(HEDTA)、N,N,N’,N’−エチレンジアミンテトラ(メチレンホスホン)酸(EDTMP)、トリエチレンテトラミン六酢酸(TTHA)、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸(DHPTA)、メチルイミノ二酢酸、プロピレンジアミン四酢酸、1,5,9−トリアザシクロドデカン−N,N’,N’’−トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10−テトラアザシクロドデカン−N,N’,N’’,N’’’−テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチルイデン−1,1−ジホスホン酸(HEDP)、ビス(ヘキサメチレン)トリアミンホスホン酸、1,4,7−トリアザシクロノナン−N,N’,N’’−トリス(メチレンホスホン酸(NOTP)、2−ホスホノブタン−1,2,4−トリカルボン酸、ニトリロ三酢酸(NTA)、クエン酸、酒石酸、グルコン酸、糖酸、グリセリン酸、シュウ酸、フタル酸、マレイン酸、マンデル酸、マロン酸、乳酸、o−、m−、またはp−サリチル酸およびその誘導体、ジヒドロキシ安息香酸、5−スルホサリチル酸、ジメチルスルホキシド(DMSO)、カテコール、没食子酸、没食子酸プロピル、ピロガロール、8−ヒドロキシキノリン、システイン、およびそれらの組み合わせが挙げられる。錯化剤の例としては、限定されないが、リン酸、硝酸、硫酸、塩化水素酸、フッ化水素酸、塩化アルキルジメチルベンジルアンモニウム、塩化アンモニウム、塩化カリウム、フッ化アンモニウム、およびそれらの組み合わせが挙げられる。
アルミニウムイオンを錯化するための、水性システムにおいて有用な他の金属キレート剤としては、限定されないが、酢酸、ジヒドロキシサリチル酸、イミノ二酢酸、グリホスフェート(glyphosphate)、N−(ホスホノメチル)−イミノ二酢酸、ギ酸、プロパン酸、ブタン酸、硫酸塩イオン、N−(2−ヒドロキシエチル)−イミノ二酢酸、ピリジン−2,5−ジカルボン酸、ピリジン−2,6−ジカルボン酸、7−ヨード−8−ヒドロキシキノリン−5−スルホン酸、2−アミノ−2−プロピルホスホン酸、1,2−ジヒドロキシベンゼン−4−スルホン酸、4,5−ジヒドロキシ−1,3−ベンゼン二スルホン酸(Tiron)、ソロクロムバイオレットR(solochrome violet R)、3−ヒドロキシ−2−ナフトエ酸、クロモトロプ酸、ニトロ酢酸、オキシ二酢酸、チオ二酢酸、8−ヒドロキシ−7−(アリールアゾ)−キノリン−5−スルホン酸、2−オキソブタン酸、アセト酢酸、フェニルセリン、L−アスコルビン酸、スクエア酸、アセトヒドロキサム酸、3−ヒドロキシ−5,7−ジスルホ−2−ナフトエ酸、2,3−ジヒドロキシナフタレン−6−スルホン酸、スルホキシン、オキシン、コハク酸、3,4−ジヒドロキシ安息香酸、2−(3,4−ジヒドロキシフェニル)−2−(1,1−ベンゾピラン)−3,5,7−トリオール、3−ヒドロキシ−7−スルホ−2−ナフトエ酸、1,2−ジヒドロキシナフタレン−4−スルホン酸、N,N−ビス(2−ヒドロキシエチル)グリシン、N−(ホスホノメチル)−イミノ二酢酸、イミノビス(メチレンホスホン酸)、D−グルコン酸、酒石酸、1−オキソプロパン−1,2−ジカルボン酸、プロパン−1,2,3−トリカルボン、N,N,’N’’−トリス[2−(N−ヒドロキシカルバモイル)エチル]−1,3,5−ベンゼントリカルボキサミド(BAMTPH)、デスフェリフェリオキサミンB(desferriferrioxamine-B)、1,7−ジヒドロキシ−4−スルホ−2−ナフテン酸、アスパラギン酸、グルタミン酸、ピリドキサール−5−(二水素リン酸)、ピリドキサール、アミノ(フェニル)メチレン−二リン酸、エチレングリコール四酢酸(EGTA)、1,2シクロヘキサンジアミン四酢酸(CDTA)、エチレンビス(イミノ−(2−ヒドロキシフェニル)メチレン(メチル)−ホスホン酸))、N−(2−ヒドロキシエチル)−エチレンジニトリロ−N,N’,N’−三酢酸、トリメチレンジニトリロ四酢酸、(2−ジヒドロキシトリメチレン)−ジニトリロ四酢酸、キシレノールオレンジ、メチルチモールブルー、3−ヒドロキシグルタミン酸、L−ホスホセリン、DL−アミノ−3−ホスホプロパン酸、およびそれらの組み合わせが挙げられる。これらのキレート剤を前述の錯化剤および/またはキレート剤と組み合わせて使用して、その少なくとも1種類の錯化剤を形成することができる。
好ましい錯化剤としては、ホスホン酸およびその誘導体、サリチル酸およびその誘導体、サリチル酸と実質的にほぼ同じアルミニウム錯化力(K=13)を有する他の試剤、ならびにそれらの組み合わせが挙げられる。最も好ましくは、錯化剤は、組成物の全重量に対して約0.5重量%以上の水への(錯化剤および水のみを含有する溶液中での)溶解性を有する。特に好ましい錯化剤としては、2,3−ヒドロキシ安息香酸、スルホサリチル酸、HEDP、およびそれらの組み合わせが挙げられる。
実例となる界面活性剤としては、限定されないが、両性塩、カチオン界面活性剤、アニオン界面活性剤、フルオロアルキル界面活性剤、非イオン性界面活性剤、双性イオン性界面活性剤、およびそれらの組み合わせ、例えば限定されないが、SURFONYL(登録商標)104、TRITON(登録商標)CF-21、ZONYL(登録商標)UR、ZONYL(登録商標)FSO-100、ZONYL(登録商標)FSN-100、3M Fluoradフッ素系界面活性剤(すなわち、FC-4430およびFC-4432)、PLURONIC(登録商標)F127(BASF)、PLURONIC(登録商標)25R2、PLURAFAC(登録商標)RA20、Sulfonic P1、PLURONIC(登録商標)17R2、PLURONIC(登録商標)17R4、TERGITOL(登録商標)Min Foam2x、ジオクチルスルホコハク酸塩、2,3−ジメルカプト−1−プロパンスルホン酸塩、ドデシルベンゼンスルホン酸、ドデシルベンゼンスルホン酸ナトリウム塩(DDBSA)、ドデシルスルホン酸ナトリウム(SDS)、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレンまたはポリプロピレングリコールエーテル、カルボン酸塩、Rベンゼンスルホン酸またはその塩(Rは、直鎖または分枝鎖C−C18アルキル基である)、両親媒性フルオロポリマー、ポリエチレングリコール、ポリプロピレングリコール、ポリエチレンまたはポリプロピレングリコールエーテル、カルボン酸塩、ポリアクリレートポリマー、ジノニルフェニルポリオキシエチレン、シリコーンまたは変性シリコーンポリマー、アセチレンジオールまたは変性アセチレンジオール、アルキルアンモニウムまたは変性アルキルアンモニウム塩、ならびに上述の界面活性剤のうちの少なくとも1種類を含む組み合わせ、DOWFAX 3B2、ドデシル硫酸ナトリウム、双性イオン性界面活性剤、エアロゾル−OT(AOT)およびそのフッ化類似体、アルキルアンモニウム、パーフルオロポリエーテル界面活性剤、2−スルホコハク酸塩、リン酸エステル(例えば、BASF社から市販のKLEARFAC(商標)AA270およびKLEARFAC(商標)870などのKLEARFAC(登録商標)界面活性剤;Rhone-Poulenc社から市販のRHODAFAC(商標)P
C100、PO3およびRA600;Croda社から市販のCRODAFOS(商標)N-3、N-10、N2A、N3A、N5A、およびN10A)などのリン酸エステルベースの界面活性剤、硫黄ベースの界面活性剤、およびアセトアセテートベースのポリマーが挙げられる。好ましい実施形態において、界面活性剤としては、アルキルベンゼンスルホン酸、さらに好ましくはドデシルベンゼンスルホン酸が挙げられる。界面活性剤が本明細書に記載の組成物に含有される場合、消泡剤が、組成物の全重量に対して0〜5重量%の範囲で添加され得る。企図される消泡剤としては、限定されないが、脂肪酸、アルコール(1価または多価アルコール)およびアミン、例えばカプリル酸ジグリセリド、レシチン、炭酸マグネシウム、ポリエチレンホモポリマーおよび酸化ホモポリマーM3400、ジメトポリシロキサンベース、シリコーンベース、AGITAN(商標)、およびLUMITEN(商標)などの脂肪酸ポリエーテル型、油、およびそれらの組み合わせが挙げられる。好ましい界面活性剤としては、リン酸エステル、PLURONIC(登録商標)25R2、PLURAFAC(登録商標)RA20、Sulfonic P1、PLURONIC(登録商標)17R2、PLURONIC(登録商標)17R4、TERGITOL(登録商標)Min Foam2x、およびそれらの組み合わせが挙げられる。
本明細書に記載の洗浄組成物はさらに、限定されないが、アスコルビン酸、アデノシン、L(+)−アスコルビン酸、イソアスコルビン酸、アスコルビン酸誘導体、ベンゾトリアゾール(BTA)、クエン酸、エチレンジアミン、没食子酸、シュウ酸、タンニン酸、エチレンジアミン四酢酸(EDTA)、尿酸、1,2,4−トリアゾール(TAZ)、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはIである)、ナフトトリアゾール、2−メルカプトベンズイミダゾール(MBI)、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、イミダゾール、インジアゾール(indiazole)、安息香酸、ホウ酸、マロン酸、安息香酸アンモニウム、カテコール、ピロガロール、レゾルシノール、ヒドロキノン、シアヌル酸、バルビツール酸および1,2−ジメチルバルビツール酸などの誘導体、ピルビン酸などのαケト酸、アデニン、プリン、ホスホン酸およびそれらの誘導体、グリシン/アスコルビン酸、Dequest 2000、Dequest 7000、p−トリルチオ尿素、コハク酸、およびそれらの組み合わせなどの腐食防止剤を含み得る。例えば、洗浄組成物はホウ酸を含み得る。
企図される酸化防止剤としては、限定されないが、アスコルビン酸;アデノシン、L(+)−アスコルビン酸;イソアスコルビン酸;アスコルビン酸誘導体;シアヌル酸;バルビツール酸および1,2−ジメチルバルビツール酸などの誘導体;グルカル酸;スクエア酸;ピルビン酸などのα−ケト酸;アデノシンおよびその誘導体;アデニン;プリン;ホスホン酸およびその誘導体;フェナントロリン/アスコルビン酸;グリシン/アスコルビン酸;ニコチンアミドおよびアスコルビン酸ニコチンアミドなどのその誘導体;フラボノールなどのフラボノイド、アントシアニンおよびその誘導体;フラボノール/アントシアニン;およびそれらの組み合わせが挙げられる。
pH緩衝剤としては、限定されないが、水酸化物、フタル酸水素塩、酢酸塩、シュウ酸塩、炭酸塩、カルバミン酸塩、クエン酸塩、メチルジエタノールアミン(MDEA)、HCl、リン酸、サリチル酸、ホウ酸、スルホサリチル酸、HEDP、スルファミン酸、水酸化コリン、モノエタノールアミン(MEA)、アセチルアセトン、およびそれらの組み合わせが挙げられる。
本明細書に記載の組成物は、範囲約1〜約8、好ましくは約1〜約6、最も好ましくは約1〜約4のpHを有する。組成物の粘度は、5cSt未満である。特に好ましい実施形態において、組成物は、組成物の全重量に対して水を少なくとも65重量%含有する。有利な組成物は最初に、有機溶媒、フッ化物、アミン、研磨材、エーテル結合を有する化合物、Hなどの酸化剤、有機ポリマー粒子、2つ以上の隣接脂肪族炭素原子のそれぞれがヒドロキシル基を有する構造を有する化合物、およびそれらの組み合わせを実質的に含有しない。本明細書で定義される、「最初に含有しない」とは、残渣を上に有するマイクロ電子デバイスとまだ接触していない組成物に相当する。
一実施形態において、組成物は、組成物の全重量に対して、少なくとも1種類の錯化剤約0.01〜約40重量%、平衡水を含むか、それらからなるか、またはそれらから本質的になる。他の実施形態において、組成物は、組成物の全重量に対して、少なくとも1種類の錯化剤約0.01〜約40重量%、少なくとも1種類の界面活性剤約0.01〜約25重量%、平衡水を含むか、それらからなるか、またはそれらから本質的になる。好ましくは、組成物は、組成物の全重量に対して、少なくとも1種類の錯化剤約10〜約20重量%、少なくとも1種類の界面活性剤約1〜約8重量%、平衡水を含むか、それらからなるか、またはそれらから本質的になる。各実施形態において、組成物は、有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。
種々の好ましい実施形態において、組成物は、以下の配合A〜AZおよびB1〜B47で配合され、そのリン酸エステルはKLEARFAC(商標)AA270であってもよく、かつすべてのパーセンテージは、配合物の全重量に対する重量による:
配合物A:5−スルホサリチル酸 5重量%;HEDP 5重量%;水 90重量%
配合物B:5−スルホサリチル酸 5重量%;リン酸エステル 5重量%;水 90重量%
配合物C:リン酸エステル 5重量%;HEDP 5重量%;水 90重量%
配合物D:リン酸エステル 2重量%;HEDP 3重量%;水 95重量%
配合物E:リン酸エステル 10重量%;HEDP 3重量%;水 87重量%
配合物F:リン酸エステル 2重量%;HEDP 12重量%;水 86重量%
配合物G:リン酸エステル 10重量%;HEDP 12重量%;水 78重量%
配合物H:5−スルホサリチル酸 8重量%;リン酸エステル 2重量%;HEDP 3重量%;水 87重量%
配合物I:5−スルホサリチル酸 8重量%;リン酸エステル 10重量%;HEDP 3重量%;水 79重量%
配合物J:5−スルホサリチル酸 8重量%;リン酸エステル 2重量%;HEDP 12重量%;水 78重量%
配合物K:5−スルホサリチル酸 8重量%;リン酸エステル 10重量%;HEDP 12重量%;水 70重量%
配合物L:5−スルホサリチル酸 4重量%;リン酸エステル 6重量%;HEDP 7.5重量%;水 82.5重量%
配合物M:リン酸エステル 6重量%;HEDP 7.5重量%;水 86.5重量%
配合物N:5−スルホサリチル酸 8重量%;リン酸エステル 6重量%;HEDP 7.5重量%;水 78.5重量%
配合物O:5−スルホサリチル酸 4重量%;リン酸エステル 6重量%;HEDP 3重量%;水 87重量%
配合物P:5−スルホサリチル酸4重量%;リン酸エステル6重量%;HEDP12重量%;水78重量%
配合物Q:5−スルホサリチル酸 4重量%;リン酸エステル 2重量%;HEDP 7.5重量%;水 86.5重量%
配合物R:5−スルホサリチル酸 4重量%;リン酸エステル 10重量%;HEDP 7.5重量%;水 78.5重量%
配合物S:5−スルホサリチル酸3重量 %;ホウ酸 0.2重量%;水 96.8重量%;pH0〜1
配合物T:5−スルホサリチル酸 3重量%;ホウ酸 0.2重量%;サリチル酸 0.2重量%;水 96.6重量%;pH0〜1
配合物U:サリチル酸 2重量%;リン酸エステル 8重量%;PLURONIC(登録商標)F127 5重量%;水 85重量%
配合物V:5−スルホサリチル酸 3重量%;Dequest 2016D(固形)3.6重量%;水 93.4重量%;pH約3.2
配合物W:HEDP 5重量%;Dequest 2016D(固形)4.3重量%;水 90.7重量%;pH約3.3
配合物X:5−スルホサリチル酸 3重量%;HEDP 5重量%;Dequest 2016D(固形)8重量%;水 84重量%;pH約3.4
配合物Y:5−スルホサリチル酸 5重量%;HEDP 5重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.4重量%;水 89.6重量%
配合物Z:5−スルホサリチル酸 5重量%;HEDP 5重量%;アスコルビン酸 0.4重量%;水 89.6重量%
配合物AA:5−スルホサリチル酸 5重量%;リン酸エステル 5重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.4重量%;水 89.6重量%
配合物AB:5−スルホサリチル酸 5重量%;HEDP 5重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.4重量%;リン酸エステル 2重量%;水87.6重量%
配合物AC:5−スルホサリチル酸 5重量%;HEDP 5重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.8重量%;水 89.2重量%
配合物AD:5−スルホサリチル酸 5重量%;リン酸エステル 5重量%;アスコルビン酸 0.8重量%;水 89.2重量%
配合物AE:5−スルホサリチル酸 5重量%;リン酸エステル 5重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.8重量%;水 89.2重量%
配合物AF:5−スルホサリチル酸 8重量%;HEDP 3重量%;3−アミノ−5−メルカプト−1,2,4−トリアゾール 0.8重量%;リン酸エステル 2重量%;水 86.2重量%
配合物AG:5−スルホサリチル酸 5重量%;HEDP 5重量%;アスコルビン酸 0.2重量%;水 89.8重量%
配合物AH:HEDP 5重量%;5−スルホサリチル酸 5重量%,水酸化コリン 1重量%未満;水 約90重量%;pH3
配合物AI:HEDP 5重量%;5−スルホサリチル酸 5重量%,水酸化コリン 7重量%未満;水 約83重量%,pH=7.5
配合物AJ:HEDP 5重量%;5−スルホサリチル酸 5重量%,水酸化コリン約2.2重量%;水 90重量%未満;pH2
配合物AK:HEDP 5重量%;5−スルホサリチル酸 5重量%;アスコルビン酸 0.2重量%;水酸化コリン 約2重量%;水 90重量%未満;pH2
配合物AL:HEDP 5重量%;5−スルホサリチル酸 5重量%,モノエタノールアミン 約1.6重量%;水 90重量%未満;pH3
配合物AM:HEDP 5重量%;5−スルホサリチル酸 5重量%,モノエタノールアミン 約0.1重量%;水 90重量%未満;pH2
配合物AN:HEDP 5重量%;5−スルホサリチル酸 5重量%,モノエタノールアミン 約2重量%;水 90重量%未満;pH4
配合物AO:HEDP 3重量%;5−スルホサリチル酸 8重量%,リン酸エステル 2重量%;水 87重量%未満
配合物AP:5−スルホサリチル酸 8重量%;HEDP 3重量%;アスコルビン酸 0.8重量%;リン酸エステル 2重量%;水 86.2重量%
配合物AQ:5−スルホサリチル酸 8重量%;HEDP 3重量%;アスコルビン酸 1.2重量%;リン酸エステル 2重量%;水 85.8重量%
配合物AR:5−スルホサリチル酸 5重量%;HEDP 5重量%;アスコルビン酸 1.2重量%;水 8.8重量%
配合物AS:5−スルホサリチル酸 5重量%;HEDP 5重量%;アスコルビン酸 0.8重量%;水 89.2重量%
配合物AT:5−スルホサリチル酸 8重量%;HEDP 3重量%;リン酸エステル 2重量%;アセチルアセトン 5重量%;水 82重量%
配合物AU:5−スルホサリチル酸 8重量%;HEDP 3重量%;リン酸エステル 2重量%;MEA 2.5重量%;水84.5重量%;pH=3.17
配合物AV:5−スルホサリチル酸 5重量%;HEDP 5重量%;MEA 2.4重量%;水 87.6重量%;pH=3.34
配合物AW:5−スルホサリチル酸 8重量%;HEDP 3重量%;リン酸エステル 2重量%;HCl 0.1重量%;水 86.9重量%
配合物AX:5−スルホサリチル酸 8重量%;HEDP 3重量%;リン酸エステル 2重量%;HCl 1重量%;水 86重量%
配合物AY:スルホサリチル酸 4%;モノエタノールアミン(MEA)96%;pH=9
配合物AZ:スルホサリチル酸 3%;モノエタノールアミン(MEA)97%;pH=10.5
Figure 2010535422
Figure 2010535422
組成物の成分の重量%比の範囲は、界面活性剤に対して錯化剤約0.1〜約15、好ましくは約1〜約10、最も好ましくは約2〜約7である。
他の実施形態において、前述の組成物は、エッチング後残渣、アッシング後残渣、CMP後残渣、ウェットエッチング残渣、およびそれらの組み合わせからなる群から選択される残渣物質をさらに含む。例えば、組成物は、少なくとも1種類の錯化剤および残渣物質を含み得る。他の実施形態において、組成物は、少なくとも1種類の錯化剤、少なくとも1種類の界面活性剤、および残渣物質を含み得る。残渣物質は、本明細書に記載の除去組成物に溶解および/または懸濁され得る。
さらに他の実施形態において、組成物は、5−スルホサリチル酸、ホウ酸、および組成物の全重量に対して約95重量%を超える水、さらに好ましくは約96重量%を超える水を含むか、それらからなるか、またはそれらから本質的になる。この実施形態は、有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。
特に好ましい実施形態において、組成物は、5−スルホサリチル酸(SSA)、HEDP、リン酸エステル、および水を含むか、それらからなるか、またはそれらから本質的になり、その組成物は、残渣物質の除去に有用であり、かつ有機溶媒、アミン種、および/またはフッ化物種を実質的に含有しない。組成物は、範囲約3〜約4のpHを有する。SSAとリン酸エステルの重量%比は、約0.1:1〜約10:1、好ましくは約0.5:1〜約8:1、最も好ましくは約1:1〜約5:1の範囲にある。SSAとHEDPの重量%比は、約0.01:1〜約10:1、好ましくは約0.1:1〜約8:1、最も好ましくは約0.3:1〜約2:1の範囲にある。
他の好ましい実施形態において、組成物は、DMSO、5−スルホサリチル酸(SSA)および水を含むか、それらからなるか、またはそれらから本質的になり、その組成物は残渣物質の除去に有用である。DMSOとSSAの重量%比は、約1:1〜約50:1、好ましくは約5:1〜約25:1の範囲にある。さらに他の好ましい実施形態において、組成物は、DMSO、5−スルホサリチル酸(SSA)、アスコルビン酸、および水を含むか、それらからなるか、またはそれらから本質的になり、その組成物は残渣物質の除去に有用である。DMSOとSSAの重量%比は、約1:1〜約10:1、好ましくは約3:1〜約7:1の範囲にあり、DMSOとアスコルビン酸の重量%比は、約15:1〜約40:1、好ましくは約20:1〜約32:1の範囲にある。さらに他の好ましい実施形態において、組成物は、DMSO、5−スルホサリチル酸、BTAおよび水を含むか、それらからなるか、またはそれらから本質的になり、その組成物は残渣物質の除去に有用である。DMSOとSSAの重量%比は、約1:1〜約10:1、好ましくは約3:1〜約7:1の範囲にあり、DMSOとBTAの重量%比は、約20:1〜約300:1、好ましくは約50:1〜約250:1の範囲にある。さらに他の好ましい実施形態は、DMSO、5−スルホサリチル酸、リン酸エステル、および水を含むか、それらからなるか、またはそれらから本質的になる組成物であって、残渣物質の除去に有用である組成物に関する。
他の態様において、除去組成物は、残渣、汚染物および/またはポリマー材料、例えば、フォトレジストを除去するように配合される。この態様の除去組成物は広くは、少なくとも1種類の錯化剤および少なくとも1種類の溶媒を含み、この除去組成物は、残渣、汚染物、ポリマー材料、およびそれらの組み合わせからなる群から選択される物質を、それらを上に有するマイクロ電子デバイスから除去するのに有用である。好ましくは、この態様の除去組成物は、少なくとも1種類の錯化剤、少なくとも1種類の溶媒、および少なくとも1種類の界面活性剤を含むか、それらからなるか、またはそれらから本質的になる。組成物中の溶媒の量が増加するにしたがって、ポリマー材料および/または汚染物を除去する有効性が高まり、残渣物質を除去する有効性が低下することは理解されよう。この態様の各実施形態はさらに、緩衝剤、少なくとも1種類の腐食防止剤、少なくとも1種類の酸化防止剤、およびそれらの組み合わせを含み得る。フォトレジストを除去するように組成物が配合される場合、その配合物は、少なくとも1種類の有機溶媒および/または少なくとも1種類のアミン含有溶媒を含み得る。
この態様の組成物に添加され得る有機溶媒としては、限定されないが、アルコール、エーテル、ピロリジノン、グリコール、カルボン酸、グリコールエーテル、アミン、ケトン、エステル、アルデヒド、アルカン、アルケン、アルキン、およびアミド、さらに好ましくはアルコール、エーテル、ピロリジノン、グリコール、カルボン酸、およびグリコールエーテル、例えばメタノール、エタノール、イソプロパノール、ブタノール、テトラヒドロフルフリルアルコール、および高級アルコール(ジオール、トリオール等)、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノール、1H,1H,9H−パーフルオロ−1−ノナノール、パーフルオロヘプタン酸、1H,1H,7H−ドデカフルオロ−1−ヘプタノール、パーフルオロペンタン酸、1H,1H,8H,8H−ドデカフルオロ−1,8−オクタンジオール、2,2,3,3,4,4,5,5−オクタフルオロ−1,6−ヘキサンジオール、二塩基酸エステル、5H−パーフルオロペンタン酸、n−ブチルヘプタフルオロブチレート、テトラヒドロフラン(THF)、N−メチルピロリジノン(NMP)、シクロヘキシルピロリジノン、N−オクチルピロリジノン、N−フェニルピロリジノン、モノエタノールアミン、ギ酸メチル、ジメチルホルムアミド(DMF)、ジメチルスルホキシド(DMSO)、テトラメチレンスルホン(スルホラン)、ジエチルエーテル、フェノキシ−2−プロパノール(PPh)、プロプリオフェノン(propriophenone)、乳酸エチル、酢酸エチル、安息香酸エチル、アセトニトリル、アセトン、エチレングリコール、プロピレングリコール、ジオキサン、ブチリルラクトン、炭酸ブチレン、炭酸エチレン、炭酸プロピレン、炭酸グリセリン、ジプロピレングリコール、両親媒性種(ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(すなわち、ブチルカルビトール)、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル、およびそれらの組み合わせ)、分岐状フッ素化または非フッ素化エーテル結合カルボン酸((CHCHO(CHCOOH(n=1〜10であり、m=1〜10である))、非分岐状フッ素化または非フッ素化エーテル結合カルボン酸((CHCHO(CHCOOH(n=1〜10であり、m=1〜10である))、分岐状フッ素化または非フッ素化非エーテル結合カルボン酸(CH(CHCOOH(n=1〜10である))、非分岐状フッ素化または非フッ素化非エーテル結合カルボン酸(CH(CHCOOH(n=1〜10である))、ジカルボン酸、トリカルボン、およびそれらの組み合わせが挙げられる。その代わりとして、あるいはそれに加えて、溶媒は、式NROH(式中、R、R、RおよびRは、互いに同じまたは異なり、かつ水素、直鎖もしくは分枝鎖C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、およびヘキシル)、および置換もしくは非置換C−C10アリール、例えばベンジルからなる群から選択される)を有する第4級水酸化アンモニウムなどの少なくとも1種類の第4級塩基を含み得る。好ましくは、溶媒は、DMSO、乳酸エチル、水酸化テトラメチルアンモニウム、コリン、二塩基酸エステル、炭酸グリセリン、テトラヒドロフルフリルアルコール、およびそれらの組み合わせを含む。
本明細書に記載の組成物は、マイクロ電子デバイス上の低k誘電材料および金属含有材料と適合性がある。さらに、この組成物は、水溶性、非腐食性、不燃性であり、かつ環境に対する毒性が低い。当技術分野のアミン含有洗浄剤と比べて著しく進歩している本明細書に記載の組成物は、粘度を下げると、単一ウエハ(ならびにバッチ式ウエハ)器具セットにおいて使用することができる。
成分の重量%比の範囲は、すべての可能な、濃縮または希釈された組成物の実施形態を包含する。その目的に向かって、一実施形態において、希釈組成物として使用するために希釈することができる濃縮組成物が提供される。濃縮組成物または「濃縮物」によって有利なことに、使用者、例えばプロセスエンジニアは、使用時点で濃縮物を所望の濃度およびpHに希釈することができる。濃縮組成物の希釈度は、約1:1〜約2500:1、好ましくは約5:1〜約200:1の範囲であり、組成物は、溶媒、例えば脱イオン水で器具にて、または器具直前で希釈される。本明細書に開示される成分の重量%比の範囲が変わらないことは当業者によって理解されよう。
本明細書に記載の組成物は、限定されないが、エッチング後残渣除去、アッシング後残渣除去表面処理、めっき後洗浄および/またはCMP後残渣除去などの用途での有用性を有する。
本明細書に記載の組成物は、各成分を単に添加し、均一な状態になるまで混合することによって、容易に配合される。さらに、単一包装配合物または使用時点でまたは使用前に混合される多成分配合物として容易に配合することができ、例えば、多成分配合物の個々の成分は、器具にて、または器具上流の貯蔵タンク内で混合することができる。各成分の濃度は、特定の多数の組成物において、すなわち、より希釈される場合またはより濃縮される場合に、大幅に異なり、本明細書に記載の組成物は様々に、かつ代わりに、本明細書の開示内容と一致する成分のいずれかの組み合わせを含む、からなる、または本質的にからなることを理解されたい。
したがって、他の態様は、本明細書に記載の組成物を形成するように適応された1種または複数種の成分を1つまたは複数の容器内に含むキットに関する。そのキットは、工場または使用時点で更なる溶媒、例えば水と合わせられる、少なくとも1種類の錯化剤と、少なくとも1種類の界面活性剤、少なくとも1種類の腐食防止剤、pH緩衝剤、少なくとも1種類の酸化防止剤、水、およびそれらの組み合わせからなる群から選択される任意の少なくとも1種類の更なる成分と、を1つまたは複数の容器内に含み得る。代わりとして、キットは、工場または使用時点で更なる溶媒、例えば水と合わせられる、少なくとも1種類の錯化剤と、少なくとも1種類の界面活性剤と、少なくとも1種類の腐食防止剤、pH緩衝剤、少なくとも1種類の酸化防止剤、水、およびそれらの組み合わせからなる群から選択される任意の少なくとも1種類の更なる成分と、を1つまたは複数の容器内に含み得る。
キットの容器は、それに含まれる成分を保管および分配するために化学的に評価すべきである。例えば、キットの容器は、NOWPak(登録商標)(Advanced Technology Materials, Inc., Danbury, Conn., USA)であることができる。除去組成物の成分を含有する1つまたは複数の容器は好ましくは、ブレンドおよび分配のために、前記の1つまたは複数の容器内の成分を流体伝達する手段を含む。例えば、NOWPak(登録商標)容器については、ガス圧力を前記の1つまたは複数の容器のライナーの外側にかけて、ライナーの内容物の少なくとも一部を放出させることができ、したがって、ブレンドおよび配合のための流体伝達が可能となる。その代わりに、従来の加圧可能な容器のヘッドスペースにガス圧力をかけるか、あるいはポンプを使用して、液体伝達することができる。さらに、このシステムは好ましくは、ブレンドされた除去組成物を加工器具に分配するための分配口を含む。
実質的に化学的に不活性な、不純物を含有しない、可撓性かつ弾性のポリマー膜材料、例えば高密度ポリエチレンが、前記の1つまたは複数の容器のライナーを製造するために使用されることが好ましい。望ましいライナー材料は、同時押出し成形またはバリア層を必要とすることなく、かつライナーに配置される成分の純度条件に悪影響を及ぼし得る顔料、紫外線防止剤または加工剤を使用することなく加工される。望ましいライナー材料の一覧としては、バージン(添加剤非含有)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレン等を含む膜が挙げられる。かかるライナー材料の好ましい厚さは、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲であり、例えば厚さ20ミル(0.020インチ)である。
キットの容器に関しては、以下の特許および特許出願:「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」というタイトルの米国特許第7,188,644号;「RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」というタイトルの米国特許第6,698,619号およびJohn E.Q. Hughesの名において2007年5月9日に出願された「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」というタイトルの米国特許出願第60/916,966号;の開示内容それぞれの全体が、参照により本明細書に組み込まれる。
超小型回路製造作業に適用した場合、本明細書に記載の組成物は、マイクロ電子デバイス表面から残渣を洗浄するために有用に用いられる。好ましくは、組成物は、低k誘電材料に損傷を与えない、またはデバイス表面上での金属配線を腐食しない。好ましくは、組成物は、残渣除去前にデバイス上に存在する残渣の少なくとも85%を除去し、さらに好ましくは少なくとも90%、またさらに好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去する。
残渣洗浄用途において、メガソニックおよびブラシスクラビング、限定されないが、Verteq single wafer megasonic Goldfinger、OnTrak systems DDS(両面スクラバー)、SEZ単一ウエハ噴霧リンス(SEZ single wafer spray rinse)、Applied Materials社のMirra-Mesa(商標)/Reflexion(商標)/Reflexion LK(商標)、およびメガソニックバッチ式ウェットベンチシステム(Megasonic batch wet bench systems)などの多種多様な従来の洗浄器具と併せて、組成物を使用することができる。
残渣を上に有するマイクロ電子デバイスから残渣を除去するための、本明細書に記載の組成物の使用において、組成物を一般に、約5秒〜約20分、好ましくは約1分〜10分の時間、範囲約20〜約50℃の温度にてデバイスと接触させる。かかる接触時間および温度は説明的なものであり、デバイスから少なくとも一部残渣を洗浄するのに有効な、他の適切な時間および温度条件を用いることができる。「少なくとも一部洗浄」および「実質的な除去」はどちらも、残渣の除去前にデバイス上に存在する残渣の少なくとも85%、さらに好ましくは少なくとも90%、またさらに好ましくは少なくとも95%、最も好ましくは少なくとも99%の除去に相当する。
所望の洗浄作用が達成された後に、本明細書に記載の組成物の所定の最終用途で望まれるように、かつ有効であるように、既に組成物が適用されているデバイスから、それを容易に除去することができる。好ましくは、すすぎ溶液は脱イオン水を含む。その後、窒素または脱水サイクルを用いて、デバイスを乾燥させる。
さらに他の態様は、本明細書に記載の方法に従って製造された、改善されたマイクロ電子デバイス、およびかかるマイクロ電子デバイスを含む製品に関する。
他の態様は、再循環組成物に関し、当業者によって容易に決定される、組成物が収容することができる最大量に残渣および/または汚染物充填が達するまで、組成物が再循環される。
さらに他の態様は、本明細書に記載の組成物を使用して、マイクロ電子デバイスを含む物品を製造する方法であって、残渣および汚染物を上に有するマイクロ電子デバイスから残渣および汚染物を洗浄するのに十分な時間、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品に組み込むことと、を含む方法に関する。
その特徴および利点は、以下に記述される説明のための実施例によって、より完全に示される。
実施例1
ブランケットTiN、TEOS、AlCu、Cu、SiN、TiおよびWウエハを25℃、35℃、45℃または55℃にて30分間、配合物Hに浸漬し、各材料のエッチング速度を決定した。報告される温度および時間での静的浸漬前および後に、ウエハの厚さを測定することによって、4ポイントプローブを使用して、AlCu、W、TiN、TiおよびCuのエッチング速度を決定した。報告される温度および時間での静的浸漬前および後に、ウエハの厚さを測定することによって、Nanospecを使用して、SiNおよびTEOSのエッチング速度を決定した。この結果を以下の表1に示す。
Figure 2010535422
試験されたいずれの材料のエッチング速度も温度35℃以下で非常に低いことが分かる。注目すべきことには、残渣を上に有するパターンウエハ(TEOS、Ti、TiN、Al(Cu0.5%)およびTiNなど)を25℃にて配合物HおよびNに10分間浸漬し、走査型電子顕微鏡法を使用して確認されるように、残渣物質は実質的に除去された。有利なことには、本明細書に記載の配合物は、存在する金属およびケイ素含有材料に損傷を与えることなく低温にて残渣を実質的に除去し、言い換えれば、当技術分野におけるHDA含有組成物と比べて低熱収支であり、加工費用が低いということになる。さらに、配合物は、フッ化物イオンを実質的に含有せず、当技術分野で既に使用されている石英製器具セットにおいてそのままで使用することができる。
実施例2
ブランケットTiN、TEOS、AlCu、および/またはCuウエハを40℃にて30分間、配合物AD、B3〜B10およびAOに浸漬し、各材料のエッチング速度を決定した。報告される温度および時間での静的浸漬前および後に、ウエハの厚さを測定することによって、4ポイントプローブを使用して、AlCu、TiN、およびCuのエッチング速度を決定した。報告される温度および時間での静的浸漬前および後に、ウエハの厚さを測定することによって、Nanospecを使用して、TEOSのエッチング速度を決定した。この結果を以下の表2に示す。
Figure 2010535422
説明的な実施形態および特徴を参照して、本発明は本明細書に様々に開示されているが、上述の実施形態および特徴は、本明細書を限定することを意図するものではなく、かつ他の変形形態、修正形態および実施形態が、本明細書の開示内容に基づいて当業者に提案されることを理解されたい。したがって、本発明は、以下の特許請求の範囲の精神および範囲内のかかるすべての変形形態、修正形態および代替の実施形態を包含するものと広く解釈されるべきである。

Claims (29)

  1. 少なくとも1種類の錯化剤を含む除去組成物であって、アミンおよびフッ化物種を実質的に含有せず、かつ残渣物質を上に有するマイクロ電子デバイスから残渣物質を除去するのに有用である、除去組成物。
  2. 前記少なくとも1種類の錯化剤が、アミノカルボン酸、有機酸およびその誘導体、ホスホン酸およびその誘導体、ならびにそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の除去組成物。
  3. 前記少なくとも1種類の錯化剤が、(エチレンジニトリロ)四酢酸、ブチレンジアミン四酢酸、(1,2−シクロヘキシレンジニトリロ)四酢酸、ジエチレントリアミンペンタ酢酸、エチレンジアミンテトラプロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸、N,N,N’,N’−エチレンジアミンテトラ(メチレンホスホン酸)、トリエチレンテトラミン六酢酸、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸、メチルイミノ二酢酸、プロピレンジアミン四酢酸、1,5,9−トリアザシクロドデカン−N,N’,N’’−トリス(メチレンホスホン酸)、1,4,7,10−テトラアザシクロドデカン−N,N’,N’’,N’’’−テトラキス(メチレンホスホン酸)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチリデン−1,1−ジホスホン酸、ビス(ヘキサメチレン)トリアミンホスホン酸、1,4,7−トリアザシクロノナン−N,N’,N’’−トリス(メチレンホスホン酸)、2−ホスホノブタン−1,2,4−トリカルボン酸、ニトリロ三酢酸、クエン酸、酒石酸、グルコン酸、糖酸、グリセリン酸、シュウ酸、フタル酸、マレイン酸、マンデル酸、マロン酸、乳酸、o−、m−、またはp−サリチル酸、ジヒドロキシ安息香酸、5−スルホサリチル酸、カテコール、没食子酸、没食子酸プロピル、ピロガロール、8−ヒドロキシキノリン、システイン、リン酸、硝酸、硫酸、塩化水素酸、フッ化水素酸、塩化アルキルジメチルベンジルアンモニウム、塩化アンモニウム、塩化カリウム、フッ化アンモニウム、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の除去組成物。
  4. 前記少なくとも1種類の錯化剤が、5−スルホサリチル酸、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、およびそれらの組み合わせからなる群から選択される種を含む、請求項1に記載の除去組成物。
  5. 少なくとも1種類の界面活性剤をさらに含む、請求項1に記載の除去組成物。
  6. 前記少なくとも1種類の界面活性剤が、アニオン性界面活性剤、カチオン性界面活性剤、非イオン性界面活性剤、双性イオン性界面活性剤、およびそれらの組み合わせからなる群から選択される種を含む、請求項5に記載の除去組成物。
  7. 前記少なくとも1種類の界面活性剤が、アニオン性である、請求項5に記載の除去組成物。
  8. 前記少なくとも1種類の界面活性剤が、アニオン性リン酸エステル界面活性剤を含む、請求項5に記載の除去組成物。
  9. 水をさらに含む、請求項1に記載の除去組成物。
  10. 少なくとも1種類の腐食防止剤、少なくとも1種類の緩衝剤、少なくとも1種類の酸化防止剤、およびそれらの組み合わせからなる群から選択される、少なくとも1種類の更なる成分をさらに含む、請求項1から9のいずれか1項に記載の除去組成物。
  11. 約1〜約6の範囲のpHを有する、請求項1に記載の除去組成物。
  12. 前記組成物が最初に、有機溶媒、研磨材、エーテル結合を有する化合物、酸化剤、有機ポリマー粒子、2つ以上の隣接脂肪族炭素原子のそれぞれがヒドロキシル基を有する構造を有する化合物、およびそれらの組み合わせを実質的に含有しない、請求項1に記載の除去組成物。
  13. サリチル酸誘導体およびホスホン酸誘導体を含む、請求項1に記載の除去組成物。
  14. 5−スルホサリチル酸、1−ヒドロキシエチリデン−1,1−ジホスホン酸、およびリン酸エステルを含む、請求項1に記載の除去組成物。
  15. ジメチルスルホキシド、5−スルホサリチル酸、アスコルビン酸、および水を含む、請求項1に記載の除去組成物。
  16. ジメチルスルホキシド、5−スルホサリチル酸、および水を含む、請求項1に記載の除去組成物。
  17. ジメチルスルホキシド、5−スルホサリチル酸、ベンゾトリアゾール、および水を含む、請求項1に記載の除去組成物。
  18. エッチング後残渣、アッシング後残渣、CMP後残渣、およびそれらの組み合わせからなる群から選択される残渣物質をさらに含む、請求項1から9または11から17のいずれか1項に記載の除去組成物。
  19. 1つまたは複数の容器内に、除去組成物を形成するための以下の試薬のうちの1種または複数種を含むキットであって、前記の1種または複数種の試薬が、少なくとも錯化剤、任意選択により少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択により少なくとも1種類の緩衝剤、および任意選択により少なくとも1種類の酸化防止剤からなる群から選択され、かつ前記キットが、残渣を上に有するマイクロ電子デバイスから前記残渣を除去するのに適した除去組成物を形成するように適応される、キット。
  20. 残渣を上に有するマイクロ電子デバイスから前記残渣を除去する方法であって、前記マイクロ電子デバイスから前記残渣を少なくとも一部除去するのに十分な時間、水性除去組成物と前記マイクロ電子デバイスを接触させることを含み、前記除去組成物が、少なくとも1種類の錯化剤、任意選択により少なくとも1種類の界面活性剤、任意選択により少なくとも1種類の腐食防止剤、任意選択により少なくとも1種類の緩衝剤、および任意選択により少なくとも1種類の酸化防止剤を含む、方法。
  21. 前記除去組成物が、少なくとも1種類の界面活性剤を含む、請求項20に記載の方法。
  22. 前記少なくとも1種類の錯化剤が、アミノカルボン酸、有機酸およびその誘導体、ホスホン酸およびその誘導体、ならびにそれらの組み合わせからなる群から選択される化合物を含む、請求項20に記載の方法。
  23. 前記少なくとも1種類の錯化剤が、(エチレンジニトリロ)四酢酸、ブチレンジアミン四酢酸、(1,2−シクロヘキシレンジニトリロ)四酢酸、ジエチレントリアミンペンタ酢酸、エチレンジアミンテトラプロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸、N,N,N’,N’−エチレンジアミンテトラ(メチレンホスホン酸)、トリエチレンテトラミン六酢酸、1,3−ジアミノ−2−ヒドロキシプロパン−N,N,N’,N’−四酢酸、メチルイミノ二酢酸、プロピレンジアミン四酢酸、1,5,9−トリアザシクロドデカン−N,N’,N’’−トリス(メチレンホスホン酸)、1,4,7,10−テトラアザシクロドデカン−N,N’,N’’,N’’’−テトラキス(メチレンホスホン酸)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチリデン−1,1−ジホスホン酸、ビス(ヘキサメチレン)トリアミンホスホン酸、1,4,7−トリアザシクロノナン−N,N’,N’’−トリス(メチレンホスホン酸)、2−ホスホノブタン−1,2,4−トリカルボン酸、ニトリロ三酢酸、クエン酸、酒石酸、グルコン酸、糖酸、グリセリン酸、シュウ酸、フタル酸、マレイン酸、マンデル酸、マロン酸、乳酸、o−、m−、またはp−サリチル酸、ジヒドロキシ安息香酸、5−スルホサリチル酸、カテコール、没食子酸、没食子酸プロピル、ピロガロール、8−ヒドロキシキノリン、システイン、リン酸、硝酸、硫酸、塩化水素酸、フッ化水素酸、塩化アルキルジメチルベンジルアンモニウム、塩化アンモニウム、塩化カリウム、フッ化アンモニウム、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項20に記載の方法。
  24. 前記接触が、約1〜約10分の時間;約20〜約50℃の範囲の温度;およびそれらの組み合わせ;からなる群から選択される条件を含む、請求項20に記載の方法。
  25. 前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、相変化メモリーデバイス、太陽電池板および光起電性材料、および微小電気機械システム(MEMS)からなる群から選択される物品である、請求項20から24のいずれか1項に記載の方法。
  26. 前記接触が、前記マイクロ電子デバイスの表面に前記組成物を吹付けること;十分な量の組成物に前記マイクロ電子デバイスを浸すこと;前記組成物で飽和された他の材料と前記マイクロ電子デバイスの表面を接触させること;および循環組成物と前記マイクロ電子デバイスを接触させること;からなる群から選択される工程を含む、請求項20に記載の方法。
  27. 前記組成物との接触後に、前記マイクロ電子デバイスを脱イオン水ですすぐことをさらに含む、請求項20に記載の方法。
  28. 前記組成物が、エッチング後残渣、アッシング後残渣、CMP後残渣、およびそれらの組み合わせからなる群から選択される残渣物質をさらに含む、請求項20に記載の方法。
  29. 前記組成物が最初に、有機溶媒、フッ化物種、アミン種、研磨材、エーテル結合を有する化合物、酸化剤、有機ポリマー粒子、2つ以上の隣接脂肪族炭素原子のそれぞれがヒドロキシル基を有する構造を有する化合物、およびそれらの組み合わせを実質的に含有しない、請求項20に記載の方法。
JP2010519265A 2007-08-02 2008-08-04 マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物 Withdrawn JP2010535422A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US95360507P 2007-08-02 2007-08-02
PCT/US2008/072048 WO2009032460A1 (en) 2007-08-02 2008-08-04 Non-fluoride containing composition for the removal of residue from a microelectronic device

Publications (1)

Publication Number Publication Date
JP2010535422A true JP2010535422A (ja) 2010-11-18

Family

ID=40429270

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010519265A Withdrawn JP2010535422A (ja) 2007-08-02 2008-08-04 マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物

Country Status (5)

Country Link
US (1) US20100261632A1 (ja)
JP (1) JP2010535422A (ja)
KR (1) KR20100051839A (ja)
TW (1) TW200916571A (ja)
WO (1) WO2009032460A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
WO2012073909A1 (ja) * 2010-11-29 2012-06-07 和光純薬工業株式会社 銅配線用基板洗浄剤及び銅配線半導体基板の洗浄方法
JP2012119513A (ja) * 2010-12-01 2012-06-21 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び洗浄方法
JP2012164713A (ja) * 2011-02-03 2012-08-30 Stella Chemifa Corp 洗浄液及び洗浄方法
WO2013088928A1 (ja) * 2011-12-14 2013-06-20 旭硝子株式会社 洗浄剤、および炭化ケイ素単結晶基板の製造方法
JP2017098368A (ja) * 2015-11-20 2017-06-01 日立化成株式会社 半導体基板の製造方法及び洗浄液
WO2018123889A1 (ja) * 2016-12-28 2018-07-05 花王株式会社 半導体デバイス用基板用の洗浄剤組成物
KR20190032179A (ko) * 2017-09-19 2019-03-27 가부시키가이샤 후지미인코퍼레이티드 표면 처리 조성물 및 이것을 사용한 표면 처리 방법
JP2020047913A (ja) * 2018-07-24 2020-03-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ポストエッチング残留物洗浄組成物及びその使用方法

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101755324B (zh) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 清洗和防腐用组合物及半导体元件或显示元件的制造方法
JP2009147336A (ja) * 2007-12-12 2009-07-02 Rohm & Haas Electronic Materials Llc 密着性の促進
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
EP2391700A4 (en) * 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
CA2753435A1 (en) * 2009-02-25 2010-09-02 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
CN102334069B (zh) * 2009-02-25 2013-07-10 安万托特性材料股份有限公司 基于多用途酸性有机溶剂的微电子清洗组合物
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
CA2767805A1 (en) * 2009-07-06 2011-01-13 Prestone Products Corporation Methods and composition for cleaning a heat transfer system having an aluminum component
WO2011031666A1 (en) 2009-09-11 2011-03-17 First Solar, Inc. Photovoltaic back contact
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) * 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
EP2652104A1 (en) * 2010-12-16 2013-10-23 Kyzen Corporation Cleaning agent for removal of soldering flux
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
EP2683792B1 (en) 2011-03-11 2019-09-25 FujiFilm Electronic Materials USA, Inc. Novel etching composition
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
TWI577834B (zh) 2011-10-21 2017-04-11 富士軟片電子材料美國股份有限公司 新穎的鈍化組成物及方法
EP2794733B1 (en) * 2011-12-21 2019-05-15 Basf Se Method for manufacturing cmp composition and application thereof
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
RU2631870C2 (ru) * 2012-02-06 2017-09-28 Басф Се Композиция для очистки после химико-механического полирования (после - смр), содержащая конкретное содержащее серу соединение и сахарный спирт или поликарбоновую кислоту
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
US8709277B2 (en) 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition
US8647445B1 (en) 2012-11-06 2014-02-11 International Business Machines Corporation Process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
TW201500542A (zh) * 2013-04-22 2015-01-01 Advanced Tech Materials 銅清洗及保護配方
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TW201511854A (zh) 2013-09-30 2015-04-01 Saint Gobain Ceramics 清潔太陽能板的方法
CN103695934A (zh) * 2013-11-29 2014-04-02 明光市留香泵业有限公司 一种乳液型除锈防锈液及其制备方法
CN103695925A (zh) * 2013-11-29 2014-04-02 明光市留香泵业有限公司 一种水溶性转化型防锈液及其制备方法
CN103695940B (zh) * 2013-11-29 2016-06-29 明光市留香泵业有限公司 一种水溶性抗氧化除锈防锈液及其制备方法
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) * 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
CN109195720B (zh) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
JP6970675B2 (ja) * 2016-09-29 2021-11-24 富士フイルム株式会社 処理液および積層体の処理方法
US11845912B2 (en) * 2018-12-21 2023-12-19 Kctech Co., Ltd. Cleaning liquid composition and cleaning method using same
KR102171739B1 (ko) * 2019-02-13 2020-10-29 주식회사 엔씨솔루션 태양광 패널용 세정제 조성물
JP2022530147A (ja) 2019-04-24 2022-06-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板からフォトレジストを除去するための剥離組成物
WO2021005140A1 (en) * 2019-07-11 2021-01-14 Merck Patent Gmbh Photoresist remover compositions
CN116568794A (zh) * 2020-12-15 2023-08-08 默克专利股份有限公司 光致抗蚀剂移除剂组合物
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
WO2023211110A1 (ko) * 2022-04-27 2023-11-02 (주)엠티아이 메탈 마스크 세정용 조성물 및 이를 이용한 세정 방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205265B2 (en) * 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
EP0789071B1 (en) * 1995-07-27 2006-10-11 Mitsubishi Chemical Corporation Method for treating surface of substrate and surface treatment composition therefor
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6410494B2 (en) * 1996-06-05 2002-06-25 Wako Pure Chemical Industries, Ltd. Cleaning agent
US5693318A (en) * 1996-07-15 1997-12-02 Basf Corporation Stable salicylic acid and peroxide containing skin and hair cleanser composition
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
IL139546A (en) * 1998-05-18 2005-08-31 Mallinckrodt Inc Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP2000252250A (ja) * 1999-02-26 2000-09-14 Mitsubishi Gas Chem Co Inc 半導体基板洗浄液およびそれを用いた半導体基板の洗浄方法
DE60014907T2 (de) * 1999-07-13 2006-03-09 Kao Corp. Schleifmittelzusammensetzung
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI297102B (en) * 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
ES2293340T3 (es) * 2003-08-19 2008-03-16 Mallinckrodt Baker, Inc. Composiciones decapantes y de limpieza para microelectronica.
CN1654617A (zh) * 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US7435356B2 (en) * 2004-11-24 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Abrasive-free chemical mechanical polishing compositions and methods relating thereto
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US20060226122A1 (en) * 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006113621A2 (en) * 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US20090215269A1 (en) * 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
JP2009503910A (ja) * 2005-08-05 2009-01-29 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属フィルム平坦化用高スループット化学機械研磨組成物
JP2009516360A (ja) * 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
CN101636465A (zh) * 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087258A (ja) * 2008-09-30 2010-04-15 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
WO2012073909A1 (ja) * 2010-11-29 2012-06-07 和光純薬工業株式会社 銅配線用基板洗浄剤及び銅配線半導体基板の洗浄方法
JP2012119513A (ja) * 2010-12-01 2012-06-21 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び洗浄方法
JP2012164713A (ja) * 2011-02-03 2012-08-30 Stella Chemifa Corp 洗浄液及び洗浄方法
WO2013088928A1 (ja) * 2011-12-14 2013-06-20 旭硝子株式会社 洗浄剤、および炭化ケイ素単結晶基板の製造方法
JPWO2013088928A1 (ja) * 2011-12-14 2015-04-27 旭硝子株式会社 洗浄剤、および炭化ケイ素単結晶基板の製造方法
JP2017098368A (ja) * 2015-11-20 2017-06-01 日立化成株式会社 半導体基板の製造方法及び洗浄液
JP2018109086A (ja) * 2016-12-28 2018-07-12 花王株式会社 半導体デバイス用基板用の洗浄剤組成物
WO2018123889A1 (ja) * 2016-12-28 2018-07-05 花王株式会社 半導体デバイス用基板用の洗浄剤組成物
CN110168703A (zh) * 2016-12-28 2019-08-23 花王株式会社 用于半导体装置用基板的清洗剂组合物
KR20190100224A (ko) 2016-12-28 2019-08-28 카오카부시키가이샤 반도체 디바이스용 기판용의 세정제 조성물
US11028343B2 (en) 2016-12-28 2021-06-08 Kao Corporation Cleaning agent composition for substrate for semiconductor device
KR20190032179A (ko) * 2017-09-19 2019-03-27 가부시키가이샤 후지미인코퍼레이티드 표면 처리 조성물 및 이것을 사용한 표면 처리 방법
JP2019057523A (ja) * 2017-09-19 2019-04-11 株式会社フジミインコーポレーテッド 表面処理組成物およびこれを用いた表面処理方法
KR102498069B1 (ko) * 2017-09-19 2023-02-10 가부시키가이샤 후지미인코퍼레이티드 표면 처리 조성물 및 이것을 사용한 표면 처리 방법
JP2020047913A (ja) * 2018-07-24 2020-03-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ポストエッチング残留物洗浄組成物及びその使用方法
JP7022100B2 (ja) 2018-07-24 2022-02-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ポストエッチング残留物洗浄組成物及びその使用方法

Also Published As

Publication number Publication date
KR20100051839A (ko) 2010-05-18
US20100261632A1 (en) 2010-10-14
TW200916571A (en) 2009-04-16
WO2009032460A1 (en) 2009-03-12

Similar Documents

Publication Publication Date Title
JP2010535422A (ja) マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
KR102058426B1 (ko) 화학적 기계적 연마 후 제제 및 사용 방법
JP6966570B2 (ja) 化学機械研磨後配合物及び使用方法
KR101884367B1 (ko) 높은 wn/w 에칭 선택비를 지닌 스트립핑 조성물
JP5647517B2 (ja) Cmp後洗浄配合物用の新規な酸化防止剤
US8685909B2 (en) Antioxidants for post-CMP cleaning formulations
WO2015116818A1 (en) Post chemical mechanical polishing formulations and method of use
KR20130088847A (ko) 에칭 후 잔류물을 제거하기 위한 수성 세정제
KR20160075577A (ko) 표면 잔류물 제거용 세정 제형
WO2015119925A1 (en) Non-amine post-cmp compositions and method of use
US11124746B2 (en) Post CMP cleaning composition
WO2016069576A1 (en) Non-amine post-cmp compositions and method of use
JP5203637B2 (ja) レジスト、エッチング残渣、及び金属酸化物をアルミニウム及びアルミニウム銅合金を有する基板から除去する方法及び組成物
TW202340442A (zh) 微電子裝置清潔組合物
KR20240103045A (ko) 마이크로전자 장치 세정 조성물
KR20160034600A (ko) 금속막용 세정제 조성물

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110804

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110809