JP4690512B2 - エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法 - Google Patents

エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法 Download PDF

Info

Publication number
JP4690512B2
JP4690512B2 JP25886499A JP25886499A JP4690512B2 JP 4690512 B2 JP4690512 B2 JP 4690512B2 JP 25886499 A JP25886499 A JP 25886499A JP 25886499 A JP25886499 A JP 25886499A JP 4690512 B2 JP4690512 B2 JP 4690512B2
Authority
JP
Japan
Prior art keywords
layer
hard mask
metal
etching
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP25886499A
Other languages
English (en)
Other versions
JP2000323483A (ja
JP2000323483A5 (ja
Inventor
グーチェ マーティン
ストローブル ピーター
ヴェーゲ シュテファン
リューケン アイケ
シュトヤコヴィク ゲオルク
スプーラー ブルーノ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JP2000323483A publication Critical patent/JP2000323483A/ja
Publication of JP2000323483A5 publication Critical patent/JP2000323483A5/ja
Application granted granted Critical
Publication of JP4690512B2 publication Critical patent/JP4690512B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は基板上の金属被覆層を通してのエッチング方法に関する。さらに具体的には、本発明は従来の金属被覆層エッチングプロセスで生成した副生物に起因する腐食を減少させて金属被覆層をエッチングする方法に関する。
【0002】
【従来の技術】
半導体集積回路の製作では、集積回路上のデバイス間の導電経路として金属線が頻用される。金属線および金属フィーチャを形成するために、金属層をウェーハ表面上にブランケット蒸着するのが標準的である。次ぎに、適切なフォトレジストマスクを利用して金属層部分をエッチング除去して、あとに金属線および金属フィーチャを残存させる。
【0003】
集積回路の密度が増加しフィーチャの寸法が減少するにつれ、種々の技術が開発されて集積回路の少さくなり続けるフィーチャを適切にエッチングしてきた。これらの技術の一つがプラズマエンハンスエッチングである。考察を容易にするために、基板104上に配置させた金属層102を図1に示す。基板104はウェーハ自体でもよいが、通常は酸化層などの金属層を配置させた層である。図1の例では、金属層102は標準的にチタンなどの金属からなるバリア層106を含む。場合によっては、バリア層106は複合層のこともあり、複合層はチタン層を被覆する窒化チタン(TiN)を含む。金属層108は、標準的には、アルミニウム、或いはアルミニウム/銅またはアルミニウム/銅/シリコンなどの合金の一つからなる。金属層108とフォトレジストマスク110との間にバリア/ARC(反射防止膜)層112が配置される。バリア/ARC層112は、例えば反射防止膜で被覆されていてもよく、反射防止膜は有機質または無機質のこともある。当業者にとって、反射防止膜層は主にリソグラフィーを目的に提供されることは承知のはずである。チタンおよび/または窒化チタンのバリア層は上記の反射防止膜層の下に配置されてもよい。図は金属層102にバリア/ARC層112、金属層108およびバリア層106を包含して示してあるが、当業者にとって、バリア/ARC層112およびバリア層106が両方とも任意であり、一部のICでは一方あるいは両方とも省かれることは容易に理解されるであろう。
【0004】
フォトレジストマスク110は適宜なフォトレジストプロセスを用いて形成されたフォトレジストマスクの一部分を表す。金属層102のエッチング時に、フォトレジストマスク110がフォトレジストフィーチャの下に配置された金属層102部分を保護する。これによって下部に存在する金属層からフィーチャを生成させる。一例として、金属層102のエッチングにより図1の紙面に垂直に配置した線が創製される。
【0005】
図2では、エッチングが完了してフォトレジストフィーチャで保護されない金属層102部分が除去されている。標準的に、アルミニウム含有金属層のエッチングは、例えば、Cl2/BCl3、Cl2/HCl、Cl2/N2などの腐食ガスを用いるプラズマ反応器内で行われる。エッチングは、例えば反応性イオンエッチング(RIE)として知られるプラズマエンハンスエッチングプロセスに拠って行われることもある。図2に、金属フィーチャ204の垂直面を被覆するポリマー側壁202Aおよび202Bを示す。ポリマー側壁は標準的に、フォトレジストマスク110からスパッタされたフォトレジストなどの有機物、金属被覆層(アルミニウム、チタンなど)からの再スパッタ物、下の層(基板104など)からのスパッタ物および腐食ガスからの僅かな量の塩素および/または塩素含有化合物を含有する。本明細書に後述するように、金属被膜の後処理段階の一部としてポリマー側壁を除去する必要がある。
【0006】
図3ではフォトレジストマスクが取り除かれている。標準的なプラズマエンハンスプロセスでは、フォトレジストの除去は、例えばO2/H2O蒸気またはO2を灰化剤として用いる下流側の灰化プラズマ反応器内でフォトレジスト物を剥離させることで行われる。通常の場合、ポリマー側壁はフォトレジスト剥離工程で、たとえ除去されたとしても、極めて少量しか除去されない。この結果、ポリマー側壁はフォトレジスト剥離工程で残留し、あとの工程で除去される必要がある。側壁ポリマーが金属線に付着して残留すると、残留物中に存在する塩素が雰囲気の水分と反応して金属線を浸食する腐食性酸化物を生成するので、ポリマー側壁の完全除去が極めて所望される。当業者とって容易に理解されるように、金属線の浸食は金属線の導電特性を変化させ、例えばその比抵抗を増加させる。浸食が甚大で導電通路を切断して予期しない開回路を形成することもある。
【0007】
【発明が解決しようとする課題】
既に説明したように、フォトレジストの剥離工程後に残留するポリマー側壁を除去するために、従来技術は通常、別の工程を必要とする。プラズマエンハンスエッチングでは、蒸着ポリマーの除去が比較的不十分であるということが分かっているので、従来技術は標準的に湿式エッチングプロセスによってポリマー側壁の除去を行う。湿式エッチングがパッシベーションプラズマプロセスおよび/または脱イオン水リンスプロセスを先行させることもある。湿式エッチングプロセスでは、適宜な湿式腐食剤を使用する。標準的な腐食剤には第二クロム燐酸過酸化物、希釈硫酸過酸化物、EKC Technology Inc.(カリホルニア州、ヘイワード)から市販されている有機溶媒EKC265、或いはAshland Chemical Company(オハイオ州、コロンブス)の有機溶媒ACT925がある。図4に湿式エッチングを実施してポリマー側壁を除去した後の図3に示した金属被覆フィーチャを示す。
【0008】
湿式エッチングプロセスでポリマー側壁を除去する目的は達成されるが、欠点がある。例えば、湿式エッチングプロセスは通常アルミニウムに高い選択性を持たない腐食性化学薬品を標準的に使用する。湿式エッチングプロセスを慎重に制御しないと、湿式腐食剤が特に上側および/または下側のバリア層の界面、或いはアルミニウム線とその下のタングステン植え込みボルトとの界面でアルミニウム線を浸食する。例えば製造許容差に由来する金属線と心ずれした植え込みボルトがあり、金属線で完全に被覆されていないと、植え込みボルト関連の腐食は特に甚大である。一方、湿式エッチングプロセスでは、前述した腐食問題を防止するために、実質的に全ての側壁ポリマーが除去されるようにプロセスを進行させる必要がある。プロセスのウインドウが比較的狭いので、ポリマー側壁除去のための湿式エッチングプロセスはプロセスエンジニアに多くの難題を投げかけている。
【0009】
【課題を解決するための手段】
本発明の一実施例は基板上に配置した金属被覆層からエッチングされた金属線の垂直面上のポリマー沈着を減少させる方法を開示する。この方法は金属被覆層上にハードマスク層を形成し、ハードマスク層上にフォトレジストマスクを具備させることを含む。この方法には、さらにフォトレジストマスクを用いてハードマスク層からハードマスクを形成することが含まれる。ハードマスクは後のプラズマエンハンス金属被覆エッチングで金属線を生成する構成パターンを有する。フォトレジストマスクの除去もこの方法に含まれる。さらに、ハードマスクおよびCl2と少なくとも1種類のパッシベーション形成化学品とを含む腐食ガスを用いるプラズマエンハンス金属被覆エッチングを行うことが含まれる。このプラズマエンハンス金属被覆エッチングでは、フォトレジストを用いずに金属被覆エッチングが行われ、プラズマエンハンス金属被覆エッチング時のポリマー沈着を減少させることができる。
【0010】
別の実施例では、本発明は基板上に配置した金属被覆層からエッチングされた金属線の腐食を減少させる方法に関連し、この方法は金属被覆層を上面に持つ基板を提供する。金属被覆層は電気化学特性が異なる少なくとも2種類の層を含む。この方法はさらに、金属被覆上にハードマスク層を生成させ、ハードマスク層上にフォトレジストマスクを具備させることを含む。さらに、フォトレジストマスクを用いてハードマスク層からハードマスクを形成することが含まれる。ハードマスクは後のプラズマエンハンス金属被覆エッチングで金属線を生成する構成パターンを有する。フォトレジストマスクの除去もこの方法に含まれる。この方法はさらにハードマスクおよびCl2と少なくとも1種類のパッシベーション形成化学品とを含む腐食ガスを用いるプラズマエンハンス金属被覆エッチングを行うことを含み、フォトレジストを用いずに金属被覆エッチングが行われプラズマエンハンス金属被覆エッチング時のフォトレジストに基づくポリマー沈着を減少させる。この方法はさらに湿式エッチングを行ってプラズマエンハンス金属被覆エッチング中に生成したポリマー側壁を除去することを含む。湿式エッチングは金属線上にハードマスクを配置して湿式エッチング時に少なくとも2種類の金属間で起こる電気分解反応に由来する腐食を減少させながら行われる。
【0011】
その他の実施例では、本発明は金属被覆層から先にエッチングされるエッチング金属フィーチャの湿式洗浄時における腐食を減少させる方法に関連する。湿式洗浄は側壁パッシベーションおよびエッチング金属フィーチャの垂直面上に沈着したポリマーの少なくとも一つを減少させるために行われる。この方法では、金属被覆層上にハードマスクを具備させる。この方法には、ハードマスクを使用するプラズマ加工室での金属被覆層のエッチングも含まれる。エッチングでエッチング金属フィーチャが形成される。この方法には、さらにハードマスクを除去しないで湿式洗浄を行うことが含まれ、ハードマスクがエッチング金属フィーチャの表面をキャップし、金属フィーチャが湿式洗浄に用いられるエッチング液に曝露されるのを減少させる。
【0012】
本発明を完全に理解するために、本発明のこれら特徴およびその他の特徴を以下の実施例で図面に関連させて詳細に説明する。本発明を全体的に理解するために数件の特定な詳細を記載する。しかし、当業者にとって、これらの説明の全て或いは一部がなくても、本発明を実施できることは明白である。また周知な構造および/またはプロセス段階は本発明を不必要に不明瞭にしないために詳細には記載されていない。
【0013】
本発明の一態様に拠って、プラズマエンハンスエッチング時にフォトレジストに基づく金属線上のポリマー沈着を実質的に排除した改良金属被覆エッチング法が提供される。標準的にフォトレジストマスクを用いて金属エッチングで金属線を規定する従来技術による金属被覆エッチング法と対照的に、本発明は代わりにハードマスクを用いてフォトレジスト破片に由来するポリマー沈着を除去するプラズマエンハンスエッチング法を使用するのが利点である。さらに重要なのは、エッチング用のガスが少なくとも1種類の側壁パッシベーション剤を含むように選択されて異方性エッチングを容易にし、側壁プロファイルを保存することである。側壁パッシベーション剤は金属被覆エッチング時にフォトレジストマスク除去で取り除かれた随伴物であるポリマー沈着物を補償する側壁パッシベーションを創製するために与えられる。側壁パッシベーション剤の容積は標準的な通常のプラズマエッチング機器で微細に制御されるので、一方で側壁プロファイルの要件を満たしつつ側壁パッシベーション剤の容積を最小にするようにプロセスの微細調整が可能になる。したがって、湿式エッチングの必要性を減少または完全に排除することができ、このことで繊細なエッチング金属フィーチャが腐食性湿式エッチング液に曝露することを制限し、湿式洗浄の必要性も排除することができる。
【0014】
縮小した湿式エッチングプロセスが金属エッチングの後に残留する側壁パッシベーションを除去するために所望される範囲で、本発明は非自明的な方法で湿式エッチング時における腐食の減少にも役立つ。なぜならば、多くの場合にそうであるように金属被覆層が2種類以上の異なる金属層から形成されていると、湿式エッチング液中に存在する異なる金属が電気化学反応による腐食を増長するからである。本発明の特に有益な態様に拠ると、ハードマスクは湿式エッチング中に金属線上に残存して金属線をキャップし、金属線が腐食性湿式エッチングに曝露するのを低減している。湿式エッチングプロセスにおける金属線のキャッピングによって、一つの金属層のさらに少ない表面が湿式エッチング液に曝露する。こうして、極めて非自明的に腐食が減少する。
【0015】
本発明の有利な一実施例に拠ると、ハードマスクは後でエッチングされる金属線上に蒸着される絶縁層、誘電層として部分的に機能する材料から形成される。したがって、エッチング後にハードマスクを除去する必要はない。その代わり、ハードマスクは後で金属線上に蒸着される絶縁層、誘電層の一部として残存する。後で蒸着された層がエッチングされて金属線を与える場合には、ハードマスク材料は後で蒸着される誘電性材料と共に単一ステップでエッチングされるのに有利なように選好されるのが好ましい。この方法では、フォトレジストに基づくポリマー沈着の低減に関連する利点が金属被覆エッチング後のハードマスクの除去を別に必要としないことで達成される。このことは、多層金属プロセスでは金属線が絶縁材料の層で被覆されることが多いので、IC製造に特に重要である。
【0016】
本発明の態様および利点の考察を容易にするために、発明になるフォトレジスト無しプラズマエンハンス金属被覆エッチング方法の特殊例を図5−8に示す。図5は基板104上に配置した金属層502を示す。この特殊例では、金属層502はバリア層504、金属層506およびバリア/反射防止膜(ARC)層508の別々の3層を含む。バリア層504およびバリア/ARC層508は任意であり、全ての場合に必要なものでなく、金属層502および/またはそれに隣接する層の材料の特異な選択に依存することは当業者に容易に理解されるであろう。
【0017】
図5を参照して説明すると、バリア層504はTi層を覆うTiN層からなる。金属層506はアルミニウム含有層で代表され、好ましくはアルミニウムまたはAl/Cu、Al/Cu/Siなどのアルミニウム合金の一つから形成される。バリア/ARC層508もTi層を覆うTiN層からなる。金属層を通してのエッチングを容易にするために、ハードマスク層510はSiON、酸化物、SiN、FOX、或いはそれらの複合材などのマスク材から形成される。特に有利な一実施例では、ハードマスク材はSiONで、付加的な有機ARC層を必要としないで深層紫外線リソグラフィーを利用することができる。
【0018】
通常の場合には、有機ARC層をハードマスク層510と後で形成される有機フォトレジストマスク514との間に設ける。有機ARC層は光リソグラフプロセスに必要な場合にだけ設けられることに留意すべきである。フォトレジスト有機マスクは究極的に金属層に転送される金属線のパターンを包含する。しかし、第1ステップとして、フォトレジストマスクはハードマスク層を通してエッチングハードマスク層上にパターンを転送するために用いられる。このエッチングによってハードマスクが形成される。一実施例では、ハードマスクはSiO2とSiONとの二重層からなり、反応性イオンエッチングプロセスでCF4/CHF3/Arを用いてエッチングされる。一例として、ハードマスクのRIEはApplied Materials Inc.(カリホルニア州サンタクララ)が提供するMXPプラズマ加工システムで行われる。図6にハードマスクの一部を参照番号512として示す。
【0019】
次ぎに、灰化剤としてO2またはO2/H2O蒸気を用いるプラズマエンハンス灰化などの通常のフォトレジスト剥離プロセスでフォトレジストマスクを除去する。この方法では、フォトレジストマスクはハードマスク層を通して金属層までのエッチングのみに用いられる。フォトレジスト剥離後の結果を図7に示す。
【0020】
この結果、ハードマスクのパターンが適宜な腐食剤を用いて金属層に転送される。使用される特殊な腐食剤は当然ながら金属層を構成する層の組成に依存する。腐食剤はハードマスク材に選択性を有し、アルミニウム層をエッチングするものが望ましい。例として、Cl2を含有する腐食剤がアルミニウム含有層のエッチングに適切であることが判明した。
【0021】
本発明の一態様に拠ると、金属被覆エッチングプロセスからフォトレジストマスクを除くことは、マスクのアンダカットを保護するPRベースのポリマー側壁が存在しないので、プラズマエンハンスエッチングプロセス(例えば、RIE)のエッチング異方性(例えば、所望の縦方向エッチングプロファイル)に悪影響を与えることが分かっている。エッチングプロファイルを保存するために、金属層を通してのエッチングに使用される腐食剤ガスは、本発明の一実施例に拠ると、少なくとも1種類の側壁パッシベーション剤を含むのが有利である。側壁パッシベーション剤は、例えばN2、CH4、CHF3である。したがって、Cl2/HCl/N2、BCl3/Cl2/N2、BCl3/Cl2/CH4、BCl3/Cl2/N2/CH4などの腐食剤がアルミニウム含有層のエッチングに利用される。金属被覆エッチングの後の結果が図8に描画されてあり、形成された金属フィーチャ516が示されている。
【0022】
側壁パッシベーション剤の投入量を制御することで、プロセスを微調整して十分な側壁パッシベーションを形成させ、後で除去することが難しい必要以上に厚い側壁パッシベーション層を創製することなくエッチングの方向性を改善することが可能である。これは従来技術と対照的な点であり、従来技術ではフォトレジスト破片が極めて高レベルの炭素含有ポリマー沈着の原因となり、調整がより困難である。CCl2などの炭素含有ポリマー前駆体の生成は本発明では実質的に排除されると確信する。ポリマーが減少することで、金属線の近傍に存在して腐食を引き起こす吸収塩素がさらに減少する。
【0023】
有利なことに、側壁パッシベーション薄膜は金属被覆エッチング後の湿式エッチングプロセスの要件を低減し、或いは、好ましくは、完全に排除することができる。全ての側壁パッシベーションを除去するために湿式エッチングが必要な場合でも、短時間のエッチング、或いは腐食性の少ない腐食剤で十分である。
【0024】
金属エッチングの後、プラズマパッシベーション(例えば、H2O/O2プラズマを用いる)などの通常の後処理および/または脱イオン水リンスを用いることができる。プラズマパッシベーションはウェーハの表面を清浄にし、且つ/または残留塩素を全て除去する。この後に通常の付加的な加工が行われて、コンピュータまたは消費者向け/商業用電化製品など多くの電子機器に使用されるIC最終製品が生産される。
【0025】
【実施例】
以下に例示するエッチングでは、TCPTM9600SEプラズマ加工反応器内でアルミニウム含有層をエッチングする。このプラズマ加工反応器はLam Research Corp.(カリホルニア州、フレモント)から市販されている。以下に特異なパラメータが開示され、本発明に拠る有機ベースのフォトレジストマスクを使用しないアルミニウム含有層のエッチングに適切であることが証明されるが、特定機器または特定基板に要求される正確なパラメータは変化すると思われ、この開示が為されることで当業者によって導出されることであろう。
【0026】
エッチングの一例で使用される腐食剤はCl2/BCl3/N2/CH4である。プラズマ反応器内の圧力は約1mTから約100mTの間、好ましくは約3mTから約30mT、より好ましくは6mTから16mTの間である。トップパワーとバイアスパワーとの比は約5:1−約1:5の間であり、好ましくは約3:1−1:3、より好ましくは1.5:1−1:1.5である。Cl2ガス流とBCl3ガス流との比は約12:1から約1:3の間、好ましくは8:1から約1:2、より好ましくは約6:1から1:1.5の間である。Cl2ガス流とBCl3ガス流との合計に対するN2ガス付加率は約0−50%、好ましくは約2−30%、より好ましくは約3−25%の間である。Cl2ガス流とBCl3ガス流との合計に対するCH4ガスの付加率は約0−20%、好ましくは約1−10%、より好ましくは約2−約8%の間である。エッチングは2段階以上のステップ(例えば、ブレークスルー、主エッチング、オーバーエッチング)で行われることもある。
【0027】
別のエッチング例では、Cl2/HCl/N2が用いられる。プラズマ反応器内の圧力は約1mTから約30mTの間、好ましくは約3mTから約20mT、より好ましくは6mTから16mTの間である。トップパワーとバイアスパワーとの比は約5:1−約1:5の間であり、好ましくは約2:1−約1:2、より好ましくは1:1−1:1.5である。Cl2ガス流とHClガス流との比は約10:1から約1:3の間、好ましくは8:1から約1:2、より好ましくは約5:1から約2:1の間である。Cl2ガス流とHClガス流との合計に対するN2ガス付加率は約1−50%、好ましくは約5−30%、より好ましくは約10−25%の間である。エッチングは2段階(またはそれ以上)のステップ(例えば、主エッチング、オーバーエッチング)で行われることもある。
【0028】
好ましい数例の実施例で本発明を説明したが、本発明の範囲内に属する変更例、変形例、等価な例が存在する。また、本発明の方法および機器を実施する変更方法が多くあることにも留意すべきである。したがって、既に記載したクレームはかかる変更例、変形例および等価な例の全てが本発明の精神および範囲に属するものと解釈されるべきである。
【図面の簡単な説明】
【図1】基板上に配置した金属層を表し、金属層上に配置した金属被覆エッチングのためのフォトレジストマスクを含む。
【図2】金属被覆層がエッチングされた後の図1の基板を表す。
【図3】フォトレジストマスクが除去された後の図2の基板を表す。
【図4】湿式エッチングが行われてポリマー側壁が除去された後の図3に示す金属被覆のフィーチャを表す。
【図5】基板上に配置した金属層を表し、ハードマスク層、金属層上に配置し金属被覆エッチングを促進するためのフォトレジストマスクを含む。
【図6】図5の基板を表し、ハードマスク層からエッチングで除去されたハードマスクを含む。
【図7】図6の基板を表し、フォトレジストマスクが除去された後のハードマスクを含む。
【図8】金属被覆エッチングが行われた後の図7の金属被覆フィーチャを示す。

Claims (12)

  1. 基板上に配置した金属被覆層からエッチングされた金属線垂直面上のポリマー沈着物を減少させる方法において、
    前記金属被覆層上にハードマスク層を形成し、
    前記ハードマスク層上にフォトレジストマスクを設け、
    前記ハードマスク層からハードマスクを形成するフォトレジストマスクを用いて、前記ハードマスクが後のプラズマエンハンス金属被覆エッチングで前記金属線を生成するための形状構成されたパターンを有し、
    前記フォトレジストマスクを除去し、かつ
    前記ハードマスクおよびCl2と少なくとも1種類のパッシベーション形成化学品とを含む腐食ガスを用いてプラズマエンハンス金属被覆エッチングを行い、その際、腐食ガスがCl2/BCl3/N2/CH 4 り、Cl 2 流とBCl 3 流との合計に対するN 2 付加率は2〜30%であり、Cl 2 流とBCl 3 流との合計に対するCH 4 付加率は1〜10%であること
    を特徴とする、金属線垂直面上のポリマー沈着物を減少させる方法。
  2. 前記プラズマエンハンス金属被覆エッチングの実施が反応性イオンエッチング(RIE)方法を用いる前記金属被覆層のエッチングを含む、請求項1記載の方法。
  3. 前記ハードマスク層がSiO2層およびSiON層の少なくとも一つを含む、請求項2記載の方法。
  4. 前記ハードマスクが前記金属線上に後に形成される絶縁層の一部として利用される、請求項3記載の方法。
  5. 基板上に配置した金属被覆層からエッチングされた金属線を製造する方法において、
    前記金属被覆層をその上に有する前記基板を設けて、前記金属被覆層が電気化学的特性が異なる少なくとも二つの層を含み、
    前記金属被覆層上にハードマスク層を形成し、
    前記ハードマスク層上にフォトレジスト層を設け、
    前記ハードマスク層からハードマスクを生成するために前記フォトレジストマスクを用いて、前記ハードマスクが後のプラズマエンハンス金属被覆エッチングで前記金属線を生成するための形状構成されたパターンを有し、
    前記フォトレジストマスクを除去し、
    前記ハードマスクおよびCl2と少なくとも1種類のパッシベーション形成化学品とを含む腐食ガスを用いてプラズマエンハンス金属被覆エッチングを行い、その際、腐食ガスがCl2/BCl3/N2/CH 4 あり、Cl 2 流とBCl 3 流との合計に対するN 2 付加率は2〜30%であり、Cl 2 流とBCl 3 流との合計に対するCH 4 付加率は1〜10%であり、かつ
    その後湿式エッチングを行って、前記ハードマスクが前記金属線上に配置されている間に該湿式エッチングが行われること
    を特徴とする、金属線を製造する方法。
  6. 前記の少なくとも二層のうち一層がTiN層に該当する、請求項5記載の方法。
  7. 前記の少なくとも二層のうち他層がアルミニウム含有層に該当する、請求項6記載の方法。
  8. 金属被覆層中に金属フィーチャを製造する方法において、該方法が、
    前記金属被覆層上にハードマスクを設け、
    前記ハードマスクを用いて前記金属被覆層をエッチングし、該エッチングが前記のエッチングされた金属フィーチャを形成し、その際腐食ガスとしてCl2/BCl3/N2/CH 4 使用し、Cl 2 流とBCl 3 流との合計に対するN 2 付加率は2〜30%であり、Cl 2 流とBCl 3 流との合計に対するCH 4 付加率は1〜10%であり、
    その後に前記ハードマスクを配置させたままで湿式洗浄を行い、
    前記エッチングがフォトレジストマスクを使用しないで行われること
    を特徴とする、金属被覆層中に金属フィーチャを製造する方法。
  9. 前記湿式洗浄を行う前にさらにプラズマパッシベーションが行われる、請求項8記載の方法。
  10. 前記ハードマスクが前記金属フィーチャ上に後で形成される絶縁層の一部として用いられる、請求項8記載の方法。
  11. 前記ハードマスクがSiO2、SiON、SiNまたはFOXの少なくとも一つを含むハードマスク材から生成される、請求項8記載の方法。
  12. 前記金属被覆層のエッチング工程が金属線の形成を含む、請求項8記載の方法。
JP25886499A 1998-09-15 1999-09-13 エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法 Expired - Fee Related JP4690512B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/153,390 US6177353B1 (en) 1998-09-15 1998-09-15 Metallization etching techniques for reducing post-etch corrosion of metal lines
US09/153390 1998-09-15

Publications (3)

Publication Number Publication Date
JP2000323483A JP2000323483A (ja) 2000-11-24
JP2000323483A5 JP2000323483A5 (ja) 2007-05-24
JP4690512B2 true JP4690512B2 (ja) 2011-06-01

Family

ID=22547020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25886499A Expired - Fee Related JP4690512B2 (ja) 1998-09-15 1999-09-13 エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法

Country Status (7)

Country Link
US (1) US6177353B1 (ja)
EP (1) EP0987745B1 (ja)
JP (1) JP4690512B2 (ja)
KR (1) KR100676995B1 (ja)
CN (1) CN1146967C (ja)
DE (1) DE69935100T2 (ja)
TW (1) TW457583B (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660647B1 (en) * 1998-03-12 2003-12-09 Hitachi, Ltd. Method for processing surface of sample
KR100283425B1 (ko) * 1998-09-24 2001-04-02 윤종용 반도체소자의금속배선형성공정및그시스템
US6399508B1 (en) * 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
JP3257533B2 (ja) * 1999-01-25 2002-02-18 日本電気株式会社 無機反射防止膜を使った配線形成方法
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6576562B2 (en) * 2000-12-15 2003-06-10 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device using mask pattern having high etching resistance
DE10062639A1 (de) * 2000-12-15 2002-07-04 Infineon Technologies Ag Verfahren zur Erzeugung von Leiterbahnen
TWI243404B (en) * 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
KR100402239B1 (ko) * 2001-06-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 금속 게이트 형성방법
SG96644A1 (en) * 2001-09-11 2003-06-16 Chartered Semiconductor Mfg Etch/clean process for integrated circuit pad metal
KR100464430B1 (ko) * 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US20040171272A1 (en) * 2003-02-28 2004-09-02 Applied Materials, Inc. Method of etching metallic materials to form a tapered profile
DE60310528T2 (de) * 2003-05-07 2007-09-27 Stmicroelectronics S.R.L., Agrate Brianza Verfahren zum Festlegen einer Chalcogenidmaterial-Schicht, insbesondere in einem Verfahren zur Herstellung von Phasenumwandlungs-Speicherzellen
KR100523141B1 (ko) * 2003-07-18 2005-10-19 매그나칩 반도체 유한회사 반도체 소자의 금속 배선층 형성방법
US20060169968A1 (en) * 2005-02-01 2006-08-03 Thomas Happ Pillar phase change memory cell
JP5110831B2 (ja) 2006-08-31 2012-12-26 キヤノン株式会社 光電変換装置及び撮像システム
US20080094885A1 (en) * 2006-10-24 2008-04-24 Macronix International Co., Ltd. Bistable Resistance Random Access Memory Structures with Multiple Memory Layers and Multilevel Memory States
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
US8748323B2 (en) 2008-07-07 2014-06-10 Macronix International Co., Ltd. Patterning method
US9683305B2 (en) * 2011-12-20 2017-06-20 Apple Inc. Metal surface and process for treating a metal surface
US8859418B2 (en) 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
CN102723273B (zh) * 2012-05-28 2015-03-11 上海华力微电子有限公司 一种扩大铝线干法刻蚀腐蚀缺陷工艺窗口的方法
CN102820261A (zh) * 2012-08-22 2012-12-12 上海宏力半导体制造有限公司 铝刻蚀的方法
JP2015056578A (ja) * 2013-09-13 2015-03-23 株式会社東芝 半導体装置の製造方法
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN104658905B (zh) * 2015-02-27 2018-01-05 深圳市华星光电技术有限公司 一种刻蚀方法及基板
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
CN115938937B (zh) * 2023-03-09 2023-06-09 合肥晶合集成电路股份有限公司 半导体结构及其制备方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6033367A (ja) * 1983-08-04 1985-02-20 Nec Corp アルミニウムのドライエッチング方法
JPH05166756A (ja) * 1991-12-12 1993-07-02 Hitachi Ltd エッチング装置
JPH06295886A (ja) * 1993-02-24 1994-10-21 Applied Materials Inc 塩化水素、塩素含有エッチャントおよび窒素を使用するアルミニウムおよびその合金のエッチング方法
JPH0786249A (ja) * 1993-09-17 1995-03-31 Hitachi Ltd ドライエッチング方法
JPH07249607A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
JPH08264644A (ja) * 1995-03-22 1996-10-11 Sony Corp 接続孔を形成する工程を有する半導体装置の製造方法
JPH09321026A (ja) * 1996-05-30 1997-12-12 Nec Corp 半導体装置の製造方法
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JPH10107013A (ja) * 1996-08-06 1998-04-24 Internatl Business Mach Corp <Ibm> サブミクロン金属エッチング用の低圧力低電力塩素/塩化水素プロセス
JPH10116819A (ja) * 1996-10-15 1998-05-06 Nec Corp アルミニウム膜又はアルミニウム合金膜のエッチング方 法
JPH11214370A (ja) * 1997-12-11 1999-08-06 Samsung Electronics Co Ltd アルミニウムを含む金属膜の蝕刻方法及びこれを用いた半導体装置の配線層形成方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211804A (en) 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5270254A (en) * 1991-03-27 1993-12-14 Sgs-Thomson Microelectronics, Inc. Integrated circuit metallization with zero contact enclosure requirements and method of making the same
US5573973A (en) * 1993-03-19 1996-11-12 National Semiconductor Corporation Integrated circuit having a diamond thin film trench arrangement as a component thereof and method
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2861785B2 (ja) 1994-02-15 1999-02-24 日本電気株式会社 半導体装置の配線の形成方法
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5633424A (en) * 1994-12-29 1997-05-27 Graves; Clinton G. Device and methods for plasma sterilization
US5585285A (en) * 1995-12-06 1996-12-17 Micron Technology, Inc. Method of forming dynamic random access memory circuitry using SOI and isolation trenches
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
US5792687A (en) * 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
US5801082A (en) * 1997-08-18 1998-09-01 Vanguard International Semiconductor Corporation Method for making improved shallow trench isolation with dielectric studs for semiconductor integrated circuits

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6033367A (ja) * 1983-08-04 1985-02-20 Nec Corp アルミニウムのドライエッチング方法
JPH05166756A (ja) * 1991-12-12 1993-07-02 Hitachi Ltd エッチング装置
JPH06295886A (ja) * 1993-02-24 1994-10-21 Applied Materials Inc 塩化水素、塩素含有エッチャントおよび窒素を使用するアルミニウムおよびその合金のエッチング方法
JPH0786249A (ja) * 1993-09-17 1995-03-31 Hitachi Ltd ドライエッチング方法
JPH07249607A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
JPH08264644A (ja) * 1995-03-22 1996-10-11 Sony Corp 接続孔を形成する工程を有する半導体装置の製造方法
JPH09321026A (ja) * 1996-05-30 1997-12-12 Nec Corp 半導体装置の製造方法
JPH10107013A (ja) * 1996-08-06 1998-04-24 Internatl Business Mach Corp <Ibm> サブミクロン金属エッチング用の低圧力低電力塩素/塩化水素プロセス
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JPH10116819A (ja) * 1996-10-15 1998-05-06 Nec Corp アルミニウム膜又はアルミニウム合金膜のエッチング方 法
JPH11214370A (ja) * 1997-12-11 1999-08-06 Samsung Electronics Co Ltd アルミニウムを含む金属膜の蝕刻方法及びこれを用いた半導体装置の配線層形成方法

Also Published As

Publication number Publication date
JP2000323483A (ja) 2000-11-24
DE69935100T2 (de) 2007-12-13
US6177353B1 (en) 2001-01-23
CN1146967C (zh) 2004-04-21
TW457583B (en) 2001-10-01
KR20000023166A (ko) 2000-04-25
EP0987745B1 (en) 2007-02-14
EP0987745A1 (en) 2000-03-22
DE69935100D1 (de) 2007-03-29
CN1270415A (zh) 2000-10-18
KR100676995B1 (ko) 2007-01-31

Similar Documents

Publication Publication Date Title
JP4690512B2 (ja) エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法
US7468319B2 (en) Method for preventing a metal corrosion in a semiconductor device
KR20100131407A (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
JPH0786244A (ja) ドライエッチング方法
US5378653A (en) Method of forming aluminum based pattern
KR100450564B1 (ko) 반도체 소자의 금속 배선 후처리 방법
KR20000076337A (ko) 개선된 전도층 엣칭방법 및 장치
JPH07147271A (ja) 半導体装置の製造方法
JPH07201834A (ja) ドライエッチング方法
US6103630A (en) Adding SF6 gas to improve metal undercut for hardmask metal etching
JP3570098B2 (ja) ドライエッチング方法
KR100605942B1 (ko) 반도체 소자용 금속 배선의 후처리 방법
JP3082396B2 (ja) 半導体装置の製造方法
JP3326868B2 (ja) アルミニウム系パターンの形成方法
JPH1197428A (ja) 金属配線のドライエッチング方法
JPH07263425A (ja) 積層配線のドライエッチング方法
US6399509B1 (en) Defects reduction for a metal etcher
JPH05182937A (ja) ドライエッチング方法
US20030228755A1 (en) Method for metal patterning and improved linewidth control
JP3353490B2 (ja) 積層配線のパターニング方法
JPH11238732A (ja) 配線構造およびボンディングパッド開口の形成法
JPH05121378A (ja) 半導体装置の製造方法
JP3198599B2 (ja) アルミニウム系パターンの形成方法
JP3353443B2 (ja) 積層配線のドライエッチング方法
JPH07249611A (ja) 積層配線のドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070320

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100824

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101015

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110204

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110218

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees