JP4499567B2 - 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法 - Google Patents

基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法 Download PDF

Info

Publication number
JP4499567B2
JP4499567B2 JP2004537702A JP2004537702A JP4499567B2 JP 4499567 B2 JP4499567 B2 JP 4499567B2 JP 2004537702 A JP2004537702 A JP 2004537702A JP 2004537702 A JP2004537702 A JP 2004537702A JP 4499567 B2 JP4499567 B2 JP 4499567B2
Authority
JP
Japan
Prior art keywords
ring
substrate
steps
substrate support
coupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004537702A
Other languages
English (en)
Other versions
JP2006500766A5 (ja
JP2006500766A (ja
Inventor
ホセ トング,
エリック, エイチ. レンツ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2006500766A publication Critical patent/JP2006500766A/ja
Publication of JP2006500766A5 publication Critical patent/JP2006500766A5/ja
Application granted granted Critical
Publication of JP4499567B2 publication Critical patent/JP4499567B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Description

本発明は、基板及び基板支持体上のポリマーの堆積を減少させるための装置及び方法に関し、特に、本発明は、基板ホルダーの露出面及び基板の下面上のポリマーの堆積を減少させるために基板ホルダーと基板との間のギャップを調節することに関する。
関連技術の説明
真空処理チャンバは、プロセスガスを真空チャンバに供給し、ガスにRF電界を加えることによって、基板上に材料を化学気相成長させたり(CVD)、基板上の材料をエッチングしたりするために一般に用いられる。並行平板の例としては、誘導結合プラズマ(ICPとも呼ばれるTCPTM)及び電子サイクロトロン共鳴(ECR)反応器は、本願と同じ出願人による米国特許第4,340,462号、同4,948,458号及び5,200,232に開示されている。基板は、基板ホルダーによって処理中に真空チャンバ内の所定場所に保持される。従来の基板ホルダーは、機械クランプ及び静電クランプ(ESC)を含む。機械クランプ及びESC基板ホルダーの例は、本願と同じ出願人による米国特許第5,262,029号、本願と同じ出願人による同5,671,116号に提供される。米国特許第4,579,618号に開示されるように、電極の形をした基板ホルダーは、チャンバへ高周波(RF)パワーを供給しうる。
酸化物のエッチングプロセスでエッチングされる基板は、下層、エッチング対象である酸化膜層及び酸化膜層の上に形成されたフォトレジスト層を一般に含む。酸化膜層は、Si0、BPSG、PSG又は他の酸化物材料のうちの1つであってもよい。下層は、Si、TiN、シリサイド(silicide)又は他の下にある層又は基板材料であってもよい。基板処理中に、チャンバの表面上に望まないポリマーの堆積が生じる場合がある。例えば、チャンバが酸化物エッチング中に80℃以上に加熱されると、CFがCFとHFを形成する反応が生じる場合がある。CFの形成は、チャンバ内の表面上へのポリマーの堆積の増加につながる。
プラズマ反応器中の半導体ウエハ等の基板のエッチング中に、静電チャック等の基板支持体の露出面及び基板支持体を囲む誘電体環状キャップ/フォーカスリング等の他の表面を含んだ、チャンバの冷えた露出面上にポリマーが堆積しうる。この堆積によってポリマーが剥げ落ちて静電チャックの上面の上に運ばれると問題が生じうる。チャックの上面の上のこれらの汚染物は、チャックがウエハを安全に保持するために適切に動作する妨げとなりうる。さらに、汚染物によって、冷媒としてウエハの下に供給されるヘリウムが、ウエハの下から漏れて、ウエハの冷却効果を低下させうる。汚染物はまた、ウエハ自体の上に堆積されて悪影響を及ぼしうる。
ポリマーの堆積は、ウエハの連続処理の間に行われるクリーニングステップによって除去されうる。一般に、クリーニングは、処理チャンバを攻撃的な酸素でクリーニングされた状態にするために、チャンバに酸素を注入し、プラズマを当てて酸素をポリマーの堆積物と反応させることによって行われうる。
処理チャンバを攻撃的な酸素でクリーニングすることは、システムのスループットを減少させ、ウエハのサイクル時間を増大させるため望ましくない。さらに、攻撃的な酸素でクリーニングすることは、これらの構成部品をイオン照射することによって、処理チャンバ内の構成部品の寿命を短くするであろう。そのため、攻撃的な酸素でクリーニングするステップを必要せずに基板処理が行われ、結果としてサイクルタイムが短縮され、チャンバの部品の寿命を伸ばすことができることが望ましい。
真空処理チャンバ10の一例は図1に示される。真空処理チャンバ10は、その上に支持される基板にRFバイアスを印加する電極を有する基板ホルダー12を含む。基板ホルダー12は、基板をクランプするための静電クランプ14を含む。静電クランプ14上に配置される基板は、基板と静電クランプとの間に供給されるヘリウムの裏面冷却(backcooling)(不図示)によって冷却されることが望ましい。リング16は、静電クランプ14を囲む。リング16は、セラミックのフォーカスリングであってもよいし、フォーカスリング、結合リング及びエッジリングの組み合わせであってもよいし、別のリングの組み合わせであってもよい。
真空処理チャンバ10は、チャンバの上方に配置され、適切なRF源によってパワーが供給されるアンテナ18(例えば、平面スパイラルコイル又は他の適切な設計)等のチャンバ内に高密度(例えば、1011−1012ions/cm)プラズマを維持するためのエネルギー源を含む。適切なRFインピーダンスマッチング回路は、高密度プラズマを提供するために、チャンバ10内へRFを誘導結合させる。チャンバ10はまた、チャンバ内部を所望の圧力(例えば、50mTorr以下、典型的には1−20mTorr)に維持するための適切な真空ポンプ装置を含む。誘電体ウィンドウ20(例えば、石英(quartz)、アルミナ(alumina)、窒化シリコン(silicon nitride)等の均一な厚みの平面シート等)は、アンテナ18と処理チャンバ10内部との間に設けられ、処理チャンバ10の上部に真空チャンバ壁を形成する。シャワーヘッド22と一般に呼ばれる誘電体ガス分配プレートは、ウィンドウ20の下に設けられ、処理チャンバ10へガス供給部によって供給されるプロセスガスを分配するための環状の孔(不図示)等の複数の開口部を含む。しかしながら、ガス分配プレート22は省略することができ、ガスリング等の他の構成によってプロセスガスがチャンバに供給されうる。
処理チャンバ内でポリマーの堆積が生じうる1つのエリアは、静電チャック14上に支持されたウエハと単数又は複数の周辺リング16との間の狭いギャップ30である。特に、ギャップ30は、周辺リングに突き出るウエハのエッジの下に提供される。このギャップ30によって、部品の製造許容誤差、熱膨張及び消耗に対する対処をしている。しかしながら、チャンバ10内のプロセスガス及び揮発性の副産物は、ギャップ30へ移動し、ギャップの内部及び剥げ落ちてウエハ及び/又はチャンバ汚染を引き起こすウエハの下側エッジの上に、不適当なポリマーの堆積を生じさせうる。
図2は、静電チャック14'と、フォーカスリング16、結合リング40及びホットエッジリング42を含む周辺リングの外側部分の拡大断面図である。
図3の拡大図に示されるように、半導体ウエハの形をした基板Sが静電チャック14'上に配置され、適切な静電クランプ力によって所定の場所に保持されると、基板Sの突き出したエッジとホットエッジリング42のエッジに設けられた溝44との間に、小さな垂直のギャップ30'が設けられる。この垂直の隙間30'は、基板Sの突き出したエッジが持ち上げられるのを防ぎ、それによって静電チャック'14によって与えられるクランプ力の低下を防ぐように設計される。しかしながら、この追加の垂直の隙間30'によって、剥げ落ちて基板S又は静電チャック'14を汚染しうるポリマーの堆積の可能性が増える。
したがって、ホットエッジリング42又は他の周辺リングと突き出した基板エッジとの間の垂直ギャップ30'を減少させることが望ましいであろう。
発明の概要
本発明は、基板支持体を囲むリングと基板との間のギャップの調節のための装置に関する。
発明の第1の側面は、プラズマ処理装置に係り、処理チャンバと、基板を処理するために前記処理チャンバ内部のプロセスガスにエネルギーを与えてプラズマ状態にする電源と、前記処理チャンバ内部の基板を支持する基板支持体であって、上面を有する基板支持体と、前記基板支持体を囲む上部リングであって、基板が前記基板支持体上に配置されるときに前記基板の下に延びる部分を有する上部リングと、前記基板支持体を囲む結合リングであって、前記結合リングの高さを調節し前記上部リングと前記基板との間のギャップを調節するために、第2のリングに対して回転可能な第1のリングを有することを特徴とする。
発明の別の側面は、プラズマ処理装置に係り、処理チャンバと、基板を処理するために前記処理チャンバ内部のプロセスガスにエネルギーを与えてプラズマ状態にする処理ガスと、前記処理チャンバ内部の基板を支持する基板支持体であって、上面を有する基板支持体と、前記基板支持体を囲む上部リングであって、基板が前記基板支持体上に配置されるときに前記基板の下に延びる部分を有する上部リングと、前記基板支持体を囲む結合リングであって、前記結合リングの高さを調節し前記上部リングと前記基板との間のギャップを調節するために、第2のリングに対して回転可能な第1のリングを有することを特徴とする。
発明の更なる側面は、プラズマ処理システム中の基板支持体上のポリマーの堆積を減少させる方法に係り、プラズマ処理装置中の基板と周辺リングとの間のギャップを調節するための調整機構を準備する工程と、前記調整機構の第2のリングに対して第1のリングを回転させることによって前記基板と前記周辺リングとの間のギャップを調節する工程と、を含むことを特徴とする方法。
本発明は、添付図面中に図示された好適な実施形態を参照してより詳細に説明される。添付図面中では、同様の構成要素には同様の参照数字が付されている。
本発明の詳細な説明
本発明の一実施形態に係る真空処理チャンバのための基板支持体の部分は図4に示される。図1に示される基板支持体100は、静電チャック102、フォーカスリング104、結合リング106及びホットエッジリング108を含む。
プラズマ処理技術に詳しい者によく知られているように、フォーカスリング104、結合リング106及びホットエッジリング108を含んだ、静電チャックを囲むリングは、特に、基板のエッジでのプロセス均一性を改善するために、基板の表面上にRF誘起されたプラズマ領域からイオンを集めるのに役立つ。これは、RFパワーが基板保持チャック102に供給されると、基板及び下部電極の上方に等電位の電界ライン(equipotential field lines)が作られるからである。これらの電界ラインは、RFサイクル中に固定されずに変化する。時間平均された電界は、プラズマの大部分を正にし、基板及び静電チャックの表面を負にする。幾何学な要因により、電界ラインは、基板のエッジでは均一ではない。フォーカスリング、結合リング及びホットエッジリングは、プラズマとパワーが供給された電極(例えば、RFパワーが与えられるチャック)との間でコンデンサーの役割を果たすことによって、基板を通して表面を覆うプラズマにRF結合の大部分を向けるのに役立つ。
ホットエッジリング108は、調整可能なRF結合リング106の上に被せられる。ホットエッジリング108は、静電チャック102を囲む犠牲エッジリング(sacrificial edge ring)である。ホットエッジリング108は、基板処理中に熱くなりやすい取替え可能な部品であるため、ホットエッジリングと呼ぶ。ホットエッジリング108は、SiC、シリコン等の伝導性の電極材料又は石英等の誘電体材料から作られうる。エッジリング材料を変更することによって、プラズマを通した結合の度合は、処理基板の外側部分において所望の局所的な「エッジ」エッチング速度を提供するように調整されうる。SiCは、より低い容量性インピーダンスを有し、シリコンよりも速いエッジエッチング速度を一般に作り出す。石英及び他の誘電体は、エッジエッチング速度に対する影響がより少ない。
図6で示されるように、説明した実施形態では、ギャップ130は、基板Sの突出したエッジとシリコンホットエッジリング108との間に形成される。ギャップ130は、調整可能なRF結合リング106によって制御される垂直方向の大きさdを有する。調整可能なRF結合リング106は、シリコンホットエッジリング108を必要に応じて垂直方向に移動させることによって、ギャップの垂直方向の大きさdを制御することができる。図1及び図6で示されるように、垂直方向とは、Y軸と実質的に平行な任意の方向であることに留意されたい。
本発明の一実施形態によれば、調整可能なRF結合リング106は、シリコンホットエッジリング108を移動可能に支持する。調整可能なRF結合リング106は、ギャップ距離dを特定の範囲内で制御する能力に加えて、シリコンホットエッジリング108を機械的に支持することができる。本発明の1つの側面では、調整可能なRF結合リング106は、約0.5ミル〜6ミル未満の範囲に及ぶ関連ギャップ距離(associated gap distance)dを有するギャップを形成することができる。
説明した実施形態では、調整可能なRF結合リング106は、図5で示される2つのリング110、112を含む。第1のリング110又は上部リングは、リングのY軸と平行な方向に、リングから延びる3つの突起部114を含む。第2のリング112又は下部リングは、リングの円周を囲むように3セットの複数の段階的なステップ116を含む。第2のリング112に対する第1のリング110の右回りの回転は、結合リング106の垂直方向の高さ全体を減少させて、基板とホットエッジリング108との間のギャップを調節する。
説明した実施形態では、調整可能な結合リング106は、約0.0001−0.01インチ、好適には約0.001インチで高さが増加して変化する段階的なステップ116を含む。図示した実施形態では、3セットのステップの各々が6つの段階的なステップ116を含んでいるが、調節量や所望の調整目盛(amount of adjustment and graduation of adjustment desired)に応じて、他のステップ数が用いられてもよい。別の実施形態によれば、12の調節高さのために12段階のステップ116が設けられる。
説明した実施形態では、調整可能な結合リング106の上部リング110は、3セットの複数の段階的なステップのうちの1つにおける、全てのステップ116の高さの合計とほぼ等しい高さを有する突起部114を含む。好ましい実施形態では、突起部114は、約0.012インチの高さを有する。説明した実施形態では、調整可能な結合リング106は、石英から作られうる。
本発明に係る調整可能なRF結合リング106によって、複数の各ステップで基板Sとホットエッジリング108との間のギャップ130の正確な調節が可能となる。結合リング106によって、オペレーターは、基板処理中又は真空処理チャンバのセット・アップ中に、いつでも結合リングを再調整することができる。RF結合リング106はまた、ホットエッジリング108が基板の全ての側面に均等に調整され、結合リングの上面が実質的に水平に保たれることを保証する。
調整可能なRF結合リング106は、新しい真空処理チャンバに設置されるか又は既存の真空処理チャンバを改造するために使用されて、ホットエッジリング108の調節機能を提供する。
調整可能なRF結合リング106を設置及び調節する工程は、以下のように簡単に実行される。上を向いた段階的な複数のステップ116を有する結合リング106の下部リング112が、静電チャック102のステップ上に配置される。次いで、段階的なステップの中で最も高いステップの上に各々位置合わせされた3つの突起部114を有する上部リング110が、下部リング112の上に置かれる。次いで、ホットエッジリング108が、組み立てられた結合リング106上に置かれ、ギャップが測定装置を用いて測定される。測定装置の一例は、基板保持チャック102上に配置され、チャックの上部からホットエッジリング108のエッジのトップまでの垂直距離を測定する垂直マウントダイヤル・インジケータ(vertical mount dial indicator)である。好適には、ギャップ130は、静電チャックの周りに90度間隔で測定される。測定は、ホットエッジリング108上の静電チャック102に近い位置で行われる。ホットエッジリングの劣化又は消耗のため、基板のエッジのすぐ外側にある、ホットエッジリング108のチャック102に最も近い領域は、ホットエッジリング溝の中で最も高い位置にあるべきである。測定は、ホットエッジリング108が静電チャック102よりも高く、ホットエッジリングが下方へ調節される必要があることを一般に示す。次いで、ホットエッジリング108が取り除かれる。次いで、結合リング106が、上部リング110を右回りに回転させて、その結果、結合リングの高さが減少することによって調整される。次いで、ホットエッジリング18が交換され、最小のギャップ距離dが得られるまで、調節が繰り返される。
本発明の好適な一実施形態によれば、結合リング106のリング110及び112は、半径方向に位置合わせされた位置でリングをロックするロック機構(不図示)を含む。ロッキング機構の一例は、下部結合リング112の各ステップ上の溝に連結する上部リング110上の移動止め(detent)を含む。
特定のシステムでは、フォーカスリング104、結合リング106及びホットエッジリング108の特定の形状が、チャック102、基板及び/又は他の構成に応じて変わり得ることを認識すべきである。したがって、図4−6のチャックを囲むリングの正確な形状は、図示を目的として示されたものであり、如何なる方法でも制限されない。本発明は、ホットエッジリングを調節するように構成された結合リングを用いて説明したが、他のリングも結合リングを用いて調節されうる。
本発明は、その好適な実施形態を参照して詳細に説明したが、当業者であれば、本発明から逸脱しない限り、様々な変形や改良がなされ、均等物が用いられうることは明らかであろう。
図1は、真空処理チャンバの断面図である。 図2は、静電チャック及び周辺リングを示す図1を部分的に拡大した断面図である。 図3は、図2の部分Aを拡大した断面図である。 図4は、調整可能な結合リングを含む本発明に係る真空処理チャンバを部分的に拡大した断面図である。 図5は、図4の調整可能な結合リングの各部の外観図である。 図6は、フォーカスリングと基板との間のギャップを示す静電チャック及びフォーカスリングの部分を拡大した断面図である。

Claims (18)

  1. 処理チャンバと、
    基板を処理するために前記処理チャンバ内部のプロセスガスにエネルギーを与えてプラズマ状態にする電源と、
    前記処理チャンバ内で基板を支持する基板支持体であって、上面を有する基板支持体と、
    前記基板支持体を囲む上部リングであって、基板が前記基板支持体上に配置されるときに前記基板の下に延びる部分を有する上部リングと、
    前記基板支持体を囲む結合リングであって、前記結合リングの高さを調節し前記上部リングと前記基板との間のギャップを調節するために、第2のリングに対して回転可能な第1のリングを有し、
    前記結合リングは、
    前記リングの軸に平行な方向に前記リングから延びる少なくとも3つの突起部を有する第1のリングと、
    前記少なくとも3つの突起部の各々を受けるように構成された少なくとも3セットの複数のステップを有する第2のリングと、
    を備え、前記第1、第2のリングの厚さの合計は、前記第2のリングに対する前記第1のリングの回転によって調整可能であることを特徴とするプラズマ処理装置。
  2. 前記結合リングは、前記上部リングの下に配置され、前記基板の下面と前記第2のリングに対する前記第1のリングの回転によって前記基板の下に延びる前記上部リングの前記部分の上面との間の距離を調節するように動作することを特徴とする請求項1に記載の装置。
  3. 前記上部リングは、ホットエッジリングであることを特徴とする請求項1に記載の装置。
  4. 前記第2のリングは、複数の階段状の表面を含むことを特徴とする請求項1に記載の装置。
  5. 前記ステップは、それぞれ約0.001インチの高さを有することを特徴とする請求項に記載の装置。
  6. 前記基板支持体は、静電チャックを含むことを特徴とする請求項1に記載の装置。
  7. プラズマ処理装置中の基板と周辺リングとの間のギャップを調節するための調整機構であって、
    第1のリングであって、前記第1のリングの軸に平行な方向に前記第1のリングから延びる少なくとも3つの突起部を有する第1のリングと、
    前記少なくとも3つの突起部の各々を受けるように構成された少なくとも3セットの複数のステップを有する第2のリングと、
    を備え、
    前記第1、第2のリングの厚さの合計は、前記第2のリングに対する前記第1のリングの回転によって調整可能であり、前記第1のリングの前記3つの突起部は、前記第2のリング上の3つのステップから前記第2のリング上の他の3つのステップに垂直的に移動することを特徴とする調整機構。
  8. 前記ステップは、それぞれ約0.001インチの高さを有することを特徴とする請求項に記載の調整機構。
  9. プラズマ処理システム中の基板支持体上のポリマーの堆積を減少させる方法であって、
    プラズマ処理装置中の基板と前記周辺リングとの間のギャップを調節するための請求項に記載の前記調整機構を準備する工程と、
    前記調整機構の前記第2のリングに対して前記第1のリングを回転させることによって前記基板と前記周辺リングとの間の前記ギャップを調節する工程と、
    を含むことを特徴とする方法。
  10. 前記周辺リングは、ホットエッジリングであることを特徴とする請求項に記載の方法。
  11. 前記調整機構は、フォーカスリングと前記ホットエッジリングとの間に配置されることを特徴とする請求項10に記載の方法。
  12. 前記第1、第2のリングは、石英から作られていることを特徴とする請求項1に記載の装置。
  13. 前記第1、第2のリングは、石英から作られていることを特徴とする請求項に記載の調整機構。
  14. 前記基板支持体は、RFパワーが供給されるチャックを備え、
    前記上部リング及び前記結合リングは、前記基板が前記基板支持体上に配置されたときに、前記装置内に生成されたプラズマに前記基板を通してRF結合を向けるように構成されていることを特徴とする請求項1に記載の装置。
  15. 前記第2のリングのステップの各セットが段階的なステップを含むことを特徴とする請求項に記載の装置。
  16. 前記第1のリングは、前記第2のリングと接触していることを特徴とする請求項に記載の装置。
  17. 前記第1のリングは、一定の高さの突起部を有し、
    前記第2のリングは、高さが段階的に変化するステップを有することを特徴とする請求項に記載の調整機構。
  18. 前記第1のリングは、前記第2のリングと接触していることを特徴とする請求項に記載の調整機構。
JP2004537702A 2002-09-20 2003-08-28 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法 Expired - Fee Related JP4499567B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/251,179 US7252738B2 (en) 2002-09-20 2002-09-20 Apparatus for reducing polymer deposition on a substrate and substrate support
PCT/US2003/027055 WO2004027815A1 (en) 2002-09-20 2003-08-28 Plasma apparatus with device for reducing polymer deposition on a substrate and method for reducing polymer deposition

Publications (3)

Publication Number Publication Date
JP2006500766A JP2006500766A (ja) 2006-01-05
JP2006500766A5 JP2006500766A5 (ja) 2006-10-12
JP4499567B2 true JP4499567B2 (ja) 2010-07-07

Family

ID=32028995

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004537702A Expired - Fee Related JP4499567B2 (ja) 2002-09-20 2003-08-28 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法

Country Status (9)

Country Link
US (2) US7252738B2 (ja)
EP (1) EP1543537B1 (ja)
JP (1) JP4499567B2 (ja)
KR (1) KR101008863B1 (ja)
CN (2) CN100351989C (ja)
AT (1) ATE527679T1 (ja)
AU (1) AU2003260128A1 (ja)
TW (1) TWI324804B (ja)
WO (1) WO2004027815A1 (ja)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100657054B1 (ko) * 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 포커스 링
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7457097B2 (en) * 2004-07-27 2008-11-25 International Business Machines Corporation Pressure assisted wafer holding apparatus and control method
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
JP5043826B2 (ja) * 2006-02-23 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
KR100892928B1 (ko) * 2007-09-05 2009-04-09 주식회사 에이디피엔지니어링 평판표시소자 제조장치의 하부전극 조립체
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
CN101488468B (zh) * 2008-01-17 2010-12-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种晶片夹持***及应用该夹持***的半导体处理设备
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2010045200A (ja) * 2008-08-13 2010-02-25 Tokyo Electron Ltd フォーカスリング、プラズマ処理装置及びプラズマ処理方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5635001B2 (ja) * 2008-09-26 2014-12-03 ラム リサーチ コーポレーションLam Research Corporation 結合リングをクロック回転させることによって調整可能な静電チャックとホットエッジリングとの間の熱的接触
US20100101729A1 (en) * 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
TWI385725B (zh) * 2009-09-18 2013-02-11 Advanced Micro Fab Equip Inc A structure that reduces the deposition of polymer on the backside of the substrate
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) * 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
CN103074610A (zh) * 2012-08-28 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑结构、含有上述衬底支撑结构的反应腔室
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014107387A (ja) 2012-11-27 2014-06-09 Tokyo Electron Ltd 載置台構造及びフォーカスリングを保持する方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103117239B (zh) * 2013-02-01 2017-02-01 上海华虹宏力半导体制造有限公司 一种用于干刻设备的导航片及导航方法
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
CN105453234B (zh) * 2013-08-10 2018-11-02 应用材料公司 抛光新的或翻新的静电夹盘的方法
CN104726830B (zh) * 2013-12-24 2017-06-30 宁波江丰电子材料股份有限公司 聚焦环的矫正设备
CN104752141B (zh) * 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134572A (ja) * 2015-01-21 2016-07-25 ルネサスエレクトロニクス株式会社 半導体製造装置およびその管理方法、並びに半導体装置の製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
JP1551512S (ja) * 2015-06-12 2016-06-13
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
KR101646716B1 (ko) * 2016-02-15 2016-08-08 서성환 조립식 나선계단용 스페이서 및 이를 이용한 조립식 나선계단
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6700118B2 (ja) * 2016-06-24 2020-05-27 東京エレクトロン株式会社 プラズマ成膜装置および基板載置台
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110462781B (zh) * 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20190092154A (ko) 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019177837A1 (en) 2018-03-13 2019-09-19 Applied Materials, Inc Support ring with plasma spray coating
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114008242A (zh) * 2019-06-21 2022-02-01 朗姆研究公司 双向转位装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110634727B (zh) * 2019-11-18 2020-02-21 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114695046A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 一种等离子体处理装置和处理方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975056A (zh) * 2021-09-08 2022-08-30 北京屹唐半导体科技股份有限公司 用于清洁等离子体加工设备的聚焦环的导电构件
USD1034493S1 (en) * 2022-11-25 2024-07-09 Ap Systems Inc. Chamber wall liner for a semiconductor manufacturing apparatus

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5887824A (ja) 1981-11-20 1983-05-25 Toshiba Corp 微細加工方法
EP0098318B1 (de) 1982-07-03 1987-02-11 Ibm Deutschland Gmbh Verfahren zum Herstellen von Gräben mit im wesentlichen vertikalen Seitenwänden in Silicium durch reaktives Ionenätzen
US4529860A (en) 1982-08-02 1985-07-16 Motorola, Inc. Plasma etching of organic materials
JPS59163826A (ja) 1983-03-08 1984-09-14 Toshiba Corp ドライエツチング方法
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60170238A (ja) 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH0211781A (ja) * 1988-06-29 1990-01-16 Hitachi Ltd ドライエッチング装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5217920A (en) 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
ES2157966T3 (es) 1993-06-11 2001-09-01 Ciba Sc Holding Ag Agente auxiliar de blanqueo.
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JPH07249586A (ja) 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
EP0668607A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5380673A (en) 1994-05-06 1995-01-10 United Microelectronics Corporation Dram capacitor structure
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
US5606485A (en) 1994-07-18 1997-02-25 Applied Materials, Inc. Electrostatic chuck having improved erosion resistance
US5572398A (en) 1994-11-14 1996-11-05 Hewlett-Packard Co. Tri-polar electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
AU4741497A (en) 1996-09-30 1998-04-24 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6013984A (en) 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
DE29813326U1 (de) 1998-07-29 1998-12-10 PROTEC Gesellschaft für Werkstoff- und Oberflächentechnik mbH, 57234 Wilnsdorf Verbesserte Vorrichtung zum Schutz von elektrostatischen Haltesystemen in Anlagen zur Bearbeitung von Wafern
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
JP2000150623A (ja) * 1998-11-17 2000-05-30 Mitsui Eng & Shipbuild Co Ltd 垂直微動送り装置
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
KR100762754B1 (ko) 1999-11-30 2007-10-09 동경 엘렉트론 주식회사 플라즈마 처리 장치
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR100635975B1 (ko) 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
JP2002075969A (ja) 2000-08-25 2002-03-15 Hitachi Ltd プラズマ処理装置
US6524026B2 (en) * 2001-03-22 2003-02-25 Precision Cover Systems, Inc. Adjustable height utility access device
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP3505155B2 (ja) * 2001-02-13 2004-03-08 株式会社日立製作所 ウエハ保持装置
TW475222B (en) 2001-04-04 2002-02-01 Wang-Nan Wang Plasma generator
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
DE10143719B4 (de) 2001-08-31 2013-01-17 Qimonda Ag Plasmaätzanlage mit einer Lagerungsvorrichtung für einen Wafer

Also Published As

Publication number Publication date
US7867356B2 (en) 2011-01-11
US20040083975A1 (en) 2004-05-06
US20080041820A1 (en) 2008-02-21
WO2004027815A1 (en) 2004-04-01
CN100351989C (zh) 2007-11-28
KR20050057423A (ko) 2005-06-16
CN101136321A (zh) 2008-03-05
US7252738B2 (en) 2007-08-07
ATE527679T1 (de) 2011-10-15
TWI324804B (en) 2010-05-11
KR101008863B1 (ko) 2011-01-17
EP1543537A1 (en) 2005-06-22
AU2003260128A1 (en) 2004-04-08
TW200405466A (en) 2004-04-01
CN100533658C (zh) 2009-08-26
CN1682343A (zh) 2005-10-12
EP1543537B1 (en) 2011-10-05
JP2006500766A (ja) 2006-01-05

Similar Documents

Publication Publication Date Title
JP4499567B2 (ja) 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法
JP4913603B2 (ja) プラズマ反応器のエッチング速度ドリフトを低減するための温度制御されたホットエッジリングアセンブリ
TWI802347B (zh) 用於電漿處理中之均勻性控制的漸縮上電極
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
US11810768B2 (en) Temperature and bias control of edge ring
EP0818558A1 (en) Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
TWI670787B (zh) 具有降低之腐蝕敏感度的製程套件
JP2012500470A (ja) 温度制御式ホットエッジリング組立体
KR100469908B1 (ko) 기재 지지수단 상의 폴리머 증착을 감소시키는 장치
CN111261511A (zh) 等离子体处理装置和等离子体处理方法
KR20230092672A (ko) 포커스 링 및 이를 포함하는 기판 처리 장치
TW202303838A (zh) 用於邊緣非均勻調諧的低阻抗電流路徑
CN114068279A (zh) 载置台和等离子体处理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060823

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091016

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100326

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100415

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4499567

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140423

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees